0
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心
发布
  • 发文章

  • 发资料

  • 发帖

  • 提问

  • 发视频

创作活动
ATSAM4E8EA-ANR

ATSAM4E8EA-ANR

  • 厂商:

    ACTEL(微芯科技)

  • 封装:

    LQFP144

  • 描述:

    IC MCU 32BIT 512KB FLASH 144LQFP

  • 数据手册
  • 价格&库存
ATSAM4E8EA-ANR 数据手册
SAM4E Series Atmel | SMART ARM-based Flash MCU DATASHEET Description The Atmel® | SMART SAM4E series of Flash microcontrollers is based on the high-performance 32-bit ARM ® Cortex ® -M4 RISC processor and includes a floating point unit (FPU). It operates at a maximum speed of 120 MHz and features up to 1024 Kbytes of Flash, 2 Kbytes of cache memory and up to 128 Kbytes of SRAM. The SAM4E offers a rich set of advanced connectivity peripherals including 10/100 Mbps Ethernet MAC supporting IEEE 1588 and dual CAN. With a singleprecision FPU, advanced analog features, as well as a full set of timing and control functions, the SAM4E is the ideal solution for industrial automation, home and building control, machine-to-machine communications, automotive aftermarket and energy management applications. The peripheral set includes a full-speed USB device port with embedded transceiver, a 10/100 Mbps Ethernet MAC supporting IEEE 1588, a high-speed MCI for SDIO/SD/MMC, an external bus interface featuring a static memory controller providing connection to SRAM, PSRAM, NOR Flash, LCD Module and NAND Flash, a parallel I/O capture mode for camera interface, hardware acceleration for AES256, 2 USARTs, 2 UARTs, 2 TWIs, 3 SPIs, as well as a 4channel PWM, 3 three-channel general-purpose 32-bit timers (with stepper motor and quadrature decoder logic support), a low-power RTC, a low-power RTT, 256bit General Purpose Backup Registers, 2 Analog Front End interfaces (16-bit ADC, DAC, MUX and PGA), one 12-bit DAC (2-channel) and an analog comparator. The SAM4E devices have three software-selectable low-power modes: Sleep, Wait and Backup. In Sleep mode, the processor is stopped while all other functions can be kept running. In Wait mode, all clocks and functions are stopped but some peripherals can be configured to wake up the system based on predefined conditions. The Real-time Event Managment allows peripherals to receive, react to and send events in Active and Sleep modes without processor intervention. Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1. 2 Features  Core ̶ ARM Cortex-M4 with 2 Kbytes Cache running at up to 120 MHz(1) ̶ Memory Protection Unit (MPU) ̶ DSP Instruction ̶ Floating Point Unit (FPU) ̶ Thumb®-2 Instruction Set  Memories ̶ Up to 1024 Kbytes Embedded Flash ̶ 128 Kbytes Embedded SRAM ̶ 16 Kbytes ROM with Embedded Boot Loader Routines (UART) and IAP Routines ̶ Static Memory Controller (SMC): SRAM, NOR, NAND Support ̶ NAND Flash Controller  System ̶ Embedded Voltage Regulator for Single Supply Operation ̶ Power-on-Reset (POR), Brown-out Detector (BOD) and Dual Watchdog for Safe Operation ̶ Quartz or Ceramic Resonator Oscillators: 3 to 20 MHz Main Power with Failure Detection and Optional Lowpower 32.768 kHz for RTC or Device Clock ̶ RTC with Gregorian and Persian Calendar Mode, Waveform Generation in Backup mode ̶ RTC counter calibration circuitry compensates for 32.768 kHz crystal frequency inaccuracy ̶ High Precision 4/8/12 MHz Factory Trimmed Internal RC Oscillator with 4 MHz Default Frequency for Device Startup. In-application Trimming Access for Frequency Adjustment ̶ Slow Clock Internal RC Oscillator as Permanent Low-power Mode Device Clock ̶ One PLL up to 240 MHz for Device Clock and for USB ̶ Temperature Sensor ̶ Low-power tamper detection on two inputs, anti-tampering by immediate clear of general-purpose backup registers (GPBR) ̶ Up to 2 Peripheral DMA Controllers (PDC) with up to 33 Channels ̶ One 4-channel DMA Controller  Low-power Modes ̶ Sleep, Wait and Backup modes, down to 0.9 µA in Backup mode with RTC, RTT, and GPBR  Peripherals ̶ Two USARTs with USART1 (ISO7816, IrDA®, RS-485, SPI, Manchester and Modem Modes) ̶ USB 2.0 Device: Full Speed (12 Mbits), 2668 byte FIFO, up to 8 Endpoints. On-chip Transceiver ̶ Two 2-wire UARTs ̶ Two 2-wire Interfaces (TWI) ̶ High-speed Multimedia Card Interface (SDIO/SD Card/MMC) ̶ One Master/Slave Serial Peripheral Interface (SPI) with Chip Select Signals ̶ Three 3-channel 32-bit Timer/Counter blocks with Capture, Waveform, Compare and PWM Mode. Quadrature Decoder Logic and 2-bit Gray Up/Down Counter for Stepper Motor ̶ 32-bit low-power Real-time Timer (RTT) and low-power Real-time Clock (RTC) with calendar and alarm features ̶ 256-bit General Purpose Backup Registers (GPBR) ̶ One Ethernet MAC (GMAC) 10/100 Mbps in MII mode only with dedicated DMA and Support for IEEE1588, Wake-on-LAN ̶ Two CAN Controllers with eight Mailboxes ̶ 4-channel 16-bit PWM with Complementary Output, Fault Input, 12-bit Dead Time Generator Counter for Motor Control ̶ Real-time Event Management SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16  Cryptography ̶ AES 256-bit Key Algorithm compliant with FIPS Publication 197  Analog ̶ AFE (Analog Front End): 2x16-bit ADC, up to 24-channels, Differential Input Mode, Programmable Gain Stage, Auto Calibration and Automatic Offset Correction ̶ One 2-channel 12-bit 1 Msps DAC ̶ One Analog Comparator with Flexible Input Selection, Selectable Input Hysteresis  I/O ̶ Up to 117 I/O Lines with External Interrupt Capability (Edge or Level Sensitivity), Debouncing, Glitch Filtering and On-die Series Resistor Termination ̶ Bidirectional Pad, Analog I/O, Programmable Pull-up/Pull-down ̶ Five 32-bit Parallel Input/Output Controllers, Peripheral DMA Assisted Parallel Capture Mode  Packages ̶ 144-ball LFBGA, 10x10 mm, pitch 0.8 mm ̶ 100-ball TFBGA, 9x9 mm, pitch 0.8 mm ̶ 144-lead LQFP, 20x20 mm, pitch 0.5 mm ̶ 100-lead LQFP, 14x14 mm, pitch 0.5 mm Note: 1. 120 MHz: -40/+105°C, VDDCORE = 1.2V SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 3 1.1 Configuration Summary The SAM4E series devices differ in memory size, package and features. Table 1-1 summarizes the configurations of the device family. Table 1-1. Configuration Summary Feature SAM4E16E Flash 1024 Kbytes SRAM SAM4E16C 512 Kbytes 1024 Kbytes 128 Kbytes SAM4E8C 512 Kbytes 128 Kbytes CMCC 2 Kbytes 2 Kbytes Package LFBGA 144 LQFP 144 TFBGA 100 LQFP 100 Number of PIOs 117 79 External Bus Interface 8-bit Data, 4 Chip Selects, 24-bit Address Analog Front End (AFE0\AFE1) Notes: Up to 16 bits(1) (2) 6 ch. / 4 ch. (3) Up to 16 bits 16 ch. / 8 ch. – (1) GMAC 10/100 Mbps 10/100 Mbps CAN 2 1 12-bit DAC 2 ch. 2 ch. Timer 9(4) 9(5) PDC Channels 24 +9 21 +9 USART/ UART 2/2(6) 2/2(6) 1. 2. 3. 4. 5. 6. 4 SAM4E8E USB Full Speed Full Speed HSMCI 1 port, 4 bits 1 port, 4 bits TWI 2 2 ADC is 12-bit, up to 16 bits with averaging. For details, please refer to Section 46. “SAM4E Electrical Characteristics”. AFE0 is 16 channels and AFE1 is 8 channels. The total number of AFE channels is 24. One channel is reserved for the internal temperature sensor. AFE0 is 6 channels and AFE1 is 4 channels. The total number of AFE channels is 10. One channel is reserved for the internal temperature sensor. Nine TC channels are accessible through PIO. Three TC channels are accessible through PIO and 6 channels are reserved for internal use. Full Modem support on USART1. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 DM DP A[ 23 : NW 0], D NA AIT [15 ND , NC :0] RA O S S, E, 0..3 A2 C NA , 1 AS N NR A2 /NA , D DW D, 2 N Q E NW A0 /NA DAL Mx E /N ND E , S DC A1 LB CL K, 6/ , N E SD U SD B BA CK 0, E, A1 SD 7/ A1 SD 0 BA 1 UT N DO DI VD VD Voltage Regulator 3–20 MHz Oscillator PCK[2:0] JTAG and Serial Wire RC Osc 4/8/12 MHz Transceiver Flash Unique ID In-Circuit Emulator PMC PLLA Cortex-M4 Processor fMAX 120 MHz NVIC ERASE WKUP[15:0] SUPC XIN32 XOUT32 MPU RTCOUT0 RTCOUT1 256-bit GPBR RTC RTT Ethernet MAC MII External Bus Interface Flash Static Memory NAND Flash 1024 Kbytes 512 Kbytes USB 4-channel DMA FIFO 128 byte TX DMA 128 byte RX FPU Tamper Detection 32.768k Crystal Osc. 32k typ. RC Osc. SRAM 128 Kbytes 24-bit SysTick Counter NVIC DSP ROM 16 Kbytes I S D HCACHE (CMCC) M S M S S S M M POR RSTC SM S M S M Peripheral Bridge 0 PDC0 Peripheral Bridge 1 PDC1 WDT PIOA/B/C/D/E DMA PWM DMA 2x CAN AES DMA N CA RX NT 0.. X0 1 ..1 UART1 PDC CA SPI PDC PW M PW P H0 M WM ..3 Cx L _P 0.. W 3 M FI 0 12-bit DACC Temp Sensor M C M CK CC CD DA A0 AF AF E_A ..3 Ex D _A TR D0 G ..1 4 M L TI K0. O .9 TI A0. O .9 B0 ..9 DMA TC UR X UT D0 XD 0 SC K TX 0..1 D RX 0.. D 1 RT 0.. S 1 DS CT 0..1 R S RI 1, 0..1 1, D DC TR D1 1 PI PI O OD DC 0 PI EN ..7 O 1 DC ..2 CL K DMA ACC PDC PDC X UT D1 XD 1 HSMCI PDC UR PIO PDC 2x 12-bit AFEC C0 DA ..1 TR G M IS M O O S NP SP I CS CK 0. .3 2x USART PDC 3x TC DA UART0 PDC AD EFN VR EF P 2x TWI PDC VR PDC AD PDC TW TW D 0 CK ..1 0. .1 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Backup NRST VDDPLL VDDIO VDDCORE 7-layer Bus Matrix fMAX 120 MHz Block Diagram TD I TD O TM S TC /S K/ WD SW IO CL JT K AG SE L 2. XIN XOUT SAM4E 144-pin Block Diagram System Controller TST See Table 1-1 for detailed configurations of memory size, package and features of the SAM4E devices. Figure 2-1. V K L D XC O X 3 3 R C –GR TX RX G K– R S–GER –G 0–G C IO 0 X D D C E R X TX TX C R TX R M M G G G G G G G G 5 3. Signal Description Table 3-1 gives details on signal names classified by peripheral. Table 3-1. Signal Description List Signal Name Function Type Active Level Voltage Reference Comments Power Supplies VDDIO Peripherals I/O Lines Power Supply Power – – 1.62V to 3.6V VDDIN Voltage Regulator Input, DAC and Analog Comparator Power Supply Power – – 1.62V to 3.6V(1) VDDOUT Voltage Regulator Output Power – – 1.2V Output VDDPLL Oscillator and PLL Power Supply Power – – 1.08 V to 1.32V VDDCORE Power the core, the embedded memories and the peripherals Power – – 1.08V to 1.32V GND Ground Ground – – – Clocks, Oscillators and PLLs XIN Main Oscillator Input XOUT Main Oscillator Output XIN32 Slow Clock Oscillator Input XOUT32 Slow Clock Oscillator Output Input – Reset State: Output – - PIO Input Input – - Internal Pull-up disabled Output – VDDIO - Schmitt Trigger enabled(2) Reset State: PCK0–PCK2 Programmable Clock Output Output - PIO Input – - Internal Pull-up enabled - Schmitt Trigger enabled(2) Real-time Clock RTCOUT0 RTCOUT1 Programmable RTC waveform output Programmable RTC waveform output Output Output – – Reset State: VDDIO - PIO Input - Internal Pull-up enabled - Schmitt Trigger enabled(2) Serial Wire/JTAG Debug Port - SWJ-DP TCK/SWCLK Test Clock/Serial Wire Clock Input – TDI Test Data In Input – TDO/TRACESWO Test Data Out / Trace Asynchronous Data Out Output – TMS/SWDIO Test Mode Select /Serial Wire Input/Output Input / I/O – JTAGSEL JTAG Selection Input High Reset State: - SWJ-DP Mode VDDIO - Internal Pull-up disabled(3) - Schmitt Trigger enabled(2) Permanent Internal Pull-down Flash Memory Reset State: ERASE Flash and NVM Configuration Bits Erase Command - Erase Input Input High VDDIO - Internal Pull-down enabled - Schmitt Trigger enabled(2) 6 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 3-1. Signal Description List (Continued) Signal Name Function Type Active Level Voltage Reference Comments Reset/Test NRST Synchronous Microcontroller Reset TST Test Select I/O Low Input – Permanent Internal VDDIO Pull-up Permanent Internal Pull-down Wake-up WKUP[15:0] Wake-up Inputs Input – VDDIO – Universal Asynchronous Receiver Transceiver - UARTx URXDx UART Receive Data Input – – – UTXDx UART Transmit Data Output – – – PIO Controller - PIOA - PIOB - PIOC - PIOD - PIOE PA0–PA31 Parallel IO Controller A I/O – Reset State: PB0–PB14 Parallel IO Controller B I/O – - PIO or System IOs(4) PC0–PC31 Parallel IO Controller C I/O – - Internal Pull-up enabled PD0–PD31 Parallel IO Controller D I/O VDDIO – - Schmitt Trigger enabled(2) Reset State: - PIO or System IOs(4) PE0–PE5 Parallel IO Controller E I/O - Internal Pull-up enabled – - Schmitt Trigger enabled(2) PIO Controller - Parallel Capture Mode PIODC0–PIODC7 Parallel Capture Mode Data Input – PIODCCLK Parallel Capture Mode Clock Input – PIODCEN1–2 Parallel Capture Mode Enable Input – VDDIO – High Speed Multimedia Card Interface - HSMCI MCCK Multimedia Card Clock I/O – – – MCCDA Multimedia Card Slot A Command I/O – – – MCDA0–MCDA3 Multimedia Card Slot A Data I/O – – – Universal Synchronous Asynchronous Receiver Transmitter USARTx SCKx USARTx Serial Clock I/O – – – TXDx USARTx Transmit Data I/O – – – RXDx USARTx Receive Data Input – – – RTSx USARTx Request To Send Output – – – CTSx USARTx Clear To Send Input – – – DTR1 USART1 Data Terminal Ready I/O – – – DSR1 USART1 Data Set Ready Input – – – DCD1 USART1 Data Carrier Detect Output – – – RI1 USART1 Ring Indicator Input – – – SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 7 Table 3-1. Signal Description List (Continued) Signal Name Function Active Level Voltage Reference Comments Input – – – Type Timer/Counter - TC TCLKx TC Channel x External Clock Input TIOAx TC Channel x I/O Line A I/O – – – TIOBx TC Channel x I/O Line B I/O – – – Serial Peripheral Interface - SPI MISO Master In Slave Out I/O – – – MOSI Master Out Slave In I/O – – – SPCK SPI Serial Clock I/O – – – SPI_NPCS0 SPI Peripheral Chip Select 0 I/O Low – – SPI_NPCS1– SPI_NPCS3 SPI Peripheral Chip Select Output Low – – Two-Wire Interface - TWIx TWDx TWIx Two-wire Serial Data I/O – – – TWCKx TWIx Two-wire Serial Clock I/O – – – Analog – –(1) – Analog ADC, DAC and Analog Comparator Reference ADVREF 12-bit Analog-Front-End - AFEx AFE0_AD0– AFE0_AD14 Analog Inputs Analog, Digital – –(1) – AFE1_AD0– AFE1_AD7 Analog Inputs Analog, Digital – –(1) – ADTRG Trigger Input – VDDIO – 12-bit Digital-to-Analog Converter - DAC DAC0–DAC1 Analog output DATRG DAC Trigger Analog, Digital – –(1) – Input – VDDIO – Fast Flash Programming Interface - FFPI PGMEN0-PGMEN1 Programming Enable Input – PGMM0-PGMM3 Programming Mode Input – PGMD0-PGMD15 Programming Data I/O – PGMRDY Programming Ready Output High PGMNVALID Data Direction Output Low PGMNOE Programming Read Input Low PGMCK Programming Clock Input PGMNCMD Programming Command Input VDDIO – – – – Low – External Bus Interface D0–D7 Data Bus A0–A23 Address Bus NWAIT External Wait Signal 8 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 I/O – – – Output – – – Input Low – – Table 3-1. Signal Description List (Continued) Signal Name Function Active Level Type Voltage Reference Comments Static Memory Controller - SMC NCS0–NCS3 Chip Select Lines Output Low – – NRD Read Signal Output Low – – NWE Write Enable Output Low – – NAND Flash Logic NANDOE NAND Flash Output Enable Output Low – – NANDWE NAND Flash Write Enable Output Low – – – – Pulse Width Modulation Controller - PWMC PWMH PWM Waveform Output High for channel x PWML PWM Waveform Output Low for channel x PWMFI0 PWM Fault Input Output – Output – – Only output in complementary mode when dead time insertion is enabled. Input – – – Ethernet MAC 10/100 - GMAC GTXCK Transmit Clock Input – – – GRXCK Receive Clock Input – – – GTXEN Transmit Enable Output – – – GTX0–GTX3 Transmit Data Output – – – GTXER Transmit Coding Error Output – – – GRXDV Receive Data Valid Input – – – GRX0–GRX3 Receive Data Input – – – GRXER Receive Error Input – – – GCRS Carrier Sense Input – – – GCOL Collision Detected Input – – – GMDC Management Data Clock Output – – – GMDIO Management Data Input/Output I/O – – – Controller Area Network - CAN (x=[0:1]) CANRXx CAN Receive Input – – – CANTXx CAN Transmit Output – – – – (1) USB Full Speed Device Reset State: DDM DDM USB Full Speed Data - – - Internal Pull-down Analog, Digital DDP DDP USB Full Speed Data + - USB Mode Reset State: – (1) – - USB Mode - Internal Pull-down Notes: 1. See Section 5.4 “Typical Powering Schematics” for restrictions on voltage range of Analog Cells and USB. 2. Schmitt Triggers can be disabled through PIO registers. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 9 3. TDO pin is set in input mode when the Cortex-M4 Core is not in debug mode. Thus the internal pull-up corresponding to this PIO line must be enabled to avoid current consumption due to floating input. 4. Some PIO lines are shared with System I/Os. 10 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 4. Package and Pinout The SAM4E is available in TFBGA100, LFBGA144, LQFP100, and LQFP144 and packages described in Section 47. “SAM4E Mechanical Characteristics”. 4.1 100-ball TFBGA Package and Pinout 4.1.1 100-ball TFBGA Package Outline The 100-ball TFBGA package has a 0.8 mm ball pitch and respects Green Standards. Refer to Section 47.1 “100ball TFBGA Package Drawing” for details. 4.1.2 100-ball TFBGA Pinout Table 4-1. SAM4E 100-ball TFBGA Pinout A1 PB9 C6 PD29 F1 PA19/PGMD7 H6 PA14/PGMD2 A2 PB8 C7 PA30 F2 PA20/PGMD8 H7 PA25/PGMD13 A3 PB14 C8 PB5 F3 PD23 H8 PA27/PGMD15 A4 PB10 C9 PD10 F4 GND H9 PA5/PGMRDY A5 PD4 C10 PA1/PGMEN1 F5 GND H10 PA4/PGMNCMD A6 PD7 D1 ADVREF F6 GND J1 PA21/PGMD9 A7 PA31 D2 PD1 F7 TST J2 PA7/PGMNVALID A8 PA6/PGMNOE D3 GND F8 PB12 J3 PA22/PGMD10 A9 PA28 D4 GND F9 PA3 J4 PD22 A10 JTAGSEL D5 PD5 F10 PD14 J5 PA16/PGMD4 B1 PD31 D6 VDDCORE G1 PA17/PGMD5 J6 PA15/PGMD3 B2 PB13 D7 VDDCORE G2 PA18/PGMD6 J7 PD28 B3 VDDPLL D8 PA0/PGMEN0 G3 PD26 J8 PA11/PGMM3 B4 PB11 D9 PD11 G4 PD24 J9 PA9/PGMM1 B5 PD3 D10 PA2 G5 PA13/PGMD1 J10 PD17 B6 PD6 E1 PB0 G6 VDDCORE K1 PD30 B7 PD8 E2 PB1 G7 VDDIO K2 PA8/PGMM0 B8 PD9 E3 PD2 G8 PB6 K3 PD20 B9 PB4 E4 GND G9 PD16 K4 PD19 B10 PD15 E5 VDDIO G10 NRST K5 PA23/PGMD11 C1 PD0 E6 VDDIO H1 PB2 K6 PD18 C2 VDDIN E7 GND H2 PB3 K7 PA24/PGMD12 C3 VDDOUT E8 PD13 H3 PD25 K8 PA26/PGMD14 C4 GND E9 PB7 H4 PD27 K9 PA10/PGMM2 C5 PA29 E10 PD12 H5 PD21 K10 PA12/PGMD0 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11 4.2 144-ball LFBGA Package and Pinout 4.2.1 144-ball LFBGA Package Outline The 144-ball LFBGA package has a 0.8 mm ball pitch and respects Green Standards. Refer to Section 47.2 “144ball LFBGA Package Drawing” for details. 4.2.2 144-ball LFBGA Pinout Table 4-2. SAM4E 144-ball LFBGA Pinout A1 PE1 D1 ADVREF G1 PC15 K1 PE4 A2 PB9 D2 GND G2 PC13 K2 PA21/PGMD9 A3 PB8 D3 PD31 G3 PB1 K3 PA22/PGMD10 A4 PB11 D4 PD0 G4 GND K4 PC2 A5 PD2 D5 GNDPLL G5 GND K5 PA16/PGMD4 A6 PA29 D6 PD4 G6 GND K6 PA14/PGMD2 A7 PC21 D7 PD5 G7 GND K7 PC6 A8 PD6 D8 PC19 G8 VDDIO K8 PA25/PGMD13 A9 PC20 D9 PD9 G9 PD13 K9 PD20 A10 PA30 D10 PD29 G10 PD12 K10 PD28 A11 PD15 D11 PC16 G11 PC9 K11 PD16 A12 PB4 D12 PA1/PGMEN1 G12 PB12 K12 PA4/PGMNCMD B1 PE2 E1 PC31 H1 PA19/PGMD7 L1 PE5 B2 PB13 E2 PC27 H2 PA18/PGMD6 L2 PA7/PGMNVALID B3 VDDPLL E3 PE3 H3 PA20/PGMD8 L3 PC3 B4 PB10 E4 PC0 H4 PB0 L4 PA23/PGMD11 B5 PD1 E5 GND H5 VDDCORE L5 PA15/PGMD3 B6 PC24 E6 GND H6 VDDIO L6 PD26 B7 PD3 E7 VDDIO H7 VDDIO L7 PA24/PGMD12 B8 PD7 E8 VDDCORE H8 VDDCORE L8 PC5 B9 PA6/PGMNOE E9 PD8 H9 PD21 L9 PA10/PGMM2 B10 PC18 E10 PC14 H10 PD14 L10 PA12/PGMD0 B11 JTAGSEL E11 PD11 H11 TEST L11 PD17 B12 PC17 E12 PA2 H12 NRST L12 PC28 C1 VDDIN F1 PC30 J1 PA17/PGMD5 M1 PD30 C2 PE0 F2 PC26 J2 PB2 M2 PA8/PGMM0 C3 VDDOUT F3 PC29 J3 PB3 M3 PA13/PGMD1 C4 PB14 F4 PC12 J4 PC1 M4 PC7 C5 PC25 F5 GND J5 PC4 M5 PD25 C6 PC23 F6 GND J6 PD27 M6 PD24 C7 PC22 F7 GND J7 VDDCORE M7 PD23 C8 PA31 F8 VDDIO J8 PA26/PGMD14 M8 PD22 C9 PA28 F9 PB7 J9 PA11/PGMM3 M9 PD19 C10 PB5 F10 PC10 J10 PA27/PGMD15 M10 PD18 C11 PA0/PGMEN0 F11 PC11 J11 PB6 M11 PA5/PGMRDY C12 PD10 F12 PA3 J12 PC8 M12 PA9/PGMM1 12 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 4.3 100-lead LQFP Package and Pinout 4.3.1 100-lead LQFP Package Outline The 100-lead LQFP package has a 0.5 mm ball pitch and respects Green Standards. Please refer to Section 47.3 “100-lead LQFP Package Drawing” for details. 4.3.2 100-lead LQFP Pinout Table 4-3. SAM4E 100-lead LQFP Pinout 1 PD0 26 PA22/PGMD10 51 PD28 76 PD29 2 PD31 27 PA13/PGMD1 52 PA5/PGMRDY 77 PB5 3 GND 28 VDDIO 53 PD17 78 PD9 4 VDDOUT 29 GND 54 PA9/PGMM1 79 PA28 5 VDDIN 30 PA16/PGMD4 55 PA4/PGMNCMD 80 PD8 6 GND 31 PA23/PGMD11 56 PD16 81 PA6/PGMNOE 7 GND 32 PD27 57 PB6 82 PA30 8 GND 33 PA15/PGMD3 58 NRST 83 PA31 9 ADVREF 34 PA14/PGMD2 59 PD14 84 PD7 10 GND 35 PD25 60 TST 85 PD6 11 PB1 36 PD26 61 PB12 86 VDDCORE 12 PB0 37 PD24 62 PD13 87 PD5 13 PA20/PGMD8 38 PA24PGMD12 63 PB7 88 PD4 14 PA19/PGMD7 39 PD23 64 PA3 89 PD3 15 PA18/PGMD6 40 PA25/PGMD13 65 PD12 90 PA29 16 PA17/PGMD5 41 PD22 66 PA2 91 PD2 17 PB2 42 PA26/PGMD14 67 GND 92 PD1 18 VDDCORE 43 PD21 68 VDDIO 93 VDDIO 19 VDDIO 44 PA11/PGMM3 69 PD11 94 PB10 20 PB3 45 PD20 70 PA1/PGMEN1 95 PB11 21 PA21/PGMD9 46 PA10/PGMM2 71 PD10 96 VDDPLL 22 VDDCORE 47 PD19 72 PA0/PGMEN0 97 PB14 23 PD30 48 PA12/PGMD0 73 JTAGSEL 98 PB8 24 PA7/PGMNVALID 49 PD18 74 PB4 99 PB9 25 PA8/PGMM0 50 PA27/PGMD15 75 PD15 100 PB13 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 13 4.4 144-lead LQFP Package and Pinout 4.4.1 144-lead LQFP Package Outline The 144-lead LQFP package has a 0.5 mm ball pitch and respects Green Standards. Please refer to Section 47.4 “144-lead LQFP Package Drawing” for details. 4.4.2 144-lead LQFP Pinout Table 4-4. SAM4E 144-lead LQFP Pinout 1 PD0 37 PA22/PGMD10 73 PA5/PGMRDY 109 PB5 2 PD31 38 PC1 74 PD17 110 PD9 3 VDDOUT 39 PC2 75 PA9/PGMM1 111 PC18 4 PE0 40 PC3 76 PC28 112 PA28 5 VDDIN 41 PC4 77 PA4/PGMNCMD 113 PD8 6 PE1 42 PA13/PGMD1 78 PD16 114 PA6/PGMNOE 7 PE2 43 VDDIO 79 PB6 115 GND 8 GND 44 GND 80 VDDIO 116 PA30 9 ADVREFP 45 PA16/PGMD4 81 VDDCORE 117 PC19 10 PE3 46 PA23/PGMD11 82 PC8 118 PA31 11 PC0 47 PD27 83 NRST 119 PD7 12 PC27 48 PC7 84 PD14 120 PC20 13 PC26 49 PA15/PGMD3 85 TEST 121 PD6 14 PC31 50 VDDCORE 86 PC9 122 PC21 15 PC30 51 PA14/PGMD2 87 PB12 123 VDDCORE 16 PC29 52 PD25 88 PD13 124 PC22 17 PC12 53 PD26 89 PB7 125 PD5 18 PC15 54 PC6 90 PC10 126 PD4 19 PC13 55 PD24 91 PA3 127 PC23 20 PB1 56 PA24/PGMD12 92 PD12 128 PD3 21 PB0 57 PD23 93 PA2 129 PA29 22 PA20/PGMD8 58 PC5 94 PC11 130 PC24 23 PA19/PGMD7 59 PA25/PGMD13 95 GND 131 PD2 24 PA18/PGMD6 60 PD22 96 VDDIO 132 PD1 25 PA17/PGMD5 61 GND 97 PC14 133 PC25 26 PB2 62 PA26/PGMD14 98 PD11 134 VDDIO 27 PE4 63 PD21 99 PA1/PGMEN1 135 GND 28 PE5 64 PA11/PGMM3 100 PC16 136 PB10 29 VDDCORE 65 PD20 101 PD10 137 PB11 30 VDDIO 66 PA10/PGMM2 102 PA0/PGMEN0 138 GND 31 PB3 67 PD19 103 PC17 139 VDDPLL 32 PA21/PGMD9 68 PA12/PGMD0 104 JTAGSEL 140 PB14 33 VDDCORE 69 PD18 105 PB4 141 PB8 34 PD30 70 PA27/PGMD15 106 PD15 142 PB9 35 PA7/PGMNVALID 71 PD28 107 VDDCORE 143 VDDIO 36 PA8/PGMM0 72 VDDIO 108 PD29 144 PB13 14 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 5. Power Considerations 5.1 Power Supplies The SAM4E has several types of power supply pins: 5.2 5.2.1  VDDCORE pins: power the core, the first flash rail, the embedded memories and the peripherals. Voltage ranges from 1.08V to 1.32V.  VDDIO pins: power the peripheral I/O lines (Input/Output Buffers), the second flash rail, the backup part, the USB transceiver, 32 kHz crystal oscillator and oscillator pads. Voltage ranges from 1.62V to 3.6V.  VDDIN pins: voltage regulator input, DAC and Analog Comparator power supply. Voltage ranges from 1.62V to 3.6V.  VDDPLL pin: powers the PLL, the Fast RC and the 3 to 20 MHz oscillator. Voltage ranges from 1.08V to 1.32V. Power-up Considerations VDDIO Versus VDDCORE VDDIO must always be higher than or equal to VDDCORE. VDDIO must reach its minimum operating voltage (1.62 V) before VDDCORE has reached VDDCORE(min). The minimum slope for VDDCORE is defined by (VDDCORE(min) - VT+) / tRST. If VDDCORE rises at the same time as VDDIO, the VDDIO rising slope must be higher than or equal to 8.8 V/ms. If VDDCORE is powered by the internal regulator, all power-up considerations are met Figure 5-1. VDDCORE and VDDIO Constraints at Startup Supply (V) VDDIO VDDIO(min) VDDCORE VDDCORE(min) VT+ tRST Time (t) Core supply POR output SLCK SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 15 5.2.2 VDDIO Versus VDDIN At power-up, VDDIO needs to reach 0.6 V before VDDIN reaches 1.0 V. VDDIO voltage needs to be equal to or below (VDDIN voltage + 0.5 V). 5.3 Voltage Regulator The SAM4E embeds a voltage regulator that is managed by the Supply Controller. This internal regulator is designed to supply the internal core of SAM4E. It features two operating modes:  In Normal mode, the voltage regulator consumes less than 500 µA static current and draws 80 mA of output current. Internal adaptive biasing adjusts the regulator quiescent current depending on the required load current. In Wait Mode quiescent current is only 5 µA.  In Backup mode, the voltage regulator consumes less than 1.5 µA while its output (VDDOUT) is driven internally to GND. The default output voltage is 1.20V and the start-up time to reach Normal mode is less than 300 µs. For adequate input and output power supply decoupling/bypassing, refer to Table 46-3, “1.2V Voltage Regulator Characteristics,” on page 1357. 5.4 Typical Powering Schematics The SAM4E supports a 1.62–3.6 V single supply mode. The internal regulator input is connected to the source and its output feeds VDDCORE. Figure 5-2 shows the power schematics. As VDDIN powers the voltage regulator, the DAC and the analog comparator, when the user does not want to use the embedded voltage regulator, it can be disabled by software via the SUPC (note that this is different from Backup mode). Figure 5-2. Single Supply VDDIO Main Supply (1.62–3.6 V) USB Transceivers AFEC, DAC, Analog Comp. VDDIN VDDOUT Voltage Regulator VDDCORE VDDPLL Note: 16 Restrictions: - For USB, VDDIO needs to be greater than 3.0V - For AFEC, DAC, and Analog Comparator, VDDIN needs to be greater than 2.4V SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 5-3. Core Externally Supplied VDDIO Main Supply (1.62–3.6 V) USB Transceivers Can be the same supply AFEC, DAC, Analog Comparator Supply (2.0–3.6 V) AFEC, DAC, Analog Comp. VDDIN VDDOUT VDDCORE Supply (1.08–1.32 V) Voltage Regulator VDDCORE VDDPLL Note: 5.5 Restrictions: - For USB, VDDIO needs to be greater than 3.0V - For AFEC, DAC, and Analog Comparator, VDDIN needs to be greater than 2.4V Active Mode Active mode is the normal running mode with the core clock running from the fast RC oscillator, the main crystal oscillator or the PLLA. The power management controller can be used to adapt the frequency and to disable the peripheral clocks. 5.6 Low-power Modes The SAM4E has the following low-power modes: Backup mode, Wait mode and Sleep mode. Note: The Wait For Event instruction (WFE) of the Cortex-M4 core can be used to enter any of the low-power modes, however, this may add complexity in the design of application state machines. This is due to the fact that the WFE instruction goes along with an event flag of the Cortex core (cannot be managed by the software application). The event flag can be set by interrupts, a debug event or an event signal from another processor. Since it is possible for an interrupt to occur just before the execution of WFE, WFE takes into account events that happened in the past. As a result, WFE prevents the device from entering wait mode if an interrupt event has occurred. Atmel has made provision to avoid using the WFE instruction. The workarounds to ease application design are as follows: - For backup mode, switch off the voltage regulator and configure the VROFF bit in the Supply Controller Control Register (SUPC_CR). - For wait mode, configure the WAITMODE bit in the PMC Clock Generator Main Oscillator Register of the Power Management Controller (PMC) - For sleep mode, use the Wait for Interrupt (WFI) instruction. Complete information is available in Table 5-1 “Low-power Mode Configuration Summary”. 5.6.1 Backup Mode The purpose of Backup mode is to achieve the lowest power consumption possible in a system which is performing periodic wake-ups to perform tasks but not requiring fast startup time. Total current consumption is 1 µA typical (VDDIO = 1.8 V at 25°C). The Supply Controller, zero-power power-on reset, RTT, RTC, backup registers and 32 kHz oscillator (RC or crystal oscillator selected by software in the Supply Controller) are running. The regulator and the core supply are off. The SAM4E can be woken up from this mode using the pins WKUP0–15, the supply monitor (SM), the RTT or RTC wake-up event. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 17 Backup mode is entered by writing a 1 to the VROFF bit of the Supply Controller Control Register (SUPC_CR) (A key is needed to write the VROFF bit, refer to Section 18. “Supply Controller (SUPC)”) and with the SLEEPDEEP bit in the Cortex-M4 System Control Register set to 1. (See the power management description in Section 11. “ARM Cortex-M4 Processor”). To enter Backup mode using the VROFF bit:  Write a 1 to the VROFF bit of SUPC_CR. To enter Backup mode using the WFE instruction:  Write a 1 to the SLEEPDEEP bit of the Cortex-M4 processor.  Execute the WFE instruction of the processor. In both cases, exit from Backup mode happens if one of the following enable wake-up events occurs:  Level transition, configurable debouncing on pins WKUPEN0–15  Supply Monitor alarm  RTC alarm  RTT alarm 5.6.2 Wait Mode The purpose of Wait mode is to achieve very low power consumption while maintaining the whole device in a powered state for a startup time of less than 10 µs. Current consumption in Wait mode is typically 32 µA (total current consumption) if the internal voltage regulator is used. In this mode, the clocks of the core, peripherals and memories are stopped. However, the core, peripherals and memories power supplies are still powered. From this mode, a fast start up is available. This mode is entered by setting the WAITMODE bit to 1 in the PMC Clock Generator Main Oscillator Register (CKGR_MOR) in conjunction with FLPM = 0 or FLPM = 1 bits of the PMC Fast Startup Mode Register (PMC_FSMR) or by the WFE instruction. The Cortex-M4 is able to handle external or internal events in order to wake-up the core. This is done by configuring the external lines WKUP0–15 as fast startup wake-up pins (refer to Section 5.8 “Fast Start-up”). RTC or RTT Alarm and USB wake-up events can be used to wake up the CPU. To enter Wait mode with WAITMODE bit: 1. Select the 4/8/12 MHz fast RC oscillator as Main Clock. 2. Set the FLPM field in the PMC_FSMR. 3. Set Flash Wait State to 0. 4. Set the WAITMODE bit = 1 in CKGR_MOR. 5. Wait for Master Clock Ready MCKRDY = 1 in the PMC Status Register (PMC_SR). To enter Wait mode with WFE: 1. Select the 4/8/12 MHz fast RC oscillator as Main Clock. 2. Set the FLPM field in the PMC_FSMR. 3. Set Flash Wait State to 0. 4. Set the LPM bit in the PMC_FSMR. 5. Execute the Wait-For-Event (WFE) instruction of the processor. In both cases, depending on the value of the field FLPM, the Flash enters one of three different modes:  FLPM = 0 in Standby mode (low consumption)  FLPM = 1 in Deep power-down mode (extra low consumption)  FLPM = 2 in Idle mode. Memory ready for Read access Table 5-1 summarizes the power consumption, wake-up time and system state in Wait mode. 18 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 5.6.3 Sleep Mode The purpose of Sleep mode is to optimize power consumption of the device versus response time. In this mode, only the core clock is stopped. The peripheral clocks can be enabled. The current consumption in this mode is application dependent. This mode is entered via Wait for Interrupt (WFI) or WFE instructions with bit LPM = 0 in PMC_FSMR. The processor can be woken up from an interrupt if the WFI instruction of the Cortex-M4 is used or from an event if the WFE instruction is used. 5.6.4 Low-power Mode Summary Table The modes detailed above are the main low-power modes. Each part can be set to on or off separately and wakeup sources can be configured individually. Table 5-1 provides the configuration summary of the low-power modes. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 19 20 Table 5-1. SAM4E Series [Datasheet] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Mode Low-power Mode Configuration Summary SUPC, 32 kHz Osc., RTC, RTT, GPBR, POR (Backup Region) Regulator Core Memory Peripherals Mode Entry ON OFF WKUP0–15 pins SM alarm RTC alarm RTT alarm Reset Any Event from: Fast startup through WKUP0–15 RTC alarm RTT alarm USB wake-up Clocked back Previous state Unchanged saved 56 µA(5) 10 µs Any Event from: Fast startup through WKUP0–15 RTC alarm RTT alarm USB wake-up Clocked back Previous state Unchanged saved 46.6 µA < 100 µs Entry mode = WFI Interrupt Only; Entry mode = WFE WFE Any Enabled Interrupt and/or Any Event from: Clocked Powered(7) or (Not clocked) WFI + SLEEPDEEP = 0 Fast start-up through back WKUP0–15 + LPM = 0 RTC alarm RTT alarm USB wake-up Previous state Unchanged saved (6) (6) OFF or (Not powered) WFE + SLEEPDEEP = 1 WAITMODE = 1 + FLPM = 0 Wait Mode w/Flash in Standby Mode ON ON or Powered (Not clocked) WFE + SLEEPDEEP = 0 + LPM = 1 + FLPM = 0 WAITMODE = 1 + FLPM = 1 Wait Mode w/Flash in Deep Powerdown Mode Sleep Mode Notes: or ON ON ON ON PIO State Core at while in Low- PIO State at Consumption Wake-up (2) (3) Time(1) Wake-Up Power Mode Wake Up PIOA & PIOB & PIOC & Previous state PIOD & saved PIOE Inputs with pull-ups VROFF = 1 Backup Mode Potential Wake-Up Sources Powered (Not clocked) WFE + SLEEPDEEP = 0 + LPM = 1 + FLPM = 1 1 µA typ(4) < 1 ms 1. When considering wake-up time, the time required to start the PLL is not taken into account. Once started, the device works with the 4/8/12 MHz fast RC oscillator. The user has to add the PLL start-up time if it is needed in the system. The wake-up time is defined as the time taken for wake up until the first instruction is fetched. 2. The external loads on PIOs are not taken into account in the calculation. 3. Supply Monitor current consumption is not included. 4. Total consumption is 1 μA typical (VDDIO = 1.8 V at 25°C). 5. Power consumption on VDDCORE. For total current consumption, please refer to Section 46. “SAM4E Electrical Characteristics”. 6. Depends on MCK frequency. 7. In this mode the core is supplied and not clocked but some peripherals can be clocked. 5.7 Wake-up Sources The wake-up events allow the device to exit the Backup mode. When a wake-up event is detected, the Supply Controller performs a sequence which automatically reenables the core power supply and the SRAM power supply, if they are not already enabled. See Figure 18-4 ”Wake-up Sources”. 5.8 Fast Start-up The SAM4E allows the processor to restart in a few microseconds while the processor is in Wait mode or in Sleep mode. A fast start-up can occur upon detection of a low level on one of the 19 wake-up inputs (WKUP0 to 15 + RTC + RTT + USB). The fast restart circuitry (shown in Figure 29-4 ”Fast Startup Circuitry”) is fully asynchronous and provides a fast start-up signal to the Power Management Controller. As soon as the fast start-up signal is asserted, the PMC automatically restarts the embedded 4/8/12 MHz Fast RC oscillator, switches the master clock on this 4 MHz clock by default and reenables the processor clock. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 21 6. Input/Output Lines The SAM4E has several kinds of input/output (I/O) lines such as general purpose I/Os (GPIO) and system I/Os. GPIOs can have alternate functionality due to multiplexing capabilities of the PIO controllers. The same PIO line can be used whether in I/O mode or by the multiplexed peripheral. System I/Os include pins such as test pins, oscillators, erase or analog inputs. 6.1 General Purpose I/O Lines GPIO Lines are managed by PIO Controllers. All I/Os have several input or output modes such as pull-up or pulldown, input Schmitt triggers, multi-drive (open-drain), glitch filters, debouncing or input change interrupt. Programming of these modes is performed independently for each I/O line through the PIO controller user interface. For more details, refer to Section 33. “Parallel Input/Output Controller (PIO)”. Some GPIOs can have an alternate function as analog input. When a GPIO is set in analog mode, all digital features of the I/O are disabled. The input/output buffers of the PIO lines are supplied through VDDIO power supply rail. The SAM4E device embeds high speed pads able. See Section 46.11 “AC Characteristics” for more details. Typical pull-up and pull-down value is 100 kΩ for all I/Os. Each I/O line also embeds an ODT (On-Die Termination), (see Figure 6-1 below). It consists of an internal series resistor termination scheme for impedance matching between the driver output (SAM4E) and the PCB trace impedance preventing signal reflection. The series resistor helps to reduce IOs switching current (di/dt) thereby reducing in turn, EMI. It also decreases overshoot and undershoot (ringing) due to inductance of interconnect between devices or between boards. In conclusion, ODT helps diminish signal integrity issues. Figure 6-1. On-die Termination Z0 ~ ZO + RODT ODT 36 Ω Typ. RODT Receiver SAM4 Driver with ZO ~ 10 Ω 22 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 PCB Trace Z0 ~ 50 Ω 6.2 System I/O Lines Table 6-1 lists the SAM4E system I/O lines shared with PIO lines. These pins are software configurable as general purpose I/O or system pins. At startup, the default function of these pins is always used. Table 6-1. CCFG_SYSIO Bit No. System I/O Configuration Pin List Default Function after Reset Other Function Constraints for Normal Start 12 ERASE PB12 Low Level at startup 7 TCK/SWCLK PB7 – 6 TMS/SWDIO PB6 – 5 TDO/TRACESWO PB5 – 4 TDI PB4 – – PA7 XIN32(2) (2) – PA8 – PB9 XIN – – PB8 XOUT – Notes: 1. 2. 3. 4. XOUT32 – Configuration (1) In Matrix User Interface Registers (Refer to the System I/O Configuration Register in Section 24. “Bus Matrix (MATRIX)”.) (3) – (4) If PB12 is used as PIO input in user applications, a low level must be ensured at startup to prevent Flash erase before the user application sets PB12 into PIO mode. When the 32kHz oscillator is used in Bypass mode, XIN32 (PA7) is used as external clock source input and XOUT32 (PA8) can be left unconnected or used as GPIO. Refer to Section 18.4.2 “Slow Clock Generator”. Refer to Section 28.5.3 “3 to 20 MHz Crystal or Ceramic Resonator-based Oscillator”. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 23 7. Memories 7.1 Product Mapping Figure 7-1. SAM4E Product Mapping Peripherals 0x40000000 PWM 36 0x40004000 0x00000000 Code 0x00000000 Address memory space AES 39 0x40008000 Boot Memory 0x00400000 Reserved Code Internal Flash 0x00800000 0x40010000 CAN0 0x20000000 Internal ROM 37 0x40014000 0x00C00000 Internal SRAM CAN1 Reserved 0x1FFFFFFF 38 0x40018000 0x40000000 Reserved 0x40034000 0x20000000 Peripherals Internal SRAM GMAC 44 0x40038000 SRAM 0x60000000 Reserved 0x20400000 Reserved 0x40044000 External SRAM Reserved 0x20800000 Undefined (Abort) 0x40060000 0x40048000 0xA0000000 SMC Reserved 0x40000000 MP Sys Controller 0x40080000 0xE0000000 0x60000000 External SRAM 16 0x40084000 System EBI Chip Select 1 0xFFFFFFFF 0x40088000 EBI Chip Select 2 0x4008C000 EBI Chip Select 3 0x40090000 0x63000000 reserved +0x40 0x9FFFFFFF +0x80 offset block 0x40094000 peripheral ID 0x400E0000 System Controller (+ : wired-or) +0x40 Reserved 0x400E0200 +0x80 MATRIX 0x400E0400 0x40098000 PMC +0x40 UART0 19 0x4007FFFF reserved +0x80 CHIPID 0x400E0800 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 18 30 31 32 33 DMAC 20 0x400C4000 RTT CMCC 0x400C8000 WDT Reserved 0x400E0000 RTC RSWDT 17 ACC System Controller 2 SYSC 15 0x400C0000 SUPC GPBR 14 0x400BC000 RSTC 4 SYSC 29 DACC 3 SYSC TC8 0x400B8000 1 SYSC 28 TC2 AFEC1 13 SYSC TC7 0x400B4000 PIOE +0x100 27 TC2 AFEC0 12 SYSC TC6 0x400B0000 PIOD +0x90 26 TC2 TWI1 11 SYSC TC5 0x400AC000 PIOC +0x60 25 TC1 TWI0 10 0x400E1600 TC4 0x400A8000 PIOB +0x50 24 TC1 USART0 9 0x400E1400 TC3 USART1 PIOA +0x30 23 TC1 0x400A4000 0x400E0E00 0x400E1200 TC2 0x400A0000 6 Reserved +0x10 22 TC0 Reserved EEFC 0x400E1000 TC1 0x4009C000 Reserved 0x400E0A00 0x400E0C00 TC0 21 TC0 7 0x400E0740 0x400E1800 TC0 5 0x400E0600 24 0x40061600 Reserved 0x64000000 0x400E2600 Reserved 0x60000000 45 0x40060800 35 SPI 0x62000000 0x40060600 Reserved UDP 0x61000000 Reserved UART1 HSMCI EBI Chip Select 0 8 0x40060200 0x40060000 Reserved MP Sys Controller 7.2 7.2.1 Embedded Memories Internal SRAM The SAM4E device (1024 Kbytes) embeds a total of 128-Kbyte high-speed SRAM. The SRAM is accessible over System Cortex-M4 bus at address 0x2000_0000. The SRAM is in the bit band region. The bit band alias region is from 0x2200_0000 to 0x23FF_FFFF. 7.2.2 Internal ROM The SAM4E device embeds an Internal ROM, which contains the SAM Boot Assistant (SAM-BA®), In Application Programming routines (IAP) and Fast Flash Programming Interface (FFPI). At any time, the ROM is mapped at address 0x0080 0000. 7.2.3 Embedded Flash 7.2.3.1 Flash Overview The memory is organized in sectors. Each sector has a size of 64 Kbytes. The first sector of 64 Kbytes is divided into three smaller sectors. The three smaller sectors are organized to consist of two sectors of 8 Kbytes and one sector of 48 Kbytes. Refer to Figure 7-2. Figure 7-2. Global Flash Organization Flash Organization Sector size Sector name 8 Kbytes Small Sector 0 8 Kbytes Small Sector 1 48 Kbytes Larger Sector 64 Kbytes Sector 1 64 Kbytes Sector n Sector 0 Each Sector is organized in pages of 512 bytes. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 25 For sector 0:  The smaller sector 0 has 16 pages of 512 bytes  The smaller sector 1 has 16 pages of 512 bytes  The larger sector has 96 pages of 512 bytes From Sector 1 to n: The rest of the array is composed of 64 Kbyte sector of each 128 pages of 512 bytes. Refer to Figure 7-3. Figure 7-3. Flash Sector Organization Flash Sector Organization A sector size is 64 Kbytes Sector 0 Sector n 16 pages of 512 bytes Smaller sector 0 16 pages of 512 bytes Smaller sector 1 96 pages of 512 bytes Larger sector 128 pages of 512 bytes Flash size varies by product. The Flash size of SAM4E device is 1024 Kbytes. Refer to Figure 7-4 for the organization of the Flash following its size. Figure 7-4. Flash Size Flash 1 Mbyte 2 * 8 Kbytes 1 * 48 Kbytes 15 * 64 Kbytes 26 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 The following erase commands can be used depending on the sector size:    8 Kbyte small sector ̶ Erase and write page (EWP) ̶ Erase and write page and lock (EWPL) ̶ Erase sector (ES) with FARG set to a page number in the sector to erase ̶ Erase pages (EPA) with FARG [1:0] = 0 to erase four pages or FARG [1:0] = 1 to erase eight pages. FARG [1:0] = 2 and FARG [1:0] = 3 must not be used. 48 Kbyte and 64 Kbyte sectors ̶ One block of 8 pages inside any sector, with the command Erase pages (EPA) with FARG[1:0] = 1 ̶ One block of 16 pages inside any sector, with the command Erase pages (EPA) and FARG[1:0] = 2 ̶ One block of 32 pages inside any sector, with the command Erase pages (EPA) and FARG[1:0] = 3 ̶ One sector with the command Erase sector (ES) and FARG set to a page number in the sector to erase Entire memory plane ̶ The entire Flash, with the command Erase all (EA). The write commands of the Flash cannot be used under 330 kHz. 7.2.3.2 Enhanced Embedded Flash Controller The Enhanced Embedded Flash Controller manages accesses performed by the masters of the system. It enables reading the Flash and writing the write buffer. It also contains a User Interface, mapped on the APB. The Enhanced Embedded Flash Controller ensures the interface of the Flash block. It manages the programming, erasing, locking and unlocking sequences of the Flash using a full set of commands. One of the commands returns the embedded Flash descriptor definition that informs the system about the Flash organization, thus making the software generic. 7.2.3.3 Flash Speed The user needs to set the number of wait states depending on the frequency used: For more details, refer to the “AC Characteristics” section of the product “Electrical Characteristics”. Target for the Flash speed at 0 wait state: 24 MHz. 7.2.3.4 Lock Regions Several lock bits are used to protect write and erase operations on lock regions. A lock region is composed of several consecutive pages, and each lock region has its associated lock bit. Table 7-1. Lock Bit Number Product Number of lock bits Lock region size SAM4E 128 8 Kbytes If a locked-region’s erase or program command occurs, the command is aborted and the EEFC triggers an interrupt. The lock bits are software programmable through the EEFC User Interface. The command “Set Lock Bit” enables the protection. The command “Clear Lock Bit” unlocks the lock region. Asserting the ERASE pin clears the lock bits, thus unlocking the entire Flash. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 27 7.2.3.5 Security Bit Feature The SAM4E device features a security bit, based on a specific General Purpose NVM bit (GPNVM bit 0). When the security is enabled, any access to the Flash, SRAM, Core Registers and Internal Peripherals either through the ICE interface or through the Fast Flash Programming Interface, is forbidden. This ensures the confidentiality of the code programmed in the Flash. This security bit can only be enabled through the command “Set General Purpose NVM Bit 0” of the EEFC User Interface. Disabling the security bit can only be achieved by asserting the ERASE pin at 1, and after a full Flash erase is performed. When the security bit is deactivated, all accesses to the Flash, SRAM, Core registers, Internal Peripherals are permitted. The ERASE pin integrates a permanent pull-down. Consequently, it can be left unconnected during normal operation. However, it is recommended, in harsh environment, to connect it directly to GND if the erase operation is not used in the application. To avoid unexpected erase at power-up, a minimum ERASE pin assertion time is required. This time is defined in Table 46-68 “AC Flash Characteristics”. The erase operation is not performed when the system is in Wait mode with the Flash in Deep-power-down mode. To make sure that the erase operation is performed after power-up, the system must not reconfigure the ERASE pin as GPIO or enter Wait mode with Flash in Deep-power-down mode before the ERASE pin assertion time has elapsed. The following sequence ensures the erase operation in all cases: 7.2.3.6 1. Assert the ERASE pin (High) 2. Assert the NRST pin (Low) 3. Power cycle the device 4. Maintain the ERASE pin high for at least the minimum assertion time. Calibration Bits NVM bits are used to calibrate the brownout detector and the voltage regulator. These bits are factory configured and cannot be changed by the user. The ERASE pin has no effect on the calibration bits. 7.2.3.7 Unique Identifier Each device integrates its own 128-bit unique identifier. These bits are factory configured and cannot be changed by the user. The ERASE pin has no effect on the unique identifier. 7.2.3.8 User Signature Each part contains a User Signature of 512 bytes. It can be used by the user to store user information, such as trimming, keys, etc., that the customer does not want to be erased by asserting the ERASE pin or by software ERASE command. Read, write and erase of this area is allowed. 7.2.3.9 Fast Flash Programming Interface The Fast Flash Programming Interface allows programming the device through a multiplexed fully-handshaked parallel port. It allows gang programming with market-standard industrial programmers. The FFPI supports read, page program, page erase, full erase, lock, unlock and protect commands. The Fast Flash Programming Interface is enabled and the Fast Programming Mode is entered when TST and PA0 and PA1are tied low. 28 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 7.2.3.10 SAM-BA Boot The SAM-BA Boot is a default Boot Program which provides an easy way to program in-situ the on-chip Flash memory. The SAM-BA Boot Assistant supports serial communication via the UART. The SAM-BA Boot provides an interface with SAM-BA Graphic User Interface (GUI). The SAM-BA Boot is in ROM and is mapped in Flash at address 0x0 when GPNVM bit 1 is set to 0. 7.2.3.11 GPNVM Bits The SAM4E device features two GPNVM bits. These bits can be cleared or set respectively through the commands “Clear GPNVM Bit” and “Set GPNVM Bit” of the EEFC User Interface. The Flash of SAM4E is composed of 1024 Kbytes in a single bank. Table 7-2. 7.2.4 General-purpose Non-volatile Memory Bits GPNVMBit[#] Function 0 Security bit 1 Boot mode selection Boot Strategies The system always boots at address 0x0. To ensure maximum boot possibilities, the memory layout can be changed via GPNVM. A general purpose NVM (GPNVM) bit is used to boot either on the ROM (default) or from the Flash. The GPNVM bit can be cleared or set respectively through the commands “Clear General-purpose NVM Bit” and “Set General-purpose NVM Bit” of the EEFC User Interface. Setting GPNVM Bit 1 selects the boot from the Flash, clearing it selects the boot from the ROM. Asserting ERASE clears the GPNVM Bit 1 and thus selects the boot from the ROM by default. 7.3 External Memories The SAM4E device features one External Bus Interface to provide an interface to a wide range of external memories and to any parallel peripheral. 7.4 Cortex-M Cache Controller (CMCC) The SAM4E device features one cache memory and his controller which improve code execution when the code runs out of Code section (memory from 0x0 to 0x2000_0000). The Cache controller handles both command instructions and data, it is an unified cache:  L1 data cache size set to 2 Kbytes  L1 cache line is 16 bytes  L1 cache integrates 32 bits bus master interface  Unified 4-way set associative cache architecture SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 29 8. Real-time Event Management The events generated by peripherals are designed to be directly routed to peripherals managing/using these events without processor intervention. Peripherals receiving events contain logic by which to select the one required. 8.1 Embedded Characteristics 8.2  Timers, PWM, IO peripherals generate event triggers which are directly routed to event managers such as AFEC or DACC, for example, to start measurement/conversion without processor intervention.  UART, USART, SPI, TWI, PWM, HSMCI, AES, AFEC, DACC, PIO, TIMER (capture mode) also generate event triggers directly connected to Peripheral DMA Controller (PDC) for data transfer without processor intervention.  Parallel capture logic is directly embedded in PIO and generates trigger event to PDC to capture data without processor intervention.  PWM security events (faults) are in combinational form and directly routed from event generators (AFEC, ACC, PMC, TIMER) to PWM module.  PWM output comparators generate events directly connected to TIMER.  PMC security event (clock failure detection) can be programmed to switch the MCK on reliable main RC internal clock without processor intervention. Real-time Event Mapping Table 8-1. Real-time Event Mapping List Function Application Security General-purpose General-purpose Generalpurpose, motor control Description Event Source Event Destination Immediate GPBR clear (asynchronous) on Tamper detection through WKUP0/1 IO pins (1) Parallel Input/Output Controller (PIO): WKUP0/1 General Purpose Backup Registers (GPBR) Automatic Switch to reliable main RC oscillator in case of Main Crystal Clock Failure (2) Power Management Controller (PMC) PMC Puts the PWM Outputs in Safe Mode (Main Crystal Clock Failure Detection) (2)(3) Puts the PWM Outputs in Safe Mode (Overcurrent sensor, ...) (3)(4) Safety Motor control Puts the PWM Outputs in Safe Mode (Overspeed, Overcurrent detection ...) (3)(5) Puts the PWM Outputs in Safe Mode (Overspeed detection through TIMER Quadrature Decoder) (3)(6) Image capture 30 PMC Analog Comparator Controller (ACC) Analog-Front-EndController (AFEC0/1) Timer Counter (TC) Generalpurpose, motor control Puts the PWM Outputs in Safe Mode (General Purpose Fault Inputs) (3) PIO Low-cost image sensor PC is embedded in PIO (Capture Image from Sensor directly to System Memory) (7) PIO SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Pulse Width Modulation (PWM) DMA Table 8-1. Function Real-time Event Mapping List (Continued) Application Description Event Source Event Destination PIO (ADTRG) General-purpose TC Output 0 Trigger source selection in AFEC (8) TC Output 1 Measurement trigger AFEC TC Output 2 Motor control Delay measurement Motor control ADC-PWM synchronization (9)(10) Trigger source selection in AFEC PWM Event Line 0 (8) PWM Event Line 1 Propagation delay of external components (IOs, power transistor bridge driver, etc.) (11)(12) PWM Output Compare Line 0 TC Input (A/B) 0 PWM Output Compare Line 1 TC Input (A/B) 1 PWM Output Compare Line 2 TC Input (A/B) 2 PIO DATRG TC Output 0 Conversion trigger General-purpose Trigger source selection in DACC (13) TC Output 1 TC Output 2 Digital-Analog Converter Controller (DACC) PWM Event Line 0 (10) Notes: PWM Event Line 1 (10) 1. Refer to “Low-power Tamper Detection and Anti-Tampering” in Section 18. “Supply Controller (SUPC)” and “General Purpose Backup Register x” in Section 19. “General Purpose Backup Registers (GPBR)”. 2. Refer to “Main Clock Failure Detector” in Section 29. “Power Management Controller (PMC)”. 3. Refer to “Fault Inputs” and “Fault Protection” in “Pulse Width Modulation Controller (PWM)” . 4. Refer to “Fault Mode” in “Analog Comparator Controller (ACC)” . 5. Refer to “Fault Output” in Section 43. “Analog Front-End Controller (AFEC)”. 6. Refer to “Fault Mode” in “Timer Counter (TC)” . 7. Refer to “Parallel Capture Mode” in Section 33. “Parallel Input/Output Controller (PIO)”. 8. Refer to “Conversion Triggers” and the AFEC Mode Register (AFEC_MR) in Section 43. “Analog Front-End Controller (AFEC)”. 9. Refer to PWM Comparison Value Register (PWM_CMPV) in Section 39. “Pulse Width Modulation Controller (PWM)”. 10. Refer to “PWM Comparison Units” and “PWM Event Lines” in Section 39. “Pulse Width Modulation Controller (PWM)”. 11. Refer to “Comparator” in Section 39. “Pulse Width Modulation Controller (PWM)”. 12. Refer to “Synchronization with PWM” in Section 38. “Timer Counter (TC)”. 13. Refer to DACC Trigger Register (DACC_TRIGR) in Section 44. “Digital-to-Analog Converter Controller (DACC)”. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 31 9. System Controller 9.1 System Controller and Peripherals Mapping Please refer to Figure 7-1 ”SAM4E Product Mapping”. 9.2 Power-on-Reset, Brownout and Supply Monitor The SAM4E device embeds three features to monitor, warn and/or reset the chip: 9.2.1  Power-on-Reset on VDDIO  Brownout Detector on VDDCORE  Supply Monitor on VDDIO Power-on-Reset The Power-on-Reset monitors VDDIO. It is always activated and monitors voltage at start up but also during power down. If VDDIO goes below the threshold voltage, the entire chip is reset. For more information, refer to Section 46. “SAM4E Electrical Characteristics”. 9.2.2 Brownout Detector on VDDCORE The Brownout Detector monitors VDDCORE. It is active by default. It can be deactivated by software through the Supply Controller (SUPC_MR). It is especially recommended to disable it during low-power modes such as wait or sleep modes. If VDDCORE goes below the threshold voltage, the reset of the core is asserted. For more information, refer to the Section 18. “Supply Controller (SUPC)” and Section 46. “SAM4E Electrical Characteristics”. 9.2.3 Supply Monitor on VDDIO The Supply Monitor monitors VDDIO. It is not active by default. It can be activated by software and is fully programmable with 16 steps for the threshold (between 1.6V to 3.4V). It is controlled by the Supply Controller (SUPC). A sample mode is possible. It allows to divide the supply monitor power consumption by a factor of up to 2048. For more information, refer to the Section 18. “Supply Controller (SUPC)” and Section 46. “SAM4E Electrical Characteristics”. 32 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 10. Peripherals 10.1 Peripheral Identifiers Table 10-1 defines the Peripheral Identifiers of the SAM4E device. A peripheral identifier is required for the control of the peripheral interrupt with the Nested Vectored Interrupt Controller and control of the peripheral clock with the Power Management Controller. Table 10-1. Peripheral Identifiers Instance ID Instance Name NVIC Interrupt PMC Clock Control 0 SUPC X Supply Controller 1 RSTC X Reset Controller 2 RTC X Real-time Clock 3 RTT X Real-time Timer 4 WDT/ RSWDT X Watchdog/Dual Watchdog Timer 5 PMC X Power Management Controller 6 EEFC X Enhanced Embedded Flash Controller 7 UART0 X 8 SMC 9 PIOA 10 Instance Description X Universal Asynchronous Receiver Transmitter 0 X Static Memory Controller X X Parallel I/O Controller A PIOB X X Parallel I/O Controller B 11 PIOC X X Parallel I/O Controller C 12 PIOD X X Parallel I/O Controller D 13 PIOE X X Parallel I/O Controller E 14 USART0 X X Universal Synchronous Asynchronous Receiver Transmitter 0 15 USART1 X X Universal Synchronous Asynchronous Receiver Transmitter 1 16 HSMCI X X Multimedia Card Interface 17 TWI0 X X Two-wire Interface 0 18 TWI1 X X Two-wire Interface 1 19 SPI X X Serial Peripheral Interface 20 DMAC X X DMA Controller 21 TC0 X X Timer/Counter Channel 0 22 TC1 X X Timer/Counter Channel 1 23 TC2 X X Timer/Counter Channel 2 24 TC3 X X Timer/Counter Channel 3 25 TC4 X X Timer/Counter Channel 4 26 TC5 X X Timer/Counter Channel 5 27 TC6 X X Timer/Counter Channel 6 28 TC7 X X Timer/Counter Channel 7 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33 Table 10-1. Peripheral Identifiers (Continued) Instance ID Instance Name NVIC Interrupt PMC Clock Control 29 TC8 X X Timer/Counter Channel 8 30 AFEC0 X X Analog Front End Controller 0 31 AFEC1 X X Analog Front End Controller 1 32 DACC X X Digital to Analog Converter Controller 33 ACC X X Analog Comparator Controller 34 ARM X 35 UDP X X USB Device Port 36 PWM X X Pulse Width Modulation Controller 37 CAN0 X X Controller Area Network 0 38 CAN1 X X Controller Area Network 1 39 AES X X Advanced Encryption Standard FPU signals: FPIXC, FPOFC, FPUFC, FPIOC, FPDZC, FPIDC, FPIXC 40 Reserved 41 Reserved 42 Reserved 43 Reserved 44 GMAC X X Ethernet MAC 45 UART1 X X Universal Asynchronous Receiver Transmitter 1 46 34 Instance Description Reserved SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 10.2 Peripheral Signal Multiplexing on I/O Lines The SAM4E device features five PIO Controllers on 144-pin versions (PIOA, PIOB, PIOC, PIOD and PIOE) that multiplex the I/O lines of the peripheral set. The SAM4E PIO Controllers control up to 32 lines. Each line can be assigned to one of three peripheral functions: A, B or C. The multiplexing tables in the following paragraphs define how the I/O lines of the peripherals A, B and C are multiplexed on the PIO Controllers. The column “Comments” has been inserted in this table for the user’s own comments; it may be used to track how pins are defined in an application. Note that some peripheral functions which are output only, might be duplicated within the tables. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 35 10.2.1 PIO Controller A Multiplexing Table 10-2. Multiplexing on PIO Controller A (PIOA) I/O Line Peripheral B Peripheral C Extra Function PWMH0 TIOA0 A17 WKUP0 PA1 PWMH1 TIOB0 A18 WKUP1(1) PA2 PWMH2 DATRG WKUP2(1) PA3 TWD0 NPCS3 PA4 TWCK0 TCLK0 WKUP3(1) PA5 NPCS3 URXD1 PA6 PCK0 UTXD1 PA7 PWMH3 PA8 AFE0_ADTRG PA9 URXD0 NPCS1 PA10 UTXD0 NPCS2 PA11 NPCS0 PWMH0 PA12 MISO PWMH1 PA13 MOSI PWMH2 PA14 SPCK PWMH3 WKUP4(1) XIN32(2) WKUP5(1) PWMFI0 WKUP6(1) WKUP7(1) WKUP8(1) PA15 TIOA1 PWML3 WKUP14/PIODCEN1(3) PA16 TIOB1 PWML2 WKUP15/PIODCEN2(3) PA17 PCK1 PWMH3 AFE0_AD0(4) PA18 PCK2 A14 AFE0_AD1(4) PA19 PWML0 A15 AFE0_AD2/WKUP9(5) PA20 PWML1 A16 AFE0_AD3/WKUP10(5) AFE1_AD2(4) PA21 RXD1 PCK1 PA22 TXD1 NPCS3 NCS2 AFE1_AD3(4) PA23 SCK1 PWMH0 A19 PIODCCLK(6) PA24 RTS1 PWMH1 A20 PIODC0(6) PA25 CTS1 PWMH2 A23 PIODC1(6) PA26 DCD1 TIOA2 MCDA2 PIODC2(6) PA27 DTR1 TIOB2 MCDA3 PIODC3(6) PA28 DSR1 TCLK1 MCCDA PIODC4(6) PA29 RI1 TCLK2 MCCK PIODC5(6) PA30 PWML2 NPCS2 MCDA0 WKUP11/PIODC6(3) PA31 NPCS1 PCK2 MCDA1 PIODC7(6) 1. 2. 3. 4. WKUPx can be used if PIO controller defines the I/O line as "input". Refer to Section 6.2 “System I/O Lines”. PIODCENx/PIODCx has priority over WKUPx. Refer to Section 33.5.14 “Parallel Capture Mode”. To select this extra function, refer to Section 43.5.1 “I/O Lines”. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 System Function (1) PA0 Notes: 36 Peripheral A XOUT32(2) 5. Analog input has priority over WKUPx pin. 6. To select this extra function, refer to Section 33.5.14 “Parallel Capture Mode”. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 37 10.2.2 PIO Controller B Multiplexing Table 10-3. Multiplexing on PIO Controller B (PIOB) I/O Line Peripheral B Peripheral C Extra Function System Function (1) PB0 PWMH0 RXD0 AFE0_AD4/RTCOUT0 PB1 PWMH1 TXD0 AFE0_AD5/RTCOUT1(1) PB2 CANTX0 NPCS2 CTS0 AFE1_AD0/WKUP12(2) PB3 CANRX0 PCK2 RTS0 AFE1_AD1(3) PB4 TWD1 PWMH2 PB5 TWCK1 PWML0 TDI(5) WKUP13(4) TDO/TRACESWO(5) PB6 TMS/SWDIO(5) PB7 TCK/SWCLK(5) PB8 XOUT(5) PB9 XIN(5) PB10 DDM PB11 DDP ERASE(5) PB12 PWML1 PB13 PWML2 PCK0 PB14 NPCS1 PWMH3 Notes: 38 Peripheral A 1. 2. 3. 4. 5. 6. SCK0 DAC0(6) DAC1(6) Analog input has priority over RTCOUTx pin. See Section 15.5.8 “Waveform Generation”. Analog input has priority over WKUPx pin. To select this extra function, refer to Section 43.5.1 “I/O Lines”. WKUPx can be used if PIO controller defines the I/O line as "input". Refer to Section 6.2 “System I/O Lines”. DAC0 is selected when DACC_CHER.CH0 is set. DAC1 is selected when DACC_CHER.CH1 is set. See Section 44.7.3 “DACC Channel Enable Register”. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 10.2.3 PIO Controller C Multiplexing Table 10-4. I/O Line Multiplexing on PIO Controller C (PIOC) Peripheral A Peripheral B Peripheral C Extra Function PC0 D0 PWML0 AFE0_AD14 PC1 D1 PWML1 AFE1_AD4(1) PC2 D2 PWML2 AFE1_AD5(1) PC3 D3 PWML3 AFE1_AD6(1) PC4 D4 NPCS1 AFE1_AD7(1) PC5 D5 TIOA6 PC6 D6 TIOB6 PC7 D7 TCLK6 PC8 NWE TIOA7 PC9 NANDOE TIOB7 PC10 NANDWE TCLK7 PC11 NRD TIOA8 PC12 NCS3 TIOB8 PC13 NWAIT PWML0 PC14 NCS0 TCLK8 PC15 NCS1 PWML1 PC16 A21/NANDALE PC17 A22/NANDCLE PC18 A0 PWMH0 PC19 A1 PWMH1 PC20 A2 PWMH2 PC21 A3 PWMH3 PC22 A4 PWML3 PC23 A5 TIOA3 PC24 A6 TIOB3 PC25 A7 TCLK3 PC26 A8 TIOA4 AFE0_AD12(1) PC27 A9 TIOB4 AFE0_AD13(1) PC28 A10 TCLK4 PC29 A11 TIOA5 AFE0_AD9(1) PC30 A12 TIOB5 AFE0_AD10(1) PC31 A13 TCLK5 AFE0_AD11(1) Notes: System Function (1) CANRX1 AFE0_AD8(1) AFE0_AD6(1) CANTX1 AFE0_AD7(1) 1. To select this extra function, refer to Section 43.5.1 “I/O Lines”. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39 10.2.4 PIO Controller D Multiplexing Table 10-5. Multiplexing on PIO Controller D (PIOD) I/O Line Peripheral A PD0 GTXCK PD1 GTXEN PD2 GTX0 PD3 GTX1 PD4 GRXDV PD5 GRX0 PD6 GRX1 PD7 GRXER PD8 GMDC PD9 GMDIO PD10 GCRS PD11 GRX2 PD12 GRX3 PD13 GCOL PD14 GRXCK PD15 GTX2 PD16 GTX3 PD17 GTXER PD18 NCS1 PD19 NCS3 PD20 PWMH0 PD21 PWMH1 PD22 PWMH2 PD23 PWMH3 PD24 PWML0 PD25 PWML1 PD26 PWML2 PD27 PWML3 Peripheral B PD28 PD29 PD30 PD31 40 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Peripheral C Extra Function System Function 10.2.5 Table 10-6. I/O Line PIO Controller E Multiplexing Multiplexing on PIO Controller E (PIOE) Peripheral A Peripheral B Peripheral C Extra Function System Function Comments PE0 144-pin version PE1 144-pin version PE2 144-pin version PE3 144-pin version PE4 144-pin version PE5 144-pin version SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 41 11. Cortex-M4 processor 11.1 Description The Cortex-M4 processor is a high performance 32-bit processor designed for the microcontroller market. It offers significant benefits to developers, including outstanding processing performance combined with fast interrupt handling, enhanced system debug with extensive breakpoint and trace capabilities, efficient processor core, system and memories, ultra-low power consumption with integrated sleep modes, and platform security robustness, with integrated memory protection unit (MPU). The Cortex-M4 processor is built on a high-performance processor core, with a 3-stage pipeline Harvard architecture, making it ideal for demanding embedded applications. The processor delivers exceptional power efficiency through an efficient instruction set and extensively optimized design, providing high-end processing hardware including IEEE754-compliant single-precision floating-point computation, a range of single-cycle and SIMD multiplication and multiply-with-accumulate capabilities, saturating arithmetic and dedicated hardware division. To facilitate the design of cost-sensitive devices, the Cortex-M4 processor implements tightly-coupled system components that reduce processor area while significantly improving interrupt handling and system debug capabilities. The Cortex-M4 processor implements a version of the Thumb® instruction set based on Thumb-2 technology, ensuring high code density and reduced program memory requirements. The Cortex-M4 instruction set provides the exceptional performance expected of a modern 32-bit architecture, with the high code density of 8-bit and 16-bit microcontrollers. The Cortex-M4 processor closely integrates a configurable NVIC, to deliver industry-leading interrupt performance. The NVIC includes a non-maskable interrupt (NMI), and provides up to 256 interrupt priority levels. The tight integration of the processor core and NVIC provides fast execution of interrupt service routines (ISRs), dramatically reducing the interrupt latency. This is achieved through the hardware stacking of registers, and the ability to suspend load-multiple and store-multiple operations. Interrupt handlers do not require wrapping in assembler code, removing any code overhead from the ISRs. A tail-chain optimization also significantly reduces the overhead when switching from one ISR to another. To optimize low-power designs, the NVIC integrates with the sleep modes, that include a deep sleep function that enables the entire device to be rapidly powered down while still retaining program state. 11.1.1 System Level Interface The Cortex-M4 processor provides multiple interfaces using AMBA® technology to provide high speed, low latency memory accesses. It supports unaligned data accesses and implements atomic bit manipulation that enables faster peripheral controls, system spinlocks and thread-safe Boolean data handling. The Cortex-M4 processor has a Memory Protection Unit (MPU) that provides fine grain memory control, enabling applications to utilize multiple privilege levels, separating and protecting code, data and stack on a task-by-task basis. Such requirements are becoming critical in many embedded applications such as automotive. 11.1.2 Integrated Configurable Debug The Cortex-M4 processor implements a complete hardware debug solution. This provides high system visibility of the processor and memory through either a traditional JTAG port or a 2-pin Serial Wire Debug (SWD) port that is ideal for microcontrollers and other small package devices. For system trace the processor integrates an Instrumentation Trace Macrocell (ITM) alongside data watchpoints and a profiling unit. To enable simple and cost-effective profiling of the system events these generate, a Serial Wire Viewer (SWV) can export a stream of software-generated messages, data trace, and profiling information through a single pin. 42 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 The Flash Patch and Breakpoint Unit (FPB) provides up to eight hardware breakpoint comparators that debuggers can use. The comparators in the FPB also provide remap functions of up to eight words in the program code in the CODE memory region. This enables applications stored on a non-erasable, ROM-based microcontroller to be patched if a small programmable memory, for example flash, is available in the device. During initialization, the application in ROM detects, from the programmable memory, whether a patch is required. If a patch is required, the application programs the FPB to remap a number of addresses. When those addresses are accessed, the accesses are redirected to a remap table specified in the FPB configuration, which means the program in the nonmodifiable ROM can be patched. 11.2 Embedded Characteristics  Tight integration of system peripherals reduces area and development costs  Thumb instruction set combines high code density with 32-bit performance  IEEE754-compliant single-precision FPU  Code-patch ability for ROM system updates  Power control optimization of system components  Integrated sleep modes for low power consumption  Fast code execution permits slower processor clock or increases sleep mode time  Hardware division and fast digital-signal-processing oriented multiply accumulate  Saturating arithmetic for signal processing  Deterministic, high-performance interrupt handling for time-critical applications  Memory Protection Unit (MPU) for safety-critical applications  Extensive debug and trace capabilities: ̶ 11.3 Serial Wire Debug and Serial Wire Trace reduce the number of pins required for debugging, tracing, and code profiling. Block Diagram Figure 11-1. Typical Cortex-M4F Implementation Cortex-M4F Processor FPU NVIC Debug Access Port Processor Core Memory Protection Unit Flash Patch Serial Wire Viewer Data Watchpoints Bus Matrix Code Interface SRAM and Peripheral Interface SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 43 11.4 Cortex-M4 Models 11.4.1 Programmers Model This section describes the Cortex-M4 programmers model. In addition to the individual core register descriptions, it contains information about the processor modes and privilege levels for software execution and stacks. 11.4.1.1 Processor Modes and Privilege Levels for Software Execution The processor modes are:  Thread mode Used to execute application software. The processor enters the Thread mode when it comes out of reset.  Handler mode Used to handle exceptions. The processor returns to the Thread mode when it has finished exception processing. The privilege levels for software execution are:  Unprivileged The software: ̶ Has limited access to the MSR and MRS instructions, and cannot use the CPS instruction ̶ Cannot access the System Timer, NVIC, or System Control Block ̶ Might have a restricted access to memory or peripherals. Unprivileged software executes at the unprivileged level.  Privileged The software can use all the instructions and has access to all resources. Privileged software executes at the privileged level. In Thread mode, the Control Register controls whether the software execution is privileged or unprivileged, see “Control Register” . In Handler mode, software execution is always privileged. Only privileged software can write to the Control Register to change the privilege level for software execution in Thread mode. Unprivileged software can use the SVC instruction to make a supervisor call to transfer control to privileged software. 11.4.1.2 Stacks The processor uses a full descending stack. This means the stack pointer holds the address of the last stacked item in memory When the processor pushes a new item onto the stack, it decrements the stack pointer and then writes the item to the new memory location. The processor implements two stacks, the main stack and the process stack, with a pointer for each held in independent registers, see “Stack Pointer” . In Thread mode, the Control Register controls whether the processor uses the main stack or the process stack, see “Control Register” . In Handler mode, the processor always uses the main stack. The options for processor operations are: Table 11-1. Processor Mode Used to Execute Privilege Level for Software Execution Thread Applications Privileged or unprivileged Handler Exception handlers Always privileged Note: 44 Summary of processor mode, execution privilege level, and stack use options 1. See “Control Register” . SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Stack Used (1) Main stack or process stack(1) Main stack 11.4.1.3 Core Registers Figure 11-2. Processor Core Registers R0 R1 R2 R3 Low registers R4 R5 R6 General-purpose registers R7 R8 R9 High registers R10 R11 R12 Stack Pointer SP (R13) Link Register LR (R14) Program Counter PC (R15) PSR PSP‡ MSP‡ ‡ Banked version of SP Program status register PRIMASK FAULTMASK Exception mask registers Special registers BASEPRI CONTROL Table 11-2. CONTROL register Core Processor Registers Register Name Access(1) Required Privilege(2) Reset General-purpose registers R0–R12 Read/Write Either Unknown Stack Pointer MSP Read/Write Privileged See description Stack Pointer PSP Read/Write Either Unknown Link Register LR Read/Write Either 0xFFFFFFFF Program Counter PC Read/Write Either See description Program Status Register PSR Read/Write Privileged 0x01000000 Application Program Status Register APSR Read/Write Either 0x00000000 Interrupt Program Status Register IPSR Read-only Privileged 0x00000000 Execution Program Status Register EPSR Read-only Privileged 0x01000000 Priority Mask Register PRIMASK Read/Write Privileged 0x00000000 Fault Mask Register FAULTMASK Read/Write Privileged 0x00000000 Base Priority Mask Register BASEPRI Read/Write Privileged 0x00000000 Control Register CONTROL Read/Write Privileged 0x00000000 Notes: 1. Describes access type during program execution in thread mode and Handler mode. Debug access can differ. 2. An entry of Either means privileged and unprivileged software can access the register. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 45 11.4.1.4 General-purpose Registers R0–R12 are 32-bit general-purpose registers for data operations. 11.4.1.5 Stack Pointer The Stack Pointer (SP) is register R13. In Thread mode, bit[1] of the Control Register indicates the stack pointer to use:  0 = Main Stack Pointer (MSP). This is the reset value.  1 = Process Stack Pointer (PSP). On reset, the processor loads the MSP with the value from address 0x00000000. 11.4.1.6 Link Register The Link Register (LR) is register R14. It stores the return information for subroutines, function calls, and exceptions. On reset, the processor loads the LR value 0xFFFFFFFF. 11.4.1.7 Program Counter The Program Counter (PC) is register R15. It contains the current program address. On reset, the processor loads the PC with the value of the reset vector, which is at address 0x00000004. Bit[0] of the value is loaded into the EPSR T-bit at reset and must be 1. 46 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.4.1.8 Program Status Register Name: PSR Access: Read/Write Reset: 0x000000000 31 N 30 Z 29 C 28 V 27 Q 26 23 22 21 20 25 24 T 19 18 17 16 12 11 10 9 – 8 ISR_NUMBER 4 3 2 1 0 ICI/IT – 15 14 13 ICI/IT 7 6 5 ISR_NUMBER The Program Status Register (PSR) combines: • Application Program Status Register (APSR) • Interrupt Program Status Register (IPSR) • Execution Program Status Register (EPSR). These registers are mutually exclusive bitfields in the 32-bit PSR. The PSR accesses these registers individually or as a combination of any two or all three registers, using the register name as an argument to the MSR or MRS instructions. For example: • Read of all the registers using PSR with the MRS instruction • Write to the APSR N, Z, C, V and Q bits using APSR_nzcvq with the MSR instruction. The PSR combinations and attributes are: Name Access Combination PSR Read/Write(1)(2) APSR, EPSR, and IPSR IEPSR Read-only EPSR and IPSR IAPSR APSR and IPSR (2) APSR and EPSR Read/Write EAPSR Notes: (1) Read/Write 1. The processor ignores writes to the IPSR bits. 2. Reads of the EPSR bits return zero, and the processor ignores writes to these bits. See the instruction descriptions “MRS” and “MSR” for more information about how to access the program status registers. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 47 11.4.1.9 Application Program Status Register Name: APSR Access: Read/Write Reset: 0x000000000 31 N 30 Z 23 22 29 C 28 V 27 Q 26 21 20 19 18 – 15 14 25 – 24 17 16 GE[3:0] 13 12 11 10 9 8 3 2 1 0 – 7 6 5 4 – The APSR contains the current state of the condition flags from previous instruction executions. • N: Negative Flag 0: Operation result was positive, zero, greater than, or equal 1: Operation result was negative or less than. • Z: Zero Flag 0: Operation result was not zero 1: Operation result was zero. • C: Carry or Borrow Flag Carry or borrow flag: 0: Add operation did not result in a carry bit or subtract operation resulted in a borrow bit 1: Add operation resulted in a carry bit or subtract operation did not result in a borrow bit. • V: Overflow Flag 0: Operation did not result in an overflow 1: Operation resulted in an overflow. • Q: DSP Overflow and Saturation Flag Sticky saturation flag: 0: Indicates that saturation has not occurred since reset or since the bit was last cleared to zero 1: Indicates when an SSAT or USAT instruction results in saturation. This bit is cleared to zero by software using an MRS instruction. • GE[19:16]: Greater Than or Equal Flags See “SEL” for more information. 48 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.4.1.10 Interrupt Program Status Register Name: IPSR Access: Read/Write Reset: 0x000000000 31 30 29 28 27 26 25 24 19 18 17 16 – 23 22 21 20 – 15 14 13 12 – 11 10 9 8 ISR_NUMBER 7 6 5 4 3 2 1 0 ISR_NUMBER The IPSR contains the exception type number of the current Interrupt Service Routine (ISR). • ISR_NUMBER: Number of the Current Exception 0 = Thread mode 1 = Reserved 2 = NMI 3 = Hard fault 4 = Memory management fault 5 = Bus fault 6 = Usage fault 7–10 = Reserved 11 = SVCall 12 = Reserved for Debug 13 = Reserved 14 = PendSV 15 = SysTick 16 = IRQ0 49 = IRQ46 See “Exception Types” for more information. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 49 11.4.1.11 Execution Program Status Register Name: EPSR Access: Read/Write Reset: 0x000000000 31 30 23 22 29 – 28 21 20 27 26 25 24 T 16 ICI/IT 19 18 17 11 10 9 – 15 14 13 12 ICI/IT 7 6 5 8 – 4 3 2 1 0 – The EPSR contains the Thumb state bit, and the execution state bits for either the If-Then (IT) instruction, or the Interruptible-Continuable Instruction (ICI) field for an interrupted load multiple or store multiple instruction. Attempts to read the EPSR directly through application software using the MSR instruction always return zero. Attempts to write the EPSR using the MSR instruction in the application software are ignored. Fault handlers can examine the EPSR value in the stacked PSR to indicate the operation that is at fault. See “Exception Entry and Return” . • ICI: Interruptible-continuable Instruction When an interrupt occurs during the execution of an LDM, STM, PUSH, POP, VLDM, VSTM, VPUSH, or VPOP instruction, the processor: – Stops the load multiple or store multiple instruction operation temporarily – Stores the next register operand in the multiple operation to EPSR bits[15:12]. After servicing the interrupt, the processor: – Returns to the register pointed to by bits[15:12] – Resumes the execution of the multiple load or store instruction. When the EPSR holds the ICI execution state, bits[26:25,11:10] are zero. • IT: If-Then Instruction Indicates the execution state bits of the IT instruction. The If-Then block contains up to four instructions following an IT instruction. Each instruction in the block is conditional. The conditions for the instructions are either all the same, or some can be the inverse of others. See “IT” for more information. • T: Thumb State The Cortex-M4 processor only supports the execution of instructions in Thumb state. The following can clear the T bit to 0: – Instructions BLX, BX and POP{PC} – Restoration from the stacked xPSR value on an exception return – Bit[0] of the vector value on an exception entry or reset. Attempting to execute instructions when the T bit is 0 results in a fault or lockup. See “Lockup” for more information. 50 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.4.1.12 Exception Mask Registers The exception mask registers disable the handling of exceptions by the processor. Disable exceptions where they might impact on timing critical tasks. To access the exception mask registers use the MSR and MRS instructions, or the CPS instruction to change the value of PRIMASK or FAULTMASK. See “MRS” , “MSR” , and “CPS” for more information. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 51 11.4.1.13 Priority Mask Register Name: PRIMASK Access: Read/Write Reset: 0x000000000 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 PRIMASK – 23 22 21 20 – 15 14 13 12 – 7 6 5 4 – The PRIMASK register prevents the activation of all exceptions with a configurable priority. • PRIMASK 0: No effect 1: Prevents the activation of all exceptions with a configurable priority. 52 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.4.1.14 Fault Mask Register Name: FAULTMASK Access: Read/Write Reset: 0x000000000 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 FAULTMASK – 23 22 21 20 – 15 14 13 12 – 7 6 5 4 – The FAULTMASK register prevents the activation of all exceptions except for Non-Maskable Interrupt (NMI). • FAULTMASK 0: No effect. 1: Prevents the activation of all exceptions except for NMI. The processor clears the FAULTMASK bit to 0 on exit from any exception handler except the NMI handler. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 53 11.4.1.15 Base Priority Mask Register Name: BASEPRI Access: Read/Write Reset: 0x000000000 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 – 23 22 21 20 – 15 14 13 12 – 7 6 5 4 BASEPRI The BASEPRI register defines the minimum priority for exception processing. When BASEPRI is set to a nonzero value, it prevents the activation of all exceptions with same or lower priority level as the BASEPRI value. • BASEPRI Priority mask bits: 0x0000: No effect Nonzero: Defines the base priority for exception processing The processor does not process any exception with a priority value greater than or equal to BASEPRI. This field is similar to the priority fields in the interrupt priority registers. The processor implements only bits[7:4] of this field, bits[3:0] read as zero and ignore writes. See “Interrupt Priority Registers” for more information. Remember that higher priority field values correspond to lower exception priorities. 54 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.4.1.16 Control Register Name: CONTROL Access: Read/Write Reset: 0x000000000 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 FPCA 1 SPSEL 0 nPRIV – 23 22 21 20 – 15 14 13 12 – 7 6 5 – 4 The Control Register controls the stack used and the privilege level for software execution when the processor is in Thread mode and indicates whether the FPU state is active. • FPCA: Floating-point Context Active Indicates whether the floating-point context is currently active: 0: No floating-point context active. 1: Floating-point context active. The Cortex-M4 uses this bit to determine whether to preserve the floating-point state when processing an exception. • SPSEL: Active Stack Pointer Defines the current stack: 0: MSP is the current stack pointer. 1: PSP is the current stack pointer. In Handler mode, this bit reads as zero and ignores writes. The Cortex-M4 updates this bit automatically on exception return. • nPRIV: Thread Mode Privilege Level Defines the Thread mode privilege level: 0: Privileged. 1: Unprivileged. Handler mode always uses the MSP, so the processor ignores explicit writes to the active stack pointer bit of the Control Register when in Handler mode. The exception entry and return mechanisms update the Control Register based on the EXC_RETURN value. In an OS environment, ARM recommends that threads running in Thread mode use the process stack, and the kernel and exception handlers use the main stack. By default, the Thread mode uses the MSP. To switch the stack pointer used in Thread mode to the PSP, either: • Use the MSR instruction to set the Active stack pointer bit to 1, see “MSR” , or • Perform an exception return to Thread mode with the appropriate EXC_RETURN value, see Table 11-10. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 55 Note: When changing the stack pointer, the software must use an ISB instruction immediately after the MSR instruction. This ensures that instructions after the ISB execute using the new stack pointer. See “ISB” . 11.4.1.17 Exceptions and Interrupts The Cortex-M4 processor supports interrupts and system exceptions. The processor and the Nested Vectored Interrupt Controller (NVIC) prioritize and handle all exceptions. An exception changes the normal flow of software control. The processor uses the Handler mode to handle all exceptions except for reset. See “Exception Entry” and “Exception Return” for more information. The NVIC registers control interrupt handling. See “Nested Vectored Interrupt Controller (NVIC)” for more information. 11.4.1.18 Data Types The processor supports the following data types:  32-bit words  16-bit halfwords  8-bit bytes  The processor manages all data memory accesses as little-endian. Instruction memory and Private Peripheral Bus (PPB) accesses are always little-endian. See “Memory Regions, Types and Attributes” for more information. 11.4.1.19 Cortex Microcontroller Software Interface Standard (CMSIS) For a Cortex-M4 microcontroller system, the Cortex Microcontroller Software Interface Standard (CMSIS) defines:    A common way to: ̶ Access peripheral registers ̶ Define exception vectors The names of: ̶ The registers of the core peripherals ̶ The core exception vectors A device-independent interface for RTOS kernels, including a debug channel. The CMSIS includes address definitions and data structures for the core peripherals in the Cortex-M4 processor. The CMSIS simplifies the software development by enabling the reuse of template code and the combination of CMSIS-compliant software components from various middleware vendors. Software vendors can expand the CMSIS to include their peripheral definitions and access functions for those peripherals. This document includes the register names defined by the CMSIS, and gives short descriptions of the CMSIS functions that address the processor core and the core peripherals. Note: This document uses the register short names defined by the CMSIS. In a few cases, these differ from the architectural short names that might be used in other documents. The following sections give more information about the CMSIS: 56  Section 11.5.3 ”Power Management Programming Hints”  Section 11.6.2 ”CMSIS Functions”  Section 11.8.2.1 ”NVIC Programming Hints”. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.4.2 Memory Model This section describes the processor memory map, the behavior of memory accesses, and the bit-banding features. The processor has a fixed memory map that provides up to 4 GB of addressable memory. Figure 11-3. Memory Map 0xFFFFFFFF Vendor-specific 511 MB memory Private peripheral 1.0 MB bus External device 0xE0100000 0xE00FFFFF 0xE000 0000 0x DFFFFFFF 1.0 GB 0xA0000000 0x9FFFFFFF External RAM 0x43FFFFFF 1.0 GB 32 MB Bit-band alias 0x60000000 0x5FFFFFFF 0x42000000 0x400FFFFF 0x40000000 Peripheral 0.5 GB 1 MB Bit-band region 0x40000000 0x3FFFFFFF 0x23FFFFFF 32 MB Bit-band alias SRAM 0.5 GB 0x20000000 0x1FFFFFFF 0x22000000 Code 0x200FFFFF 0x20000000 1 MB Bit-band region 0.5 GB 0x00000000 The regions for SRAM and peripherals include bit-band regions. Bit-banding provides atomic operations to bit data, see “Bit-banding” . The processor reserves regions of the Private peripheral bus (PPB) address range for core peripheral registers. This memory mapping is generic to ARM Cortex-M4 products. To get the specific memory mapping of this product, refer to the Memories section of the datasheet. 11.4.2.1 Memory Regions, Types and Attributes The memory map and the programming of the MPU split the memory map into regions. Each region has a defined memory type, and some regions have additional memory attributes. The memory type and attributes determine the behavior of accesses to the region. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 57 Memory Types  Normal The processor can re-order transactions for efficiency, or perform speculative reads.  Device The processor preserves transaction order relative to other transactions to Device or Strongly-ordered memory.  Strongly-ordered The processor preserves transaction order relative to all other transactions. The different ordering requirements for Device and Strongly-ordered memory mean that the memory system can buffer a write to Device memory, but must not buffer a write to Strongly-ordered memory. Additional Memory Attributes  Shareable For a shareable memory region, the memory system provides data synchronization between bus masters in a system with multiple bus masters, for example, a processor with a DMA controller. Strongly-ordered memory is always shareable. If multiple bus masters can access a non-shareable memory region, the software must ensure data coherency between the bus masters.  Execute Never (XN) Means the processor prevents instruction accesses. A fault exception is generated only on execution of an instruction executed from an XN region. 11.4.2.2 Memory System Ordering of Memory Accesses For most memory accesses caused by explicit memory access instructions, the memory system does not guarantee that the order in which the accesses complete matches the program order of the instructions, providing this does not affect the behavior of the instruction sequence. Normally, if correct program execution depends on two memory accesses completing in program order, the software must insert a memory barrier instruction between the memory access instructions, see “Software Ordering of Memory Accesses” . However, the memory system does guarantee some ordering of accesses to Device and Strongly-ordered memory. For two memory access instructions A1 and A2, if A1 occurs before A2 in program order, the ordering of the memory accesses is described below. Table 11-3. Ordering of the Memory Accesses Caused by Two Instructions A2 Device Access Normal Access Nonshareable Shareable Stronglyordered Access Normal Access – – – – Device access, non-shareable – < – < Device access, shareable – – < < Strongly-ordered access – < < < A1 Where: 58 – Means that the memory system does not guarantee the ordering of the accesses. < Means that accesses are observed in program order, that is, A1 is always observed before A2. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.4.2.3 Behavior of Memory Accesses The following table describes the behavior of accesses to each region in the memory map. Table 11-4. Memory Access Behavior Address Range Memory Region Memory Type XN 0x00000000–0x1FFFFFFF Code Normal(1) – Executable region for program code. Data can also be put here. 0x20000000–0x3FFFFFFF SRAM Normal (1) – Executable region for data. Code can also be put here. This region includes bit band and bit band alias areas, see Table 11-6. 0x40000000–0x5FFFFFFF Peripheral Device (1) XN This region includes bit band and bit band alias areas, see Table 11-6. 0x60000000–0x9FFFFFFF External RAM Normal (1) – 0xA0000000–0xDFFFFFFF External device Device 0xE0000000–0xE00FFFFF Private Peripheral Bus 0xE0100000–0xFFFFFFFF Reserved Note: (1) Description Executable region for data XN External Device memory Stronglyordered (1) XN This region includes the NVIC, system timer, and system control block. Device (1) XN Reserved 1. See “Memory Regions, Types and Attributes” for more information. The Code, SRAM, and external RAM regions can hold programs. However, ARM recommends that programs always use the Code region. This is because the processor has separate buses that enable instruction fetches and data accesses to occur simultaneously. The MPU can override the default memory access behavior described in this section. For more information, see “Memory Protection Unit (MPU)” . Additional Memory Access Constraints For Caches and Shared Memory When a system includes caches or shared memory, some memory regions have additional access constraints, and some regions are subdivided, as Table 11-5 shows. Table 11-5. Memory Region Shareability and Cache Policies Address Range Memory Region Memory Type Shareability Cache Policy 0x00000000–0x1FFFFFFF Code Normal (1) – WT(2) 0x20000000–0x3FFFFFFF SRAM Normal (1) – WBWA(2) 0x40000000–0x5FFFFFFF Peripheral Device (1) – – External RAM Normal (1) – External device Device (1) 0xE0000000–0xE00FFFFF Private Peripheral Bus Strongly-ordered(1) Shareable (1) – 0xE0100000–0xFFFFFFFF Vendor-specific device Device (1) – – 0x60000000–0x7FFFFFFF WBWA(2) WT (2) 0x80000000–0x9FFFFFFF 0xA0000000–0xBFFFFFFF Shareable (1) Non-shareable (1) 0xC0000000–0xDFFFFFFF Notes: – 1. See “Memory Regions, Types and Attributes” for more information. 2. WT = Write through, no write allocate. WBWA = Write back, write allocate. See the “Glossary” for more information. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 59 Instruction Prefetch and Branch Prediction The Cortex-M4 processor:  Prefetches instructions ahead of execution  Speculatively prefetches from branch target addresses. 11.4.2.4 Software Ordering of Memory Accesses The order of instructions in the program flow does not always guarantee the order of the corresponding memory transactions. This is because:  The processor can reorder some memory accesses to improve efficiency, providing this does not affect the behavior of the instruction sequence.  The processor has multiple bus interfaces  Memory or devices in the memory map have different wait states  Some memory accesses are buffered or speculative. “Memory System Ordering of Memory Accesses” describes the cases where the memory system guarantees the order of memory accesses. Otherwise, if the order of memory accesses is critical, the software must include memory barrier instructions to force that ordering. The processor provides the following memory barrier instructions: DMB The Data Memory Barrier (DMB) instruction ensures that outstanding memory transactions complete before subsequent memory transactions. See “DMB” . DSB The Data Synchronization Barrier (DSB) instruction ensures that outstanding memory transactions complete before subsequent instructions execute. See “DSB” . ISB The Instruction Synchronization Barrier (ISB) ensures that the effect of all completed memory transactions is recognizable by subsequent instructions. See “ISB” . MPU Programming Use a DSB followed by an ISB instruction or exception return to ensure that the new MPU configuration is used by subsequent instructions. 11.4.2.5 Bit-banding A bit-band region maps each word in a bit-band alias region to a single bit in the bit-band region. The bit-band regions occupy the lowest 1 MB of the SRAM and peripheral memory regions. The memory map has two 32 MB alias regions that map to two 1 MB bit-band regions:  Accesses to the 32 MB SRAM alias region map to the 1 MB SRAM bit-band region, as shown in Table 11-6.  Accesses to the 32 MB peripheral alias region map to the 1 MB peripheral bit-band region, as shown in Table 11-7. Table 11-6. SRAM Memory Bit-banding Regions Address Range Memory Region Instruction and Data Accesses 0x20000000–0x200FFFFF SRAM bit-band region Direct accesses to this memory range behave as SRAM memory accesses, but this region is also bit-addressable through bit-band alias. 0x22000000–0x23FFFFFF SRAM bit-band alias Data accesses to this region are remapped to bit-band region. A write operation is performed as read-modify-write. Instruction accesses are not remapped. 60 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 11-7. Peripheral Memory Bit-banding Regions Address Range Memory Region Instruction and Data Accesses 0x40000000–0x400FFFFF Peripheral bit-band alias Direct accesses to this memory range behave as peripheral memory accesses, but this region is also bit-addressable through bit-band alias. 0x42000000–0x43FFFFFF Peripheral bit-band region Data accesses to this region are remapped to bit-band region. A write operation is performed as read-modify-write. Instruction accesses are not permitted. Notes: 1. A word access to the SRAM or peripheral bit-band alias regions map to a single bit in the SRAM or peripheral bit-band region. 2. Bit-band accesses can use byte, halfword, or word transfers. The bit-band transfer size matches the transfer size of the instruction making the bit-band access. The following formula shows how the alias region maps onto the bit-band region: bit_word_offset = (byte_offset x 32) + (bit_number x 4) bit_word_addr = bit_band_base + bit_word_offset where:  Bit_word_offset is the position of the target bit in the bit-band memory region.  Bit_word_addr is the address of the word in the alias memory region that maps to the targeted bit.  Bit_band_base is the starting address of the alias region.  Byte_offset is the number of the byte in the bit-band region that contains the targeted bit.  Bit_number is the bit position, 0–7, of the targeted bit. Figure 11-4 shows examples of bit-band mapping between the SRAM bit-band alias region and the SRAM bitband region:  The alias word at 0x23FFFFE0 maps to bit[0] of the bit-band byte at 0x200FFFFF: 0x23FFFFE0 = 0x22000000 + (0xFFFFF*32) + (0*4).  The alias word at 0x23FFFFFC maps to bit[7] of the bit-band byte at 0x200FFFFF: 0x23FFFFFC = 0x22000000 + (0xFFFFF*32) + (7*4).  The alias word at 0x22000000 maps to bit[0] of the bit-band byte at 0x20000000: 0x22000000 = 0x22000000 + (0*32) + (0*4).  The alias word at 0x2200001C maps to bit[7] of the bit-band byte at 0x20000000: 0x2200001C = 0x22000000+ (0*32) + (7*4). SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 61 Figure 11-4. Bit-band Mapping 32 MB alias region 0x23FFFFFC 0x23FFFFF8 0x23FFFFF4 0x23FFFFF0 0x23FFFFEC 0x23FFFFE8 0x23FFFFE4 0x23FFFFE0 0x2200001C 0x22000018 0x22000014 0x22000010 0x2200000C 0x22000008 0x22000004 0x22000000 1 MB SRAM bit-band region 7 6 5 4 3 2 1 0 7 6 0x200FFFFF 7 6 5 4 3 2 5 4 3 2 1 0 7 6 0x200FFFFE 1 0 7 6 0x20000003 5 4 3 2 0x20000002 5 4 3 2 1 0 7 6 0x200FFFFD 1 0 7 6 5 4 3 2 5 4 3 2 1 0 1 0 0x200FFFFC 1 0 7 0x20000001 6 5 4 3 2 0x20000000 Directly Accessing an Alias Region Writing to a word in the alias region updates a single bit in the bit-band region. Bit[0] of the value written to a word in the alias region determines the value written to the targeted bit in the bitband region. Writing a value with bit[0] set to 1 writes a 1 to the bit-band bit, and writing a value with bit[0] set to 0 writes a 0 to the bit-band bit. Bits[31:1] of the alias word have no effect on the bit-band bit. Writing 0x01 has the same effect as writing 0xFF. Writing 0x00 has the same effect as writing 0x0E. Reading a word in the alias region:  0x00000000 indicates that the targeted bit in the bit-band region is set to 0  0x00000001 indicates that the targeted bit in the bit-band region is set to 1 Directly Accessing a Bit-band Region “Behavior of Memory Accesses” describes the behavior of direct byte, halfword, or word accesses to the bit-band regions. 11.4.2.6 Memory Endianness The processor views memory as a linear collection of bytes numbered in ascending order from zero. For example, bytes 0–3 hold the first stored word, and bytes 4–7 hold the second stored word. “Little-endian Format” describes how words of data are stored in memory. Little-endian Format In little-endian format, the processor stores the least significant byte of a word at the lowest-numbered byte, and the most significant byte at the highest-numbered byte. For example: 62 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 11-5. Little-endian Format Memory 7 Register 0 31 11.4.2.7 Address A B0 A+1 B1 A+2 B2 A+3 B3 lsbyte 24 23 B3 16 15 B2 8 7 B1 0 B0 msbyte Synchronization Primitives The Cortex-M4 instruction set includes pairs of synchronization primitives. These provide a non-blocking mechanism that a thread or process can use to obtain exclusive access to a memory location. The software can use them to perform a guaranteed read-modify-write memory update sequence, or for a semaphore mechanism. A pair of synchronization primitives comprises: A Load-exclusive Instruction, used to read the value of a memory location, requesting exclusive access to that location. A Store-Exclusive instruction, used to attempt to write to the same memory location, returning a status bit to a register. If this bit is:  0: It indicates that the thread or process gained exclusive access to the memory, and the write succeeds,  1: It indicates that the thread or process did not gain exclusive access to the memory, and no write is performed. The pairs of Load-Exclusive and Store-Exclusive instructions are:  The word instructions LDREX and STREX  The halfword instructions LDREXH and STREXH  The byte instructions LDREXB and STREXB. The software must use a Load-Exclusive instruction with the corresponding Store-Exclusive instruction. To perform an exclusive read-modify-write of a memory location, the software must: 1. Use a Load-Exclusive instruction to read the value of the location. 2. Update the value, as required. 3. Use a Store-Exclusive instruction to attempt to write the new value back to the memory location 4. Test the returned status bit. If this bit is: 0: The read-modify-write completed successfully. 1: No write was performed. This indicates that the value returned at step 1 might be out of date. The software must retry the read-modify-write sequence. The software can use the synchronization primitives to implement a semaphore as follows: 1. Use a Load-Exclusive instruction to read from the semaphore address to check whether the semaphore is free. 2. If the semaphore is free, use a Store-Exclusive instruction to write the claim value to the semaphore address. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 63 3. If the returned status bit from step 2 indicates that the Store-Exclusive instruction succeeded then the software has claimed the semaphore. However, if the Store-Exclusive instruction failed, another process might have claimed the semaphore after the software performed the first step. The Cortex-M4 includes an exclusive access monitor, that tags the fact that the processor has executed a LoadExclusive instruction. If the processor is part of a multiprocessor system, the system also globally tags the memory locations addressed by exclusive accesses by each processor. The processor removes its exclusive access tag if:  It executes a CLREX instruction  It executes a Store-Exclusive instruction, regardless of whether the write succeeds.  An exception occurs. This means that the processor can resolve semaphore conflicts between different threads. In a multiprocessor implementation:  Executing a CLREX instruction removes only the local exclusive access tag for the processor  Executing a Store-Exclusive instruction, or an exception, removes the local exclusive access tags, and all global exclusive access tags for the processor. For more information about the synchronization primitive instructions, see “LDREX and STREX” and “CLREX” . 11.4.2.8 Programming Hints for the Synchronization Primitives ISO/IEC C cannot directly generate the exclusive access instructions. CMSIS provides intrinsic functions for generation of these instructions: Table 11-8. CMSIS Functions for Exclusive Access Instructions Instruction CMSIS Function LDREX uint32_t __LDREXW (uint32_t *addr) LDREXH uint16_t __LDREXH (uint16_t *addr) LDREXB uint8_t __LDREXB (uint8_t *addr) STREX uint32_t __STREXW (uint32_t value, uint32_t *addr) STREXH uint32_t __STREXH (uint16_t value, uint16_t *addr) STREXB uint32_t __STREXB (uint8_t value, uint8_t *addr) CLREX void __CLREX (void) The actual exclusive access instruction generated depends on the data type of the pointer passed to the intrinsic function. For example, the following C code generates the required LDREXB operation: __ldrex((volatile char *) 0xFF); 11.4.3 Exception Model This section describes the exception model. 11.4.3.1 Exception States Each exception is in one of the following states: Inactive The exception is not active and not pending. Pending The exception is waiting to be serviced by the processor. 64 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 An interrupt request from a peripheral or from software can change the state of the corresponding interrupt to pending. Active An exception is being serviced by the processor but has not completed. An exception handler can interrupt the execution of another exception handler. In this case, both exceptions are in the active state. Active and Pending The exception is being serviced by the processor and there is a pending exception from the same source. 11.4.3.2 Exception Types The exception types are: Reset Reset is invoked on power up or a warm reset. The exception model treats reset as a special form of exception. When reset is asserted, the operation of the processor stops, potentially at any point in an instruction. When reset is deasserted, execution restarts from the address provided by the reset entry in the vector table. Execution restarts as privileged execution in Thread mode. Non Maskable Interrupt (NMI) A non maskable interrupt (NMI) can be signalled by a peripheral or triggered by software. This is the highest priority exception other than reset. It is permanently enabled and has a fixed priority of -2. NMIs cannot be:  Masked or prevented from activation by any other exception.  Preempted by any exception other than Reset. Hard Fault A hard fault is an exception that occurs because of an error during exception processing, or because an exception cannot be managed by any other exception mechanism. Hard Faults have a fixed priority of -1, meaning they have higher priority than any exception with configurable priority. Memory Management Fault (MemManage) A Memory Management Fault is an exception that occurs because of a memory protection related fault. The MPU or the fixed memory protection constraints determines this fault, for both instruction and data memory transactions. This fault is used to abort instruction accesses to Execute Never (XN) memory regions, even if the MPU is disabled. Bus Fault A Bus Fault is an exception that occurs because of a memory related fault for an instruction or data memory transaction. This might be from an error detected on a bus in the memory system. Usage Fault A Usage Fault is an exception that occurs because of a fault related to an instruction execution. This includes:  An undefined instruction  An illegal unaligned access  An invalid state on instruction execution  An error on exception return. The following can cause a Usage Fault when the core is configured to report them:  An unaligned address on word and halfword memory access  A division by zero. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 65 SVCall A supervisor call (SVC) is an exception that is triggered by the SVC instruction. In an OS environment, applications can use SVC instructions to access OS kernel functions and device drivers. PendSV PendSV is an interrupt-driven request for system-level service. In an OS environment, use PendSV for context switching when no other exception is active. SysTick A SysTick exception is an exception the system timer generates when it reaches zero. Software can also generate a SysTick exception. In an OS environment, the processor can use this exception as system tick. Interrupt (IRQ) A interrupt, or IRQ, is an exception signalled by a peripheral, or generated by a software request. All interrupts are asynchronous to instruction execution. In the system, peripherals use interrupts to communicate with the processor. Table 11-9. Properties of the Different Exception Types Exception Number (1) Irq Number (1) Exception Type Priority Vector Address or Offset (2) Activation 1 – Reset -3, the highest 0x00000004 Asynchronous 2 -14 NMI -2 0x00000008 Asynchronous 3 -13 Hard fault -1 0x0000000C – 4 -12 Memory management fault Configurable (3) 0x00000010 Synchronous 5 -11 Bus fault Configurable (3) 0x00000014 Synchronous when precise, asynchronous when imprecise 6 -10 Usage fault Configurable (3) 0x00000018 Synchronous 7–10 – – – Reserved – 0x0000002C Synchronous Reserved – Asynchronous (3) 11 -5 SVCall Configurable 12–13 – – – 14 -2 PendSV Configurable (3) 0x00000038 SysTick (3) 0x0000003C 15 -1 16 and above Notes: 0 and above Interrupt (IRQ) Configurable (4) Configurable 0x00000040 and above Asynchronous (5) Asynchronous 1. To simplify the software layer, the CMSIS only uses IRQ numbers and therefore uses negative values for exceptions other than interrupts. The IPSR returns the Exception number, see “Interrupt Program Status Register” . 2. See “Vector Table” for more information 3. See “System Handler Priority Registers” 4. See “Interrupt Priority Registers” 5. Increasing in steps of 4. For an asynchronous exception, other than reset, the processor can execute another instruction between when the exception is triggered and when the processor enters the exception handler. Privileged software can disable the exceptions that Table 11-9 shows as having configurable priority, see: 66  “System Handler Control and State Register”  “Interrupt Clear-enable Registers” . SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 For more information about hard faults, memory management faults, bus faults, and usage faults, see “Fault Handling” . 11.4.3.3 Exception Handlers The processor handles exceptions using: 11.4.3.4  Interrupt Service Routines (ISRs) Interrupts IRQ0 to IRQ46 are the exceptions handled by ISRs.  Fault Handlers Hard fault, memory management fault, usage fault, bus fault are fault exceptions handled by the fault handlers.  System Handlers NMI, PendSV, SVCall SysTick, and the fault exceptions are all system exceptions that are handled by system handlers. Vector Table The vector table contains the reset value of the stack pointer, and the start addresses, also called exception vectors, for all exception handlers. Figure 11-6 shows the order of the exception vectors in the vector table. The least-significant bit of each vector must be 1, indicating that the exception handler is Thumb code. Figure 11-6. Vector Table Exception number IRQ number 255 239 . . . 18 2 17 1 16 0 15 -1 14 -2 13 Offset 0x03FC . . . 0x004C 0x0048 0x0044 0x0040 0x003C 0x0038 12 11 Vector IRQ239 . . . IRQ2 IRQ1 IRQ0 SysTick PendSV Reserved Reserved for Debug -5 10 0x002C 9 SVCall Reserved 8 7 6 -10 5 -11 4 -12 3 -13 2 -14 1 0x0018 0x0014 0x0010 0x000C 0x0008 0x0004 0x0000 Usage fault Bus fault Memory management fault Hard fault NMI Reset Initial SP value On system reset, the vector table is fixed at address 0x00000000. Privileged software can write to the SCB_VTOR to relocate the vector table start address to a different memory location, in the range 0x00000080 to 0x3FFFFF80, see “Vector Table Offset Register” . SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 67 11.4.3.5 Exception Priorities As Table 11-9 shows, all exceptions have an associated priority, with:  A lower priority value indicating a higher priority  Configurable priorities for all exceptions except Reset, Hard fault and NMI. If the software does not configure any priorities, then all exceptions with a configurable priority have a priority of 0. For information about configuring exception priorities see “System Handler Priority Registers” , and “Interrupt Priority Registers” . Note: Configurable priority values are in the range 0–15. This means that the Reset, Hard fault, and NMI exceptions, with fixed negative priority values, always have higher priority than any other exception. For example, assigning a higher priority value to IRQ[0] and a lower priority value to IRQ[1] means that IRQ[1] has higher priority than IRQ[0]. If both IRQ[1] and IRQ[0] are asserted, IRQ[1] is processed before IRQ[0]. If multiple pending exceptions have the same priority, the pending exception with the lowest exception number takes precedence. For example, if both IRQ[0] and IRQ[1] are pending and have the same priority, then IRQ[0] is processed before IRQ[1]. When the processor is executing an exception handler, the exception handler is preempted if a higher priority exception occurs. If an exception occurs with the same priority as the exception being handled, the handler is not preempted, irrespective of the exception number. However, the status of the new interrupt changes to pending. 11.4.3.6 Interrupt Priority Grouping To increase priority control in systems with interrupts, the NVIC supports priority grouping. This divides each interrupt priority register entry into two fields:  An upper field that defines the group priority  A lower field that defines a subpriority within the group. Only the group priority determines preemption of interrupt exceptions. When the processor is executing an interrupt exception handler, another interrupt with the same group priority as the interrupt being handled does not preempt the handler. If multiple pending interrupts have the same group priority, the subpriority field determines the order in which they are processed. If multiple pending interrupts have the same group priority and subpriority, the interrupt with the lowest IRQ number is processed first. For information about splitting the interrupt priority fields into group priority and subpriority, see “Application Interrupt and Reset Control Register” . 11.4.3.7 Exception Entry and Return Descriptions of exception handling use the following terms: Preemption When the processor is executing an exception handler, an exception can preempt the exception handler if its priority is higher than the priority of the exception being handled. See “Interrupt Priority Grouping” for more information about preemption by an interrupt. When one exception preempts another, the exceptions are called nested exceptions. See “Exception Entry” more information. Return This occurs when the exception handler is completed, and: 68  There is no pending exception with sufficient priority to be serviced  The completed exception handler was not handling a late-arriving exception. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 The processor pops the stack and restores the processor state to the state it had before the interrupt occurred. See “Exception Return” for more information. Tail-chaining This mechanism speeds up exception servicing. On completion of an exception handler, if there is a pending exception that meets the requirements for exception entry, the stack pop is skipped and control transfers to the new exception handler. Late-arriving This mechanism speeds up preemption. If a higher priority exception occurs during state saving for a previous exception, the processor switches to handle the higher priority exception and initiates the vector fetch for that exception. State saving is not affected by late arrival because the state saved is the same for both exceptions. Therefore the state saving continues uninterrupted. The processor can accept a late arriving exception until the first instruction of the exception handler of the original exception enters the execute stage of the processor. On return from the exception handler of the late-arriving exception, the normal tail-chaining rules apply. Exception Entry An Exception entry occurs when there is a pending exception with sufficient priority and either the processor is in Thread mode, or the new exception is of a higher priority than the exception being handled, in which case the new exception preempts the original exception. When one exception preempts another, the exceptions are nested. Sufficient priority means that the exception has more priority than any limits set by the mask registers, see “Exception Mask Registers” . An exception with less priority than this is pending but is not handled by the processor. When the processor takes an exception, unless the exception is a tail-chained or a late-arriving exception, the processor pushes information onto the current stack. This operation is referred as stacking and the structure of eight data words is referred to as stack frame. When using floating-point routines, the Cortex-M4 processor automatically stacks the architected floating-point state on exception entry. Figure 11-7 on page 70 shows the Cortex-M4 stack frame layout when floating-point state is preserved on the stack as the result of an interrupt or an exception. Note: Where stack space for floating-point state is not allocated, the stack frame is the same as that of ARMv7-M implementations without an FPU. Figure 11-7 on page 70 shows this stack frame also. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 69 Figure 11-7. Exception Stack Frame ... {aligner} FPSCR S15 S14 S13 S12 S11 S10 S9 S8 S7 S6 S5 S4 S3 S2 S1 S0 xPSR PC LR R12 R3 R2 R1 R0 Pre-IRQ top of stack Decreasing memory address IRQ top of stack Exception frame with floating-point storage ... {aligner} xPSR PC LR R12 R3 R2 R1 R0 Pre-IRQ top of stack IRQ top of stack Exception frame without floating-point storage Immediately after stacking, the stack pointer indicates the lowest address in the stack frame. The alignment of the stack frame is controlled via the STKALIGN bit of the Configuration Control Register (CCR). The stack frame includes the return address. This is the address of the next instruction in the interrupted program. This value is restored to the PC at exception return so that the interrupted program resumes. In parallel to the stacking operation, the processor performs a vector fetch that reads the exception handler start address from the vector table. When stacking is complete, the processor starts executing the exception handler. At the same time, the processor writes an EXC_RETURN value to the LR. This indicates which stack pointer corresponds to the stack frame and what operation mode the processor was in before the entry occurred. If no higher priority exception occurs during the exception entry, the processor starts executing the exception handler and automatically changes the status of the corresponding pending interrupt to active. If another higher priority exception occurs during the exception entry, the processor starts executing the exception handler for this exception and does not change the pending status of the earlier exception. This is the late arrival case. Exception Return An Exception return occurs when the processor is in Handler mode and executes one of the following instructions to load the EXC_RETURN value into the PC: 70  An LDM or POP instruction that loads the PC  An LDR instruction with the PC as the destination.  A BX instruction using any register. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 EXC_RETURN is the value loaded into the LR on exception entry. The exception mechanism relies on this value to detect when the processor has completed an exception handler. The lowest five bits of this value provide information on the return stack and processor mode. Table 11-10 shows the EXC_RETURN values with a description of the exception return behavior. All EXC_RETURN values have bits[31:5] set to one. When this value is loaded into the PC, it indicates to the processor that the exception is complete, and the processor initiates the appropriate exception return sequence. Table 11-10. Exception Return Behavior EXC_RETURN[31:0] Description 0xFFFFFFF1 Return to Handler mode, exception return uses non-floating-point state from the MSP and execution uses MSP after return. 0xFFFFFFF9 Return to Thread mode, exception return uses state from MSP and execution uses MSP after return. 0xFFFFFFFD Return to Thread mode, exception return uses state from the PSP and execution uses PSP after return. 0xFFFFFFE1 Return to Handler mode, exception return uses floating-point-state from MSP and execution uses MSP after return. 0xFFFFFFE9 Return to Thread mode, exception return uses floating-point state from MSP and execution uses MSP after return. 0xFFFFFFED Return to Thread mode, exception return uses floating-point state from PSP and execution uses PSP after return. 11.4.3.8 Fault Handling Faults are a subset of the exceptions, see “Exception Model” . The following generate a fault:  A bus error on: ̶ An instruction fetch or vector table load ̶ A data access  An internally-detected error such as an undefined instruction  An attempt to execute an instruction from a memory region marked as Non-Executable (XN).  A privilege violation or an attempt to access an unmanaged region causing an MPU fault. Fault Types Table 11-11 shows the types of fault, the handler used for the fault, the corresponding fault status register, and the register bit that indicates that the fault has occurred. See “Configurable Fault Status Register” for more information about the fault status registers. Table 11-11. Faults Fault Handler Bus error on a vector read Bit Name Fault Status Register VECTTBL Hard fault “Hard Fault Status Register” Fault escalated to a hard fault FORCED MPU or default memory map mismatch: – on instruction access on data access during exception stacking – IACCVIOL Memory management fault (1) DACCVIOL(2) MSTKERR during exception unstacking MUNSTKERR during lazy floating-point state preservation MLSPERR(3) “MMFSR: Memory Management Fault Status Subregister” SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 71 Table 11-11. Faults (Continued) Fault Handler Bus error: Bit Name Fault Status Register – – during exception stacking STKERR during exception unstacking UNSTKERR during instruction prefetch Bus fault IBUSERR LSPERR(3) during lazy floating-point state preservation Precise data bus error PRECISERR Imprecise data bus error IMPRECISERR Attempt to access a coprocessor NOCP Undefined instruction UNDEFINSTR Attempt to enter an invalid instruction set state “BFSR: Bus Fault Status Subregister” INVSTATE Usage fault “UFSR: Usage Fault Status Subregister” Invalid EXC_RETURN value INVPC Illegal unaligned load or store UNALIGNED Divide By 0 DIVBYZERO Notes: 1. Occurs on an access to an XN region even if the processor does not include an MPU or the MPU is disabled. 2. Attempt to use an instruction set other than the Thumb instruction set, or return to a non load/store-multiple instruction with ICI continuation. 3. Only present in a Cortex-M4F device Fault Escalation and Hard Faults All faults exceptions except for hard fault have configurable exception priority, see “System Handler Priority Registers” . The software can disable the execution of the handlers for these faults, see “System Handler Control and State Register” . Usually, the exception priority, together with the values of the exception mask registers, determines whether the processor enters the fault handler, and whether a fault handler can preempt another fault handler, as described in “Exception Model” . In some situations, a fault with configurable priority is treated as a hard fault. This is called priority escalation, and the fault is described as escalated to hard fault. Escalation to hard fault occurs when:  A fault handler causes the same kind of fault as the one it is servicing. This escalation to hard fault occurs because a fault handler cannot preempt itself; it must have the same priority as the current priority level.  A fault handler causes a fault with the same or lower priority as the fault it is servicing. This is because the handler for the new fault cannot preempt the currently executing fault handler.  An exception handler causes a fault for which the priority is the same as or lower than the currently executing exception.  A fault occurs and the handler for that fault is not enabled. If a bus fault occurs during a stack push when entering a bus fault handler, the bus fault does not escalate to a hard fault. This means that if a corrupted stack causes a fault, the fault handler executes even though the stack push for the handler failed. The fault handler operates but the stack contents are corrupted. Note: Only Reset and NMI can preempt the fixed priority hard fault. A hard fault can preempt any exception other than Reset, NMI, or another hard fault. Fault Status Registers and Fault Address Registers The fault status registers indicate the cause of a fault. For bus faults and memory management faults, the fault address register indicates the address accessed by the operation that caused the fault, as shown in Table 11-12. 72 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 11-12. Fault Status and Fault Address Registers Handler Status Register Name Address Register Name Register Description Hard fault SCB_HFSR – “Hard Fault Status Register” Memory management fault MMFSR SCB_MMFAR Bus fault BFSR SCB_BFAR Usage fault UFSR – “MMFSR: Memory Management Fault Status Subregister” “MemManage Fault Address Register” “BFSR: Bus Fault Status Subregister” “Bus Fault Address Register” “UFSR: Usage Fault Status Subregister” Lockup The processor enters a lockup state if a hard fault occurs when executing the NMI or hard fault handlers. When the processor is in lockup state, it does not execute any instructions. The processor remains in lockup state until either:  It is reset  An NMI occurs  It is halted by a debugger. Note: If the lockup state occurs from the NMI handler, a subsequent NMI does not cause the processor to leave the lockup state. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 73 11.5 Power Management The Cortex-M4 processor sleep modes reduce the power consumption:  Sleep mode stops the processor clock  Deep sleep mode stops the system clock and switches off the PLL and flash memory. The SLEEPDEEP bit of the SCR selects which sleep mode is used; see “System Control Register” . This section describes the mechanisms for entering sleep mode, and the conditions for waking up from sleep mode. 11.5.1 Entering Sleep Mode This section describes the mechanisms software can use to put the processor into sleep mode. The system can generate spurious wakeup events, for example a debug operation wakes up the processor. Therefore, the software must be able to put the processor back into sleep mode after such an event. A program might have an idle loop to put the processor back to sleep mode. 11.5.1.1 Wait for Interrupt The wait for interrupt instruction, WFI, causes immediate entry to sleep mode. When the processor executes a WFI instruction it stops executing instructions and enters sleep mode. See “WFI” for more information. 11.5.1.2 Wait for Event The wait for event instruction, WFE, causes entry to sleep mode conditional on the value of an one-bit event register. When the processor executes a WFE instruction, it checks this register:  If the register is 0, the processor stops executing instructions and enters sleep mode  If the register is 1, the processor clears the register to 0 and continues executing instructions without entering sleep mode. See “WFE” for more information. 11.5.1.3 Sleep-on-exit If the SLEEPONEXIT bit of the SCR is set to 1 when the processor completes the execution of an exception handler, it returns to Thread mode and immediately enters sleep mode. Use this mechanism in applications that only require the processor to run when an exception occurs. 11.5.2 Wakeup from Sleep Mode The conditions for the processor to wake up depend on the mechanism that cause it to enter sleep mode. 11.5.2.1 Wakeup from WFI or Sleep-on-exit Normally, the processor wakes up only when it detects an exception with sufficient priority to cause exception entry. Some embedded systems might have to execute system restore tasks after the processor wakes up, and before it executes an interrupt handler. To achieve this, set the PRIMASK bit to 1 and the FAULTMASK bit to 0. If an interrupt arrives that is enabled and has a higher priority than the current exception priority, the processor wakes up but does not execute the interrupt handler until the processor sets PRIMASK to zero. For more information about PRIMASK and FAULTMASK, see “Exception Mask Registers” . 11.5.2.2 Wakeup from WFE The processor wakes up if: 74  It detects an exception with sufficient priority to cause an exception entry  It detects an external event signal. See “External Event Input”  In a multiprocessor system, another processor in the system executes an SEV instruction. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 In addition, if the SEVONPEND bit in the SCR is set to 1, any new pending interrupt triggers an event and wakes up the processor, even if the interrupt is disabled or has insufficient priority to cause an exception entry. For more information about the SCR, see “System Control Register” . 11.5.2.3 External Event Input The processor provides an external event input signal. Peripherals can drive this signal, either to wake the processor from WFE, or to set the internal WFE event register to 1 to indicate that the processor must not enter sleep mode on a later WFE instruction. See “Wait for Event” for more information. 11.5.3 Power Management Programming Hints ISO/IEC C cannot directly generate the WFI and WFE instructions. The CMSIS provides the following functions for these instructions: void __WFE(void) // Wait for Event void __WFI(void) // Wait for Interrupt SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 75 11.6 Cortex-M4 Instruction Set 11.6.1 Instruction Set Summary The processor implements a version of the Thumb instruction set. Table 11-13 lists the supported instructions.  Angle brackets, , enclose alternative forms of the operand  Braces, {}, enclose optional operands  The Operands column is not exhaustive  Op2 is a flexible second operand that can be either a register or a constant  Most instructions can use an optional condition code suffix. For more information on the instructions and operands, see the instruction descriptions. Table 11-13. Cortex-M4 Instructions Mnemonic Operands Description Flags ADC, ADCS {Rd,} Rn, Op2 Add with Carry N,Z,C,V ADD, ADDS {Rd,} Rn, Op2 Add N,Z,C,V ADD, ADDW {Rd,} Rn, #imm12 Add N,Z,C,V ADR Rd, label Load PC-relative address – AND, ANDS {Rd,} Rn, Op2 Logical AND N,Z,C ASR, ASRS Rd, Rm, Arithmetic Shift Right N,Z,C B label Branch – BFC Rd, #lsb, #width Bit Field Clear – BFI Rd, Rn, #lsb, #width Bit Field Insert – BIC, BICS {Rd,} Rn, Op2 Bit Clear N,Z,C BKPT #imm Breakpoint – BL label Branch with Link – BLX Rm Branch indirect with Link – BX Rm Branch indirect – CBNZ Rn, label Compare and Branch if Non Zero – CBZ Rn, label Compare and Branch if Zero – CLREX – Clear Exclusive – CLZ Rd, Rm Count leading zeros – CMN Rn, Op2 Compare Negative N,Z,C,V CMP Rn, Op2 Compare N,Z,C,V CPSID i Change Processor State, Disable Interrupts – CPSIE i Change Processor State, Enable Interrupts – DMB – Data Memory Barrier – DSB – Data Synchronization Barrier – EOR, EORS {Rd,} Rn, Op2 Exclusive OR N,Z,C ISB – Instruction Synchronization Barrier – IT – If-Then condition block – LDM Rn{!}, reglist Load Multiple registers, increment after – 76 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 11-13. Cortex-M4 Instructions (Continued) Mnemonic Operands Description Flags LDMDB, LDMEA Rn{!}, reglist Load Multiple registers, decrement before – LDMFD, LDMIA Rn{!}, reglist Load Multiple registers, increment after – LDR Rt, [Rn, #offset] Load Register with word – LDRB, LDRBT Rt, [Rn, #offset] Load Register with byte – LDRD Rt, Rt2, [Rn, #offset] Load Register with two bytes – LDREX Rt, [Rn, #offset] Load Register Exclusive – LDREXB Rt, [Rn] Load Register Exclusive with byte – LDREXH Rt, [Rn] Load Register Exclusive with halfword – LDRH, LDRHT Rt, [Rn, #offset] Load Register with halfword – LDRSB, DRSBT Rt, [Rn, #offset] Load Register with signed byte – LDRSH, LDRSHT Rt, [Rn, #offset] Load Register with signed halfword – LDRT Rt, [Rn, #offset] Load Register with word – LSL, LSLS Rd, Rm, Logical Shift Left N,Z,C LSR, LSRS Rd, Rm, Logical Shift Right N,Z,C MLA Rd, Rn, Rm, Ra Multiply with Accumulate, 32-bit result – MLS Rd, Rn, Rm, Ra Multiply and Subtract, 32-bit result – MOV, MOVS Rd, Op2 Move N,Z,C MOVT Rd, #imm16 Move Top – MOVW, MOV Rd, #imm16 Move 16-bit constant N,Z,C MRS Rd, spec_reg Move from special register to general register – MSR spec_reg, Rm Move from general register to special register N,Z,C,V MUL, MULS {Rd,} Rn, Rm Multiply, 32-bit result N,Z MVN, MVNS Rd, Op2 Move NOT N,Z,C NOP – No Operation – ORN, ORNS {Rd,} Rn, Op2 Logical OR NOT N,Z,C ORR, ORRS {Rd,} Rn, Op2 Logical OR N,Z,C PKHTB, PKHBT {Rd,} Rn, Rm, Op2 Pack Halfword – POP reglist Pop registers from stack – PUSH reglist Push registers onto stack – QADD {Rd,} Rn, Rm Saturating double and Add Q QADD16 {Rd,} Rn, Rm Saturating Add 16 – QADD8 {Rd,} Rn, Rm Saturating Add 8 – QASX {Rd,} Rn, Rm Saturating Add and Subtract with Exchange – QDADD {Rd,} Rn, Rm Saturating Add Q QDSUB {Rd,} Rn, Rm Saturating double and Subtract Q QSAX {Rd,} Rn, Rm Saturating Subtract and Add with Exchange – QSUB {Rd,} Rn, Rm Saturating Subtract Q SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 77 Table 11-13. Cortex-M4 Instructions (Continued) Mnemonic Operands Description Flags QSUB16 {Rd,} Rn, Rm Saturating Subtract 16 – QSUB8 {Rd,} Rn, Rm Saturating Subtract 8 – RBIT Rd, Rn Reverse Bits – REV Rd, Rn Reverse byte order in a word – REV16 Rd, Rn Reverse byte order in each halfword – REVSH Rd, Rn Reverse byte order in bottom halfword and sign extend – ROR, RORS Rd, Rm, Rotate Right N,Z,C RRX, RRXS Rd, Rm Rotate Right with Extend N,Z,C RSB, RSBS {Rd,} Rn, Op2 Reverse Subtract N,Z,C,V SADD16 {Rd,} Rn, Rm Signed Add 16 GE SADD8 {Rd,} Rn, Rm Signed Add 8 and Subtract with Exchange GE SASX {Rd,} Rn, Rm Signed Add GE SBC, SBCS {Rd,} Rn, Op2 Subtract with Carry N,Z,C,V SBFX Rd, Rn, #lsb, #width Signed Bit Field Extract – SDIV {Rd,} Rn, Rm Signed Divide – SEL {Rd,} Rn, Rm Select bytes – SEV – Send Event – SHADD16 {Rd,} Rn, Rm Signed Halving Add 16 – SHADD8 {Rd,} Rn, Rm Signed Halving Add 8 – SHASX {Rd,} Rn, Rm Signed Halving Add and Subtract with Exchange – SHSAX {Rd,} Rn, Rm Signed Halving Subtract and Add with Exchange – SHSUB16 {Rd,} Rn, Rm Signed Halving Subtract 16 – SHSUB8 {Rd,} Rn, Rm Signed Halving Subtract 8 – SMLABB, SMLABT, SMLATB, SMLATT Rd, Rn, Rm, Ra Signed Multiply Accumulate Long (halfwords) Q SMLAD, SMLADX Rd, Rn, Rm, Ra Signed Multiply Accumulate Dual Q SMLAL RdLo, RdHi, Rn, Rm Signed Multiply with Accumulate (32 × 32 + 64), 64-bit result – SMLALBB, SMLALBT, SMLALTB, SMLALTT RdLo, RdHi, Rn, Rm Signed Multiply Accumulate Long, halfwords – SMLALD, SMLALDX RdLo, RdHi, Rn, Rm Signed Multiply Accumulate Long Dual – SMLAWB, SMLAWT Rd, Rn, Rm, Ra Signed Multiply Accumulate, word by halfword Q SMLSD Rd, Rn, Rm, Ra Signed Multiply Subtract Dual Q SMLSLD RdLo, RdHi, Rn, Rm Signed Multiply Subtract Long Dual SMMLA Rd, Rn, Rm, Ra Signed Most significant word Multiply Accumulate – SMMLS, SMMLR Rd, Rn, Rm, Ra Signed Most significant word Multiply Subtract – SMMUL, SMMULR {Rd,} Rn, Rm Signed Most significant word Multiply – SMUAD {Rd,} Rn, Rm Signed dual Multiply Add Q 78 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 11-13. Cortex-M4 Instructions (Continued) Mnemonic Operands Description Flags SMULBB, SMULBT SMULTB, SMULTT {Rd,} Rn, Rm Signed Multiply (halfwords) – SMULL RdLo, RdHi, Rn, Rm Signed Multiply (32 × 32), 64-bit result – SMULWB, SMULWT {Rd,} Rn, Rm Signed Multiply word by halfword – SMUSD, SMUSDX {Rd,} Rn, Rm Signed dual Multiply Subtract – SSAT Rd, #n, Rm {,shift #s} Signed Saturate Q SSAT16 Rd, #n, Rm Signed Saturate 16 Q SSAX {Rd,} Rn, Rm Signed Subtract and Add with Exchange GE SSUB16 {Rd,} Rn, Rm Signed Subtract 16 – SSUB8 {Rd,} Rn, Rm Signed Subtract 8 – STM Rn{!}, reglist Store Multiple registers, increment after – STMDB, STMEA Rn{!}, reglist Store Multiple registers, decrement before – STMFD, STMIA Rn{!}, reglist Store Multiple registers, increment after – STR Rt, [Rn, #offset] Store Register word – STRB, STRBT Rt, [Rn, #offset] Store Register byte – STRD Rt, Rt2, [Rn, #offset] Store Register two words – STREX Rd, Rt, [Rn, #offset] Store Register Exclusive – STREXB Rd, Rt, [Rn] Store Register Exclusive byte – STREXH Rd, Rt, [Rn] Store Register Exclusive halfword – STRH, STRHT Rt, [Rn, #offset] Store Register halfword – STRT Rt, [Rn, #offset] Store Register word – SUB, SUBS {Rd,} Rn, Op2 Subtract N,Z,C,V SUB, SUBW {Rd,} Rn, #imm12 Subtract N,Z,C,V SVC #imm Supervisor Call – SXTAB {Rd,} Rn, Rm,{,ROR #} Extend 8 bits to 32 and add – SXTAB16 {Rd,} Rn, Rm,{,ROR #} Dual extend 8 bits to 16 and add – SXTAH {Rd,} Rn, Rm,{,ROR #} Extend 16 bits to 32 and add – SXTB16 {Rd,} Rm {,ROR #n} Signed Extend Byte 16 – SXTB {Rd,} Rm {,ROR #n} Sign extend a byte – SXTH {Rd,} Rm {,ROR #n} Sign extend a halfword – TBB [Rn, Rm] Table Branch Byte – TBH [Rn, Rm, LSL #1] Table Branch Halfword – TEQ Rn, Op2 Test Equivalence N,Z,C TST Rn, Op2 Test N,Z,C UADD16 {Rd,} Rn, Rm Unsigned Add 16 GE UADD8 {Rd,} Rn, Rm Unsigned Add 8 GE USAX {Rd,} Rn, Rm Unsigned Subtract and Add with Exchange GE SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 79 Table 11-13. Cortex-M4 Instructions (Continued) Mnemonic Operands Description Flags UHADD16 {Rd,} Rn, Rm Unsigned Halving Add 16 – UHADD8 {Rd,} Rn, Rm Unsigned Halving Add 8 – UHASX {Rd,} Rn, Rm Unsigned Halving Add and Subtract with Exchange – UHSAX {Rd,} Rn, Rm Unsigned Halving Subtract and Add with Exchange – UHSUB16 {Rd,} Rn, Rm Unsigned Halving Subtract 16 – UHSUB8 {Rd,} Rn, Rm Unsigned Halving Subtract 8 – UBFX Rd, Rn, #lsb, #width Unsigned Bit Field Extract – UDIV {Rd,} Rn, Rm Unsigned Divide – UMAAL RdLo, RdHi, Rn, Rm Unsigned Multiply Accumulate Accumulate Long (32 × 32 + 32 + 32), 64-bit result – UMLAL RdLo, RdHi, Rn, Rm Unsigned Multiply with Accumulate (32 × 32 + 64), 64-bit result – UMULL RdLo, RdHi, Rn, Rm Unsigned Multiply (32 × 32), 64-bit result – UQADD16 {Rd,} Rn, Rm Unsigned Saturating Add 16 – UQADD8 {Rd,} Rn, Rm Unsigned Saturating Add 8 – UQASX {Rd,} Rn, Rm Unsigned Saturating Add and Subtract with Exchange – UQSAX {Rd,} Rn, Rm Unsigned Saturating Subtract and Add with Exchange – UQSUB16 {Rd,} Rn, Rm Unsigned Saturating Subtract 16 – UQSUB8 {Rd,} Rn, Rm Unsigned Saturating Subtract 8 – USAD8 {Rd,} Rn, Rm Unsigned Sum of Absolute Differences – USADA8 {Rd,} Rn, Rm, Ra Unsigned Sum of Absolute Differences and Accumulate – USAT Rd, #n, Rm {,shift #s} Unsigned Saturate Q USAT16 Rd, #n, Rm Unsigned Saturate 16 Q UASX {Rd,} Rn, Rm Unsigned Add and Subtract with Exchange GE USUB16 {Rd,} Rn, Rm Unsigned Subtract 16 GE USUB8 {Rd,} Rn, Rm Unsigned Subtract 8 GE UXTAB {Rd,} Rn, Rm,{,ROR #} Rotate, extend 8 bits to 32 and Add – UXTAB16 {Rd,} Rn, Rm,{,ROR #} Rotate, dual extend 8 bits to 16 and Add – UXTAH {Rd,} Rn, Rm,{,ROR #} Rotate, unsigned extend and Add Halfword – UXTB {Rd,} Rm {,ROR #n} Zero extend a byte – UXTB16 {Rd,} Rm {,ROR #n} Unsigned Extend Byte 16 – UXTH {Rd,} Rm {,ROR #n} Zero extend a halfword – VABS.F32 Sd, Sm Floating-point Absolute – VADD.F32 {Sd,} Sn, Sm Floating-point Add – VCMP.F32 Sd, Compare two floating-point registers, or one floating-point register and zero FPSCR VCMPE.F32 Sd, Compare two floating-point registers, or one floating-point register and zero with Invalid Operation check FPSCR VCVT.S32.F32 Sd, Sm Convert between floating-point and integer – 80 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 11-13. Cortex-M4 Instructions (Continued) Mnemonic Operands Description Flags VCVT.S16.F32 Sd, Sd, #fbits Convert between floating-point and fixed point – VCVTR.S32.F32 Sd, Sm Convert between floating-point and integer with rounding – VCVT.F32.F16 Sd, Sm Converts half-precision value to single-precision – VCVTT.F32.F16 Sd, Sm Converts single-precision register to half-precision – VDIV.F32 {Sd,} Sn, Sm Floating-point Divide – VFMA.F32 {Sd,} Sn, Sm Floating-point Fused Multiply Accumulate – VFNMA.F32 {Sd,} Sn, Sm Floating-point Fused Negate Multiply Accumulate – VFMS.F32 {Sd,} Sn, Sm Floating-point Fused Multiply Subtract – VFNMS.F32 {Sd,} Sn, Sm Floating-point Fused Negate Multiply Subtract – VLDM.F Rn{!}, list Load Multiple extension registers – VLDR.F , [Rn] Load an extension register from memory – VLMA.F32 {Sd,} Sn, Sm Floating-point Multiply Accumulate – VLMS.F32 {Sd,} Sn, Sm Floating-point Multiply Subtract – VMOV.F32 Sd, #imm Floating-point Move immediate – VMOV Sd, Sm Floating-point Move register – VMOV Sn, Rt Copy ARM core register to single precision – VMOV Sm, Sm1, Rt, Rt2 Copy 2 ARM core registers to 2 single precision – VMOV Dd[x], Rt Copy ARM core register to scalar – VMOV Rt, Dn[x] Copy scalar to ARM core register – VMRS Rt, FPSCR Move FPSCR to ARM core register or APSR N,Z,C,V VMSR FPSCR, Rt Move to FPSCR from ARM Core register FPSCR VMUL.F32 {Sd,} Sn, Sm Floating-point Multiply – VNEG.F32 Sd, Sm Floating-point Negate – VNMLA.F32 Sd, Sn, Sm Floating-point Multiply and Add – VNMLS.F32 Sd, Sn, Sm Floating-point Multiply and Subtract – VNMUL {Sd,} Sn, Sm Floating-point Multiply – VPOP list Pop extension registers – VPUSH list Push extension registers – VSQRT.F32 Sd, Sm Calculates floating-point Square Root – VSTM Rn{!}, list Floating-point register Store Multiple – VSTR.F Sd, [Rn] Stores an extension register to memory – VSUB.F {Sd,} Sn, Sm Floating-point Subtract – WFE – Wait For Event – WFI – Wait For Interrupt – SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 81 11.6.2 CMSIS Functions ISO/IEC cannot directly access some Cortex-M4 instructions. This section describes intrinsic functions that can generate these instructions, provided by the CMIS and that might be provided by a C compiler. If a C compiler does not support an appropriate intrinsic function, the user might have to use inline assembler to access some instructions. The CMSIS provides the following intrinsic functions to generate instructions that ISO/IEC C code cannot directly access: Table 11-14. CMSIS Functions to Generate some Cortex-M4 Instructions Instruction CMSIS Function CPSIE I void __enable_irq(void) CPSID I void __disable_irq(void) CPSIE F void __enable_fault_irq(void) CPSID F void __disable_fault_irq(void) ISB void __ISB(void) DSB void __DSB(void) DMB void __DMB(void) REV uint32_t __REV(uint32_t int value) REV16 uint32_t __REV16(uint32_t int value) REVSH uint32_t __REVSH(uint32_t int value) RBIT uint32_t __RBIT(uint32_t int value) SEV void __SEV(void) WFE void __WFE(void) WFI void __WFI(void) The CMSIS also provides a number of functions for accessing the special registers using MRS and MSR instructions: Table 11-15. CMSIS Intrinsic Functions to Access the Special Registers Special Register Access CMSIS Function Read uint32_t __get_PRIMASK (void) Write void __set_PRIMASK (uint32_t value) Read uint32_t __get_FAULTMASK (void Write void __set_FAULTMASK (uint32_t value) Read uint32_t __get_BASEPRI (void) Write void __set_BASEPRI (uint32_t value) Read uint32_t __get_CONTROL (void) Write void __set_CONTROL (uint32_t value) Read uint32_t __get_MSP (void) Write void __set_MSP (uint32_t TopOfMainStack) Read uint32_t __get_PSP (void) Write void __set_PSP (uint32_t TopOfProcStack) PRIMASK FAULTMASK BASEPRI CONTROL MSP PSP 82 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.3 Instruction Descriptions 11.6.3.1 Operands An instruction operand can be an ARM register, a constant, or another instruction-specific parameter. Instructions act on the operands and often store the result in a destination register. When there is a destination register in the instruction, it is usually specified before the operands. Operands in some instructions are flexible, can either be a register or a constant. See “Flexible Second Operand” . 11.6.3.2 Restrictions when Using PC or SP Many instructions have restrictions on whether the Program Counter (PC) or Stack Pointer (SP) for the operands or destination register can be used. See instruction descriptions for more information. Note: 11.6.3.3 Bit[0] of any address written to the PC with a BX, BLX, LDM, LDR, or POP instruction must be 1 for correct execution, because this bit indicates the required instruction set, and the Cortex-M4 processor only supports Thumb instructions. Flexible Second Operand Many general data processing instructions have a flexible second operand. This is shown as Operand2 in the descriptions of the syntax of each instruction. Operand2 can be a:  “Constant”  “Register with Optional Shift” Constant Specify an Operand2 constant in the form: #constant where constant can be:  Any constant that can be produced by shifting an 8-bit value left by any number of bits within a 32-bit word  Any constant of the form 0x00XY00XY  Any constant of the form 0xXY00XY00  Any constant of the form 0xXYXYXYXY. Note: In the constants shown above, X and Y are hexadecimal digits. In addition, in a small number of instructions, constant can take a wider range of values. These are described in the individual instruction descriptions. When an Operand2 constant is used with the instructions MOVS, MVNS, ANDS, ORRS, ORNS, EORS, BICS, TEQ or TST, the carry flag is updated to bit[31] of the constant, if the constant is greater than 255 and can be produced by shifting an 8-bit value. These instructions do not affect the carry flag if Operand2 is any other constant. Instruction Substitution The assembler might be able to produce an equivalent instruction in cases where the user specifies a constant that is not permitted. For example, an assembler might assemble the instruction CMP Rd, #0xFFFFFFFE as the equivalent instruction CMN Rd, #0x2. Register with Optional Shift Specify an Operand2 register in the form: Rm {, shift} where: Rm is the register holding the data for the second operand. shift is an optional shift to be applied to Rm. It can be one of: SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 83 ASR #n arithmetic shift right n bits, 1 ≤ n ≤ 32. LSL #n logical shift left n bits, 1 ≤ n ≤ 31. LSR #n logical shift right n bits, 1 ≤ n ≤ 32. ROR #n rotate right n bits, 1 ≤ n ≤ 31. RRX rotate right one bit, with extend. - if omitted, no shift occurs, equivalent to LSL #0. If the user omits the shift, or specifies LSL #0, the instruction uses the value in Rm. If the user specifies a shift, the shift is applied to the value in Rm, and the resulting 32-bit value is used by the instruction. However, the contents in the register Rm remains unchanged. Specifying a register with shift also updates the carry flag when used with certain instructions. For information on the shift operations and how they affect the carry flag, see “Flexible Second Operand” . 11.6.3.4 Shift Operations Register shift operations move the bits in a register left or right by a specified number of bits, the shift length. Register shift can be performed:  Directly by the instructions ASR, LSR, LSL, ROR, and RRX, and the result is written to a destination register  During the calculation of Operand2 by the instructions that specify the second operand as a register with shift. See “Flexible Second Operand” . The result is used by the instruction. The permitted shift lengths depend on the shift type and the instruction. If the shift length is 0, no shift occurs. Register shift operations update the carry flag except when the specified shift length is 0. The following subsections describe the various shift operations and how they affect the carry flag. In these descriptions, Rm is the register containing the value to be shifted, and n is the shift length. ASR Arithmetic shift right by n bits moves the left-hand 32-n bits of the register, Rm, to the right by n places, into the right-hand 32-n bits of the result. And it copies the original bit[31] of the register into the left-hand n bits of the result. See Figure 11-8. The ASR #n operation can be used to divide the value in the register Rm by 2n, with the result being rounded towards negative-infinity. When the instruction is ASRS or when ASR #n is used in Operand2 with the instructions MOVS, MVNS, ANDS, ORRS, ORNS, EORS, BICS, TEQ or TST, the carry flag is updated to the last bit shifted out, bit[n-1], of the register Rm.  If n is 32 or more, then all the bits in the result are set to the value of bit[31] of Rm.  If n is 32 or more and the carry flag is updated, it is updated to the value of bit[31] of Rm. Figure 11-8. ASR #3     LSR Logical shift right by n bits moves the left-hand 32-n bits of the register Rm, to the right by n places, into the righthand 32-n bits of the result. And it sets the left-hand n bits of the result to 0. See Figure 11-9. 84 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 The LSR #n operation can be used to divide the value in the register Rm by 2n, if the value is regarded as an unsigned integer. When the instruction is LSRS or when LSR #n is used in Operand2 with the instructions MOVS, MVNS, ANDS, ORRS, ORNS, EORS, BICS, TEQ or TST, the carry flag is updated to the last bit shifted out, bit[n-1], of the register Rm.  If n is 32 or more, then all the bits in the result are cleared to 0.  If n is 33 or more and the carry flag is updated, it is updated to 0. Figure 11-9. LSR #3 &DUU\ )ODJ           LSL Logical shift left by n bits moves the right-hand 32-n bits of the register Rm, to the left by n places, into the left-hand 32-n bits of the result; and it sets the right-hand n bits of the result to 0. See Figure 11-10. The LSL #n operation can be used to multiply the value in the register Rm by 2n, if the value is regarded as an unsigned integer or a two’s complement signed integer. Overflow can occur without warning. When the instruction is LSLS or when LSL #n, with non-zero n, is used in Operand2 with the instructions MOVS, MVNS, ANDS, ORRS, ORNS, EORS, BICS, TEQ or TST, the carry flag is updated to the last bit shifted out, bit[32n], of the register Rm. These instructions do not affect the carry flag when used with LSL #0.  If n is 32 or more, then all the bits in the result are cleared to 0.  If n is 33 or more and the carry flag is updated, it is updated to 0. Figure 11-10. LSL #3           &DUU\ )ODJ ROR Rotate right by n bits moves the left-hand 32-n bits of the register Rm, to the right by n places, into the right-hand 32-n bits of the result; and it moves the right-hand n bits of the register into the left-hand n bits of the result. See Figure 11-11. When the instruction is RORS or when ROR #n is used in Operand2 with the instructions MOVS, MVNS, ANDS, ORRS, ORNS, EORS, BICS, TEQ or TST, the carry flag is updated to the last bit rotation, bit[n-1], of the register Rm.  If n is 32, then the value of the result is same as the value in Rm, and if the carry flag is updated, it is updated to bit[31] of Rm.  ROR with shift length, n, more than 32 is the same as ROR with shift length n-32. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 85 Figure 11-11. ROR #3 &DUU\ )ODJ        RRX Rotate right with extend moves the bits of the register Rm to the right by one bit; and it copies the carry flag into bit[31] of the result. See Figure 11-12. When the instruction is RRXS or when RRX is used in Operand2 with the instructions MOVS, MVNS, ANDS, ORRS, ORNS, EORS, BICS, TEQ or TST, the carry flag is updated to bit[0] of the register Rm. Figure 11-12. RRX &DUU\ )ODJ   11.6.3.5   Address Alignment An aligned access is an operation where a word-aligned address is used for a word, dual word, or multiple word access, or where a halfword-aligned address is used for a halfword access. Byte accesses are always aligned. The Cortex-M4 processor supports unaligned access only for the following instructions:  LDR, LDRT  LDRH, LDRHT  LDRSH, LDRSHT  STR, STRT  STRH, STRHT All other load and store instructions generate a usage fault exception if they perform an unaligned access, and therefore their accesses must be address-aligned. For more information about usage faults, see “Fault Handling” . Unaligned accesses are usually slower than aligned accesses. In addition, some memory regions might not support unaligned accesses. Therefore, ARM recommends that programmers ensure that accesses are aligned. To avoid accidental generation of unaligned accesses, use the UNALIGN_TRP bit in the Configuration and Control Register to trap all unaligned accesses, see “Configuration and Control Register” . 11.6.3.6 PC-relative Expressions A PC-relative expression or label is a symbol that represents the address of an instruction or literal data. It is represented in the instruction as the PC value plus or minus a numeric offset. The assembler calculates the required offset from the label and the address of the current instruction. If the offset is too big, the assembler produces an error.  86 For B, BL, CBNZ, and CBZ instructions, the value of the PC is the address of the current instruction plus 4 bytes. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16  For all other instructions that use labels, the value of the PC is the address of the current instruction plus 4 bytes, with bit[1] of the result cleared to 0 to make it word-aligned.  Your assembler might permit other syntaxes for PC-relative expressions, such as a label plus or minus a number, or an expression of the form [PC, #number]. 11.6.3.7 Conditional Execution Most data processing instructions can optionally update the condition flags in the Application Program Status Register (APSR) according to the result of the operation, see “Application Program Status Register” . Some instructions update all flags, and some only update a subset. If a flag is not updated, the original value is preserved. See the instruction descriptions for the flags they affect. An instruction can be executed conditionally, based on the condition flags set in another instruction, either:  Immediately after the instruction that updated the flags  After any number of intervening instructions that have not updated the flags. Conditional execution is available by using conditional branches or by adding condition code suffixes to instructions. See Table 11-16 for a list of the suffixes to add to instructions to make them conditional instructions. The condition code suffix enables the processor to test a condition based on the flags. If the condition test of a conditional instruction fails, the instruction:  Does not execute  Does not write any value to its destination register  Does not affect any of the flags  Does not generate any exception. Conditional instructions, except for conditional branches, must be inside an If-Then instruction block. See “IT” for more information and restrictions when using the IT instruction. Depending on the vendor, the assembler might automatically insert an IT instruction if there are conditional instructions outside the IT block. The CBZ and CBNZ instructions are used to compare the value of a register against zero and branch on the result. This section describes:  “Condition Flags”  “Condition Code Suffixes” . Condition Flags The APSR contains the following condition flags: N Set to 1 when the result of the operation was negative, cleared to 0 otherwise. Z Set to 1 when the result of the operation was zero, cleared to 0 otherwise. C Set to 1 when the operation resulted in a carry, cleared to 0 otherwise. V Set to 1 when the operation caused overflow, cleared to 0 otherwise. For more information about the APSR, see “Program Status Register” . A carry occurs:  If the result of an addition is greater than or equal to 232  If the result of a subtraction is positive or zero  As the result of an inline barrel shifter operation in a move or logical instruction. An overflow occurs when the sign of the result, in bit[31], does not match the sign of the result, had the operation been performed at infinite precision, for example:  If adding two negative values results in a positive value  If adding two positive values results in a negative value  If subtracting a positive value from a negative value generates a positive value SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 87  If subtracting a negative value from a positive value generates a negative value. The Compare operations are identical to subtracting, for CMP, or adding, for CMN, except that the result is discarded. See the instruction descriptions for more information. Note: Most instructions update the status flags only if the S suffix is specified. See the instruction descriptions for more information. Condition Code Suffixes The instructions that can be conditional have an optional condition code, shown in syntax descriptions as {cond}. Conditional execution requires a preceding IT instruction. An instruction with a condition code is only executed if the condition code flags in the APSR meet the specified condition. Table 11-16 shows the condition codes to use. A conditional execution can be used with the IT instruction to reduce the number of branch instructions in code. Table 11-16 also shows the relationship between condition code suffixes and the N, Z, C, and V flags. Table 11-16. Condition Code Suffixes Suffix Flags Meaning EQ Z=1 Equal NE Z=0 Not equal CS or HS C=1 Higher or same, unsigned ≥ CC or LO C=0 Lower, unsigned < MI N=1 Negative PL N=0 Positive or zero VS V=1 Overflow VC V=0 No overflow HI C = 1 and Z = 0 Higher, unsigned > LS C = 0 or Z = 1 Lower or same, unsigned ≤ GE N=V Greater than or equal, signed ≥ LT N != V Less than, signed < GT Z = 0 and N = V Greater than, signed > LE Z = 1 and N != V Less than or equal, signed ≤ AL Can have any value Always. This is the default when no suffix is specified. Absolute Value The example below shows the use of a conditional instruction to find the absolute value of a number. R0 = ABS(R1). MOVS R0, R1 ; R0 = R1, setting flags IT MI ; IT instruction for the negative condition RSBMI R0, R1, #0 ; If negative, R0 = -R1 Compare and Update Value The example below shows the use of conditional instructions to update the value of R4 if the signed values R0 is greater than R1 and R2 is greater than R3. CMP R0, R1 ; Compare R0 and R1, setting flags ITT GT ; IT instruction for the two GT conditions CMPGT R2, R3 ; If 'greater than', compare R2 and R3, setting flags MOVGT R4, R5 ; If still 'greater than', do R4 = R5 88 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.3.8 Instruction Width Selection There are many instructions that can generate either a 16-bit encoding or a 32-bit encoding depending on the operands and destination register specified. For some of these instructions, the user can force a specific instruction size by using an instruction width suffix. The .W suffix forces a 32-bit instruction encoding. The .N suffix forces a 16-bit instruction encoding. If the user specifies an instruction width suffix and the assembler cannot generate an instruction encoding of the requested width, it generates an error. Note: In some cases, it might be necessary to specify the .W suffix, for example if the operand is the label of an instruction or literal data, as in the case of branch instructions. This is because the assembler might not automatically generate the right size encoding. To use an instruction width suffix, place it immediately after the instruction mnemonic and condition code, if any. The example below shows instructions with the instruction width suffix. BCS.W label ; creates a 32-bit instruction even for a short ; branch ADDS.W R0, R0, R1 ; creates a 32-bit instruction even though the same ; operation can be done by a 16-bit instruction 11.6.4 Memory Access Instructions The table below shows the memory access instructions. Table 11-17. Memory Access Instructions Mnemonic Description ADR Load PC-relative address CLREX Clear Exclusive LDM{mode} Load Multiple registers LDR{type} Load Register using immediate offset LDR{type} Load Register using register offset LDR{type}T Load Register with unprivileged access LDR Load Register using PC-relative address LDRD Load Register Dual LDREX{type} Load Register Exclusive POP Pop registers from stack PUSH Push registers onto stack STM{mode} Store Multiple registers STR{type} Store Register using immediate offset STR{type} Store Register using register offset STR{type}T Store Register with unprivileged access STREX{type} Store Register Exclusive SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 89 11.6.4.1 ADR Load PC-relative address. Syntax ADR{cond} Rd, label where: cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. label is a PC-relative expression. See “PC-relative Expressions” . Operation ADR determines the address by adding an immediate value to the PC, and writes the result to the destination register. ADR produces position-independent code, because the address is PC-relative. If ADR is used to generate a target address for a BX or BLX instruction, ensure that bit[0] of the address generated is set to 1 for correct execution. Values of label must be within the range of −4095 to +4095 from the address in the PC. Note: The user might have to use the .W suffix to get the maximum offset range or to generate addresses that are not wordaligned. See “Instruction Width Selection” . Restrictions Rd must not be SP and must not be PC. Condition Flags This instruction does not change the flags. Examples ADR 90 R1, TextMessage SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 ; Write address value of a location labelled as ; TextMessage to R1 11.6.4.2 LDR and STR, Immediate Offset Load and Store with immediate offset, pre-indexed immediate offset, or post-indexed immediate offset. Syntax op{type}{cond} Rt, op{type}{cond} Rt, op{type}{cond} Rt, opD{cond} Rt, Rt2, opD{cond} Rt, Rt2, opD{cond} Rt, Rt2, [Rn {, #offset}] [Rn, #offset]! [Rn], #offset [Rn {, #offset}] [Rn, #offset]! [Rn], #offset ; ; ; ; ; ; immediate offset pre-indexed post-indexed immediate offset, two words pre-indexed, two words post-indexed, two words where: op is one of: LDR Load Register. STR Store Register. type is one of: B unsigned byte, zero extend to 32 bits on loads. SB signed byte, sign extend to 32 bits (LDR only). H unsigned halfword, zero extend to 32 bits on loads. SH signed halfword, sign extend to 32 bits (LDR only). - omit, for word. cond is an optional condition code, see “Conditional Execution” . Rt is the register to load or store. Rn is the register on which the memory address is based. offset is an offset from Rn. If offset is omitted, the address is the contents of Rn. Rt2 is the additional register to load or store for two-word operations. Operation LDR instructions load one or two registers with a value from memory. STR instructions store one or two register values to memory. Load and store instructions with immediate offset can use the following addressing modes: Offset Addressing The offset value is added to or subtracted from the address obtained from the register Rn. The result is used as the address for the memory access. The register Rn is unaltered. The assembly language syntax for this mode is: [Rn, #offset] SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 91 Pre-indexed Addressing The offset value is added to or subtracted from the address obtained from the register Rn. The result is used as the address for the memory access and written back into the register Rn. The assembly language syntax for this mode is: [Rn, #offset]! Post-indexed Addressing The address obtained from the register Rn is used as the address for the memory access. The offset value is added to or subtracted from the address, and written back into the register Rn. The assembly language syntax for this mode is: [Rn], #offset The value to load or store can be a byte, halfword, word, or two words. Bytes and halfwords can either be signed or unsigned. See “Address Alignment” . The table below shows the ranges of offset for immediate, pre-indexed and post-indexed forms. Table 11-18. Offset Ranges Instruction Type Immediate Offset Pre-indexed Post-indexed Word, halfword, signed halfword, byte, or signed byte -255 to 4095 -255 to 255 -255 to 255 Two words multiple of 4 in the range -1020 to 1020 multiple of 4 in the range -1020 to 1020 multiple of 4 in the range -1020 to 1020 Restrictions For load instructions:  Rt can be SP or PC for word loads only  Rt must be different from Rt2 for two-word loads  Rn must be different from Rt and Rt2 in the pre-indexed or post-indexed forms. When Rt is PC in a word load instruction:  Bit[0] of the loaded value must be 1 for correct execution  A branch occurs to the address created by changing bit[0] of the loaded value to 0  If the instruction is conditional, it must be the last instruction in the IT block. For store instructions:  Rt can be SP for word stores only  Rt must not be PC  Rn must not be PC  Rn must be different from Rt and Rt2 in the pre-indexed or post-indexed forms. Condition Flags These instructions do not change the flags. 92 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Examples LDR LDRNE R8, [R10] R2, [R5, #960]! STR R2, [R9,#const-struc] STRH R3, [R4], #4 LDRD R8, R9, [R3, #0x20] STRD R0, R1, [R8], #-16 11.6.4.3 ; ; ; ; ; ; ; ; ; ; ; ; ; ; Loads R8 from the address in R10. Loads (conditionally) R2 from a word 960 bytes above the address in R5, and increments R5 by 960. const-struc is an expression evaluating to a constant in the range 0-4095. Store R3 as halfword data into address in R4, then increment R4 by 4 Load R8 from a word 32 bytes above the address in R3, and load R9 from a word 36 bytes above the address in R3 Store R0 to address in R8, and store R1 to a word 4 bytes above the address in R8, and then decrement R8 by 16. LDR and STR, Register Offset Load and Store with register offset. Syntax op{type}{cond} Rt, [Rn, Rm {, LSL #n}] where: op is one of: LDR Load Register. STR Store Register. type is one of: B unsigned byte, zero extend to 32 bits on loads. SB signed byte, sign extend to 32 bits (LDR only). H unsigned halfword, zero extend to 32 bits on loads. SH signed halfword, sign extend to 32 bits (LDR only). - omit, for word. cond is an optional condition code, see “Conditional Execution” . Rt is the register to load or store. Rn is the register on which the memory address is based. Rm is a register containing a value to be used as the offset. LSL #n is an optional shift, with n in the range 0 to 3. Operation LDR instructions load a register with a value from memory. STR instructions store a register value into memory. The memory address to load from or store to is at an offset from the register Rn. The offset is specified by the register Rm and can be shifted left by up to 3 bits using LSL. The value to load or store can be a byte, halfword, or word. For load instructions, bytes and halfwords can either be signed or unsigned. See “Address Alignment” . Restrictions In these instructions:  Rn must not be PC SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 93  Rm must not be SP and must not be PC  Rt can be SP only for word loads and word stores  Rt can be PC only for word loads. When Rt is PC in a word load instruction:  Bit[0] of the loaded value must be 1 for correct execution, and a branch occurs to this halfword-aligned address  If the instruction is conditional, it must be the last instruction in the IT block. Condition Flags These instructions do not change the flags. Examples STR LDRSB STR 94 R0, [R5, R1] ; ; R0, [R5, R1, LSL #1] ; ; ; R0, [R1, R2, LSL #2] ; ; Store value of R0 into an address equal to sum of R5 and R1 Read byte value from an address equal to sum of R5 and two times R1, sign extended it to a word value and put it in R0 Stores R0 to an address equal to sum of R1 and four times R2 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.4.4 LDR and STR, Unprivileged Load and Store with unprivileged access. Syntax op{type}T{cond} Rt, [Rn {, #offset}] ; immediate offset where: op is one of: LDR Load Register. STR Store Register. type is one of: B unsigned byte, zero extend to 32 bits on loads. SB signed byte, sign extend to 32 bits (LDR only). H unsigned halfword, zero extend to 32 bits on loads. SH signed halfword, sign extend to 32 bits (LDR only). - omit, for word. cond is an optional condition code, see “Conditional Execution” . Rt is the register to load or store. Rn is the register on which the memory address is based. offset is an offset from Rn and can be 0 to 255. If offset is omitted, the address is the value in Rn. Operation These load and store instructions perform the same function as the memory access instructions with immediate offset, see “LDR and STR, Immediate Offset” . The difference is that these instructions have only unprivileged access even when used in privileged software. When used in unprivileged software, these instructions behave in exactly the same way as normal memory access instructions with immediate offset. Restrictions In these instructions:  Rn must not be PC  Rt must not be SP and must not be PC. Condition Flags These instructions do not change the flags. Examples STRBTEQ R4, [R7] LDRHT R2, [R2, #8] ; ; ; ; Conditionally store least significant byte in R4 to an address in R7, with unprivileged access Load halfword value from an address equal to sum of R2 and 8 into R2, with unprivileged access SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 95 11.6.4.5 LDR, PC-relative Load register from memory. Syntax LDR{type}{cond} Rt, label LDRD{cond} Rt, Rt2, label ; Load two words where: type is one of: B unsigned byte, zero extend to 32 bits. SB signed byte, sign extend to 32 bits. H unsigned halfword, zero extend to 32 bits. SH signed halfword, sign extend to 32 bits. - omit, for word. cond is an optional condition code, see “Conditional Execution” . Rt is the register to load or store. Rt2 is the second register to load or store. label is a PC-relative expression. See “PC-relative Expressions” . Operation LDR loads a register with a value from a PC-relative memory address. The memory address is specified by a label or by an offset from the PC. The value to load or store can be a byte, halfword, or word. For load instructions, bytes and halfwords can either be signed or unsigned. See “Address Alignment” . label must be within a limited range of the current instruction. The table below shows the possible offsets between label and the PC. Table 11-19. Offset Ranges Instruction Type Offset Range Word, halfword, signed halfword, byte, signed byte -4095 to 4095 Two words -1020 to 1020 The user might have to use the .W suffix to get the maximum offset range. See “Instruction Width Selection” . Restrictions In these instructions: 96  Rt can be SP or PC only for word loads  Rt2 must not be SP and must not be PC  Rt must be different from Rt2. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 When Rt is PC in a word load instruction:  Bit[0] of the loaded value must be 1 for correct execution, and a branch occurs to this halfword-aligned address  If the instruction is conditional, it must be the last instruction in the IT block. Condition Flags These instructions do not change the flags. Examples LDR R0, LookUpTable LDRSB R7, localdata 11.6.4.6 ; ; ; ; ; Load R0 with a word of data from an address labelled as LookUpTable Load a byte value from an address labelled as localdata, sign extend it to a word value, and put it in R7 LDM and STM Load and Store Multiple registers. Syntax op{addr_mode}{cond} Rn{!}, reglist where: op is one of: LDM Load Multiple registers. STM Store Multiple registers. addr_mode is any one of the following: IA Increment address After each access. This is the default. DB Decrement address Before each access. cond is an optional condition code, see “Conditional Execution” . Rn is the register on which the memory addresses are based. ! is an optional writeback suffix. If ! is present, the final address, that is loaded from or stored to, is written back into Rn. reglist is a list of one or more registers to be loaded or stored, enclosed in braces. It can contain register ranges. It must be comma separated if it contains more than one register or register range, see “Examples” . LDM and LDMFD are synonyms for LDMIA. LDMFD refers to its use for popping data from Full Descending stacks. LDMEA is a synonym for LDMDB, and refers to its use for popping data from Empty Ascending stacks. STM and STMEA are synonyms for STMIA. STMEA refers to its use for pushing data onto Empty Ascending stacks. STMFD is s synonym for STMDB, and refers to its use for pushing data onto Full Descending stacks Operation LDM instructions load the registers in reglist with word values from memory addresses based on Rn. STM instructions store the word values in the registers in reglist to memory addresses based on Rn. For LDM, LDMIA, LDMFD, STM, STMIA, and STMEA the memory addresses used for the accesses are at 4-byte intervals ranging from Rn to Rn + 4 * (n-1), where n is the number of registers in reglist. The accesses happens in order of increasing register numbers, with the lowest numbered register using the lowest memory address and the SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 97 highest number register using the highest memory address. If the writeback suffix is specified, the value of Rn + 4 * (n-1) is written back to Rn. For LDMDB, LDMEA, STMDB, and STMFD the memory addresses used for the accesses are at 4-byte intervals ranging from Rn to Rn - 4 * (n-1), where n is the number of registers in reglist. The accesses happen in order of decreasing register numbers, with the highest numbered register using the highest memory address and the lowest number register using the lowest memory address. If the writeback suffix is specified, the value of Rn - 4 * (n-1) is written back to Rn. The PUSH and POP instructions can be expressed in this form. See “PUSH and POP” for details. Restrictions In these instructions:  Rn must not be PC  reglist must not contain SP  In any STM instruction, reglist must not contain PC  In any LDM instruction, reglist must not contain PC if it contains LR  reglist must not contain Rn if the writeback suffix is specified. When PC is in reglist in an LDM instruction:  Bit[0] of the value loaded to the PC must be 1 for correct execution, and a branch occurs to this halfwordaligned address  If the instruction is conditional, it must be the last instruction in the IT block. Condition Flags These instructions do not change the flags. Examples LDM STMDB R8,{R0,R2,R9} ; LDMIA is a synonym for LDM R1!,{R3-R6,R11,R12} Incorrect Examples STM LDM 98 R5!,{R5,R4,R9} ; Value stored for R5 is unpredictable R2, {} ; There must be at least one register in the list SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.4.7 PUSH and POP Push registers onto, and pop registers off a full-descending stack. Syntax PUSH{cond} reglist POP{cond} reglist where: cond is an optional condition code, see “Conditional Execution” . reglist is a non-empty list of registers, enclosed in braces. It can contain register ranges. It must be comma separated if it contains more than one register or register range. PUSH and POP are synonyms for STMDB and LDM (or LDMIA) with the memory addresses for the access based on SP, and with the final address for the access written back to the SP. PUSH and POP are the preferred mnemonics in these cases. Operation PUSH stores registers on the stack in order of decreasing the register numbers, with the highest numbered register using the highest memory address and the lowest numbered register using the lowest memory address. POP loads registers from the stack in order of increasing register numbers, with the lowest numbered register using the lowest memory address and the highest numbered register using the highest memory address. See “LDM and STM” for more information. Restrictions In these instructions:  reglist must not contain SP  For the PUSH instruction, reglist must not contain PC  For the POP instruction, reglist must not contain PC if it contains LR. When PC is in reglist in a POP instruction:  Bit[0] of the value loaded to the PC must be 1 for correct execution, and a branch occurs to this halfwordaligned address  If the instruction is conditional, it must be the last instruction in the IT block. Condition Flags These instructions do not change the flags. Examples PUSH PUSH POP {R0,R4-R7} {R2,LR} {R0,R10,PC} SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 99 11.6.4.8 LDREX and STREX Load and Store Register Exclusive. Syntax LDREX{cond} Rt, [Rn {, #offset}] STREX{cond} Rd, Rt, [Rn {, #offset}] LDREXB{cond} Rt, [Rn] STREXB{cond} Rd, Rt, [Rn] LDREXH{cond} Rt, [Rn] STREXH{cond} Rd, Rt, [Rn] where: cond is an optional condition code, see “Conditional Execution” . Rd is the destination register for the returned status. Rt is the register to load or store. Rn is the register on which the memory address is based. offset is an optional offset applied to the value in Rn. If offset is omitted, the address is the value in Rn. Operation LDREX, LDREXB, and LDREXH load a word, byte, and halfword respectively from a memory address. STREX, STREXB, and STREXH attempt to store a word, byte, and halfword respectively to a memory address. The address used in any Store-Exclusive instruction must be the same as the address in the most recently executed Load-exclusive instruction. The value stored by the Store-Exclusive instruction must also have the same data size as the value loaded by the preceding Load-exclusive instruction. This means software must always use a Load-exclusive instruction and a matching Store-Exclusive instruction to perform a synchronization operation, see “Synchronization Primitives” . If an Store-Exclusive instruction performs the store, it writes 0 to its destination register. If it does not perform the store, it writes 1 to its destination register. If the Store-Exclusive instruction writes 0 to the destination register, it is guaranteed that no other process in the system has accessed the memory location between the Load-exclusive and Store-Exclusive instructions. For reasons of performance, keep the number of instructions between corresponding Load-Exclusive and StoreExclusive instruction to a minimum. The result of executing a Store-Exclusive instruction to an address that is different from that used in the preceding Load-Exclusive instruction is unpredictable. Restrictions In these instructions:  Do not use PC  Do not use SP for Rd and Rt  For STREX, Rd must be different from both Rt and Rn  The value of offset must be a multiple of four in the range 0–1020. Condition Flags These instructions do not change the flags. Examples MOV LDREX CMP 100 R1, #0x1 R0, [LockAddr] R0, #0 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 ; Initialize the ‘lock taken’ value try ; Load the lock value ; Is the lock free? ITT STREXEQ CMPEQ BNE .... 11.6.4.9 EQ R0, R1, [LockAddr] R0, #0 try ; ; ; ; ; IT instruction for STREXEQ and CMPEQ Try and claim the lock Did this succeed? No – try again Yes – we have the lock CLREX Clear Exclusive. Syntax CLREX{cond} where: cond is an optional condition code, see “Conditional Execution” . Operation Use CLREX to make the next STREX, STREXB, or STREXH instruction write a 1 to its destination register and fail to perform the store. It is useful in exception handler code to force the failure of the store exclusive if the exception occurs between a load exclusive instruction and the matching store exclusive instruction in a synchronization operation. See “Synchronization Primitives” for more information. Condition Flags These instructions do not change the flags. Examples CLREX SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 101 11.6.5 General Data Processing Instructions The table below shows the data processing instructions. Table 11-20. 102 Data Processing Instructions Mnemonic Description ADC Add with Carry ADD Add ADDW Add AND Logical AND ASR Arithmetic Shift Right BIC Bit Clear CLZ Count leading zeros CMN Compare Negative CMP Compare EOR Exclusive OR LSL Logical Shift Left LSR Logical Shift Right MOV Move MOVT Move Top MOVW Move 16-bit constant MVN Move NOT ORN Logical OR NOT ORR Logical OR RBIT Reverse Bits REV Reverse byte order in a word REV16 Reverse byte order in each halfword REVSH Reverse byte order in bottom halfword and sign extend ROR Rotate Right RRX Rotate Right with Extend RSB Reverse Subtract SADD16 Signed Add 16 SADD8 Signed Add 8 SASX Signed Add and Subtract with Exchange SSAX Signed Subtract and Add with Exchange SBC Subtract with Carry SHADD16 Signed Halving Add 16 SHADD8 Signed Halving Add 8 SHASX Signed Halving Add and Subtract with Exchange SHSAX Signed Halving Subtract and Add with Exchange SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 11-20. Data Processing Instructions (Continued) Mnemonic Description SHSUB16 Signed Halving Subtract 16 SHSUB8 Signed Halving Subtract 8 SSUB16 Signed Subtract 16 SSUB8 Signed Subtract 8 SUB Subtract SUBW Subtract TEQ Test Equivalence TST Test UADD16 Unsigned Add 16 UADD8 Unsigned Add 8 UASX Unsigned Add and Subtract with Exchange USAX Unsigned Subtract and Add with Exchange UHADD16 Unsigned Halving Add 16 UHADD8 Unsigned Halving Add 8 UHASX Unsigned Halving Add and Subtract with Exchange UHSAX Unsigned Halving Subtract and Add with Exchange UHSUB16 Unsigned Halving Subtract 16 UHSUB8 Unsigned Halving Subtract 8 USAD8 Unsigned Sum of Absolute Differences USADA8 Unsigned Sum of Absolute Differences and Accumulate USUB16 Unsigned Subtract 16 USUB8 Unsigned Subtract 8 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 103 11.6.5.1 ADD, ADC, SUB, SBC, and RSB Add, Add with carry, Subtract, Subtract with carry, and Reverse Subtract. Syntax op{S}{cond} {Rd,} Rn, Operand2 op{cond} {Rd,} Rn, #imm12 ; ADD and SUB only where: op is one of: ADD Add. ADC Add with Carry. SUB Subtract. SBC Subtract with Carry. RSB Reverse Subtract. S is an optional suffix. If S is specified, the condition code flags are updated on the result operation, see “Conditional Execution” . cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. If Rd is omitted, the destination register is Rn. Rn is the register holding the first operand. Operand2 is a flexible second operand. See “Flexible Second Operand” for details of the options. imm12 is any value in the range 0–4095. of the Operation The ADD instruction adds the value of Operand2 or imm12 to the value in Rn. The ADC instruction adds the values in Rn and Operand2, together with the carry flag. The SUB instruction subtracts the value of Operand2 or imm12 from the value in Rn. The SBC instruction subtracts the value of Operand2 from the value in Rn. If the carry flag is clear, the result is reduced by one. The RSB instruction subtracts the value in Rn from the value of Operand2. This is useful because of the wide range of options for Operand2. Use ADC and SBC to synthesize multiword arithmetic, see Multiword arithmetic examples on. See also “ADR” . Note: ADDW is equivalent to the ADD syntax that uses the imm12 operand. SUBW is equivalent to the SUB syntax that uses the imm12 operand. Restrictions In these instructions: 104  Operand2 must not be SP and must not be PC  Rd can be SP only in ADD and SUB, and only with the additional restrictions: ̶ Rn must also be SP ̶ Any shift in Operand2 must be limited to a maximum of 3 bits using LSL  Rn can be SP only in ADD and SUB  Rd can be PC only in the ADD{cond} PC, PC, Rm instruction where: ̶ The user must not specify the S suffix ̶ Rm must not be PC and must not be SP SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 ̶  If the instruction is conditional, it must be the last instruction in the IT block With the exception of the ADD{cond} PC, PC, Rm instruction, Rn can be PC only in ADD and SUB, and only with the additional restrictions: ̶ The user must not specify the S suffix ̶ The second operand must be a constant in the range 0 to 4095. ̶ Note: When using the PC for an addition or a subtraction, bits[1:0] of the PC are rounded to 0b00 before performing the calculation, making the base address for the calculation word-aligned. ̶ Note: To generate the address of an instruction, the constant based on the value of the PC must be adjusted. ARM recommends to use the ADR instruction instead of ADD or SUB with Rn equal to the PC, because the assembler automatically calculates the correct constant for the ADR instruction. When Rd is PC in the ADD{cond} PC, PC, Rm instruction:  Bit[0] of the value written to the PC is ignored  A branch occurs to the address created by forcing bit[0] of that value to 0. Condition Flags If S is specified, these instructions update the N, Z, C and V flags according to the result. Examples ADD SUBS RSB ADCHI R2, R1, R3 R8, R6, #240 R4, R4, #1280 R11, R0, R3 ; ; ; ; Sets the flags on the result Subtracts contents of R4 from 1280 Only executed if C flag set and Z flag clear. Multiword Arithmetic Examples The example below shows two instructions that add a 64-bit integer contained in R2 and R3 to another 64-bit integer contained in R0 and R1, and place the result in R4 and R5. 64-bit Addition Example ADDS R4, R0, R2 ADC R5, R1, R3 ; add the least significant words ; add the most significant words with carry Multiword values do not have to use consecutive registers. The example below shows instructions that subtract a 96-bit integer contained in R9, R1, and R11 from another contained in R6, R2, and R8. The example stores the result in R6, R9, and R2. 96-bit Subtraction Example SUBS R6, R6, R9 SBCS R9, R2, R1 SBC R2, R8, R11 ; subtract the least significant words ; subtract the middle words with carry ; subtract the most significant words with carry SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 105 11.6.5.2 AND, ORR, EOR, BIC, and ORN Logical AND, OR, Exclusive OR, Bit Clear, and OR NOT. Syntax op{S}{cond} {Rd,} Rn, Operand2 where: op is one of: AND logical AND. ORR logical OR, or bit set. EOR logical Exclusive OR. BIC logical AND NOT, or bit clear. ORN logical OR NOT. S is an optional suffix. If S is specified, the condition code flags are updated on the result operation, see “Conditional Execution” . cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn is the register holding the first operand. Operand2 is a flexible second operand. See “Flexible Second Operand” for details of the options. of the Operation The AND, EOR, and ORR instructions perform bitwise AND, Exclusive OR, and OR operations on the values in Rn and Operand2. The BIC instruction performs an AND operation on the bits in Rn with the complements of the corresponding bits in the value of Operand2. The ORN instruction performs an OR operation on the bits in Rn with the complements of the corresponding bits in the value of Operand2. Restrictions Do not use SP and do not use PC. Condition Flags If S is specified, these instructions:  Update the N and Z flags according to the result  Can update the C flag during the calculation of Operand2, see “Flexible Second Operand”  Do not affect the V flag. Examples AND ORREQ ANDS EORS BIC ORN ORNS 106 R9, R2, #0xFF00 R2, R0, R5 R9, R8, #0x19 R7, R11, #0x18181818 R0, R1, #0xab R7, R11, R14, ROR #4 R7, R11, R14, ASR #32 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.5.3 ASR, LSL, LSR, ROR, and RRX Arithmetic Shift Right, Logical Shift Left, Logical Shift Right, Rotate Right, and Rotate Right with Extend. Syntax op{S}{cond} Rd, Rm, Rs op{S}{cond} Rd, Rm, #n RRX{S}{cond} Rd, Rm where: op is one of: ASR Arithmetic Shift Right. LSL Logical Shift Left. LSR Logical Shift Right. ROR Rotate Right. S is an optional suffix. If S is specified, the condition code flags are updated on the result operation, see “Conditional Execution” . Rd is the destination register. Rm is the register holding the value to be shifted. Rs is the register holding the shift length to apply to the value in Rm. Only the least of the significant byte is used and can be in the range 0 to 255. n is the shift length. The range of shift length depends on the instruction: ASR shift length from 1 to 32 LSL shift length from 0 to 31 LSR shift length from 1 to 32 ROR shift length from 0 to 31 MOVS Rd, Rm is the preferred syntax for LSLS Rd, Rm, #0. Operation ASR, LSL, LSR, and ROR move the bits in the register Rm to the left or right by the number of places specified by constant n or register Rs. RRX moves the bits in register Rm to the right by 1. In all these instructions, the result is written to Rd, but the value in register Rm remains unchanged. For details on what result is generated by the different instructions, see “Shift Operations” . Restrictions Do not use SP and do not use PC. Condition Flags If S is specified:  These instructions update the N and Z flags according to the result  The C flag is updated to the last bit shifted out, except when the shift length is 0, see “Shift Operations” . Examples ASR SLS LSR ROR RRX R7, R1, R4, R4, R4, R8, R2, R5, R5, R5 #9 #3 #6 R6 ; ; ; ; ; Arithmetic shift right by 9 bits Logical shift left by 3 bits with flag update Logical shift right by 6 bits Rotate right by the value in the bottom byte of R6 Rotate right with extend. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 107 11.6.5.4 CLZ Count Leading Zeros. Syntax CLZ{cond} Rd, Rm where: cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rm is the operand register. Operation The CLZ instruction counts the number of leading zeros in the value in Rm and returns the result in Rd. The result value is 32 if no bits are set and zero if bit[31] is set. Restrictions Do not use SP and do not use PC. Condition Flags This instruction does not change the flags. Examples CLZ CLZNE 108 R4,R9 R2,R3 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.5.5 CMP and CMN Compare and Compare Negative. Syntax CMP{cond} Rn, Operand2 CMN{cond} Rn, Operand2 where: cond is an optional condition code, see “Conditional Execution” . Rn is the register holding the first operand. Operand2 is a flexible second operand. See “Flexible Second Operand” for details of the options. Operation These instructions compare the value in a register with Operand2. They update the condition flags on the result, but do not write the result to a register. The CMP instruction subtracts the value of Operand2 from the value in Rn. This is the same as a SUBS instruction, except that the result is discarded. The CMN instruction adds the value of Operand2 to the value in Rn. This is the same as an ADDS instruction, except that the result is discarded. Restrictions In these instructions:  Do not use PC  Operand2 must not be SP. Condition Flags These instructions update the N, Z, C and V flags according to the result. Examples CMP CMN CMPGT R2, R9 R0, #6400 SP, R7, LSL #2 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 109 11.6.5.6 MOV and MVN Move and Move NOT. Syntax MOV{S}{cond} Rd, Operand2 MOV{cond} Rd, #imm16 MVN{S}{cond} Rd, Operand2 where: S is an optional suffix. If S is specified, the condition code flags are updated on the result operation, see “Conditional Execution” . cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Operand2 is a flexible second operand. See “Flexible Second Operand” for details of the options. imm16 is any value in the range 0–65535. of the Operation The MOV instruction copies the value of Operand2 into Rd. When Operand2 in a MOV instruction is a register with a shift other than LSL #0, the preferred syntax is the corresponding shift instruction:  ASR{S}{cond} Rd, Rm, #n is the preferred syntax for MOV{S}{cond} Rd, Rm, ASR #n  LSL{S}{cond} Rd, Rm, #n is the preferred syntax for MOV{S}{cond} Rd, Rm, LSL #n if n != 0  LSR{S}{cond} Rd, Rm, #n is the preferred syntax for MOV{S}{cond} Rd, Rm, LSR #n  ROR{S}{cond} Rd, Rm, #n is the preferred syntax for MOV{S}{cond} Rd, Rm, ROR #n  RRX{S}{cond} Rd, Rm is the preferred syntax for MOV{S}{cond} Rd, Rm, RRX. Also, the MOV instruction permits additional forms of Operand2 as synonyms for shift instructions:  MOV{S}{cond} Rd, Rm, ASR Rs is a synonym for ASR{S}{cond} Rd, Rm, Rs  MOV{S}{cond} Rd, Rm, LSL Rs is a synonym for LSL{S}{cond} Rd, Rm, Rs  MOV{S}{cond} Rd, Rm, LSR Rs is a synonym for LSR{S}{cond} Rd, Rm, Rs  MOV{S}{cond} Rd, Rm, ROR Rs is a synonym for ROR{S}{cond} Rd, Rm, Rs See “ASR, LSL, LSR, ROR, and RRX” . The MVN instruction takes the value of Operand2, performs a bitwise logical NOT operation on the value, and places the result into Rd. The MOVW instruction provides the same function as MOV, but is restricted to using the imm16 operand. Restrictions SP and PC only can be used in the MOV instruction, with the following restrictions:  The second operand must be a register without shift  The S suffix must not be specified. When Rd is PC in a MOV instruction:  Bit[0] of the value written to the PC is ignored  A branch occurs to the address created by forcing bit[0] of that value to 0. Though it is possible to use MOV as a branch instruction, ARM strongly recommends the use of a BX or BLX instruction to branch for software portability to the ARM instruction set. Condition Flags 110 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 If S is specified, these instructions:  Update the N and Z flags according to the result  Can update the C flag during the calculation of Operand2, see “Flexible Second Operand”  Do not affect the V flag. Examples MOVS R11, #0x000B ; Write value of 0x000B to R11, flags get updated MOV R1, #0xFA05 ; Write value of 0xFA05 to R1, flags are not updated MOVS R10, R12 ; Write value in R12 to R10, flags get updated MOV R3, #23 ; Write value of 23 to R3 MOV R8, SP ; Write value of stack pointer to R8 MVNS R2, #0xF ; Write value of 0xFFFFFFF0 (bitwise inverse of 0xF) ; to the R2 and update flags. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 111 11.6.5.7 MOVT Move Top. Syntax MOVT{cond} Rd, #imm16 where: cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. imm16 is a 16-bit immediate constant. Operation MOVT writes a 16-bit immediate value, imm16, to the top halfword, Rd[31:16], of its destination register. The write does not affect Rd[15:0]. The MOV, MOVT instruction pair enables to generate any 32-bit constant. Restrictions Rd must not be SP and must not be PC. Condition Flags This instruction does not change the flags. Examples MOVT 112 R3, #0xF123 ; Write 0xF123 to upper halfword of R3, lower halfword ; and APSR are unchanged. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.5.8 REV, REV16, REVSH, and RBIT Reverse bytes and Reverse bits. Syntax op{cond} Rd, Rn where: op is any of: REV Reverse byte order in a word. REV16 Reverse byte order in each halfword independently. REVSH Reverse byte order in the bottom halfword, and sign extend to 32 bits. RBIT Reverse the bit order in a 32-bit word. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn is the register holding the operand. Operation Use these instructions to change endianness of data: REV converts either:  32-bit big-endian data into little-endian data  32-bit little-endian data into big-endian data. REV16 converts either:  16-bit big-endian data into little-endian data  16-bit little-endian data into big-endian data. REVSH converts either:  16-bit signed big-endian data into 32-bit signed little-endian data  16-bit signed little-endian data into 32-bit signed big-endian data. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not change the flags. Examples REV REV16 REVSH REVHS RBIT R3, R0, R0, R3, R7, R7; R0; R5; R7; R8; Reverse Reverse Reverse Reverse Reverse byte order of value in R7 and write it to R3 byte order of each 16-bit halfword in R0 Signed Halfword with Higher or Same condition bit order of value in R8 and write the result to R7. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 113 11.6.5.9 SADD16 and SADD8 Signed Add 16 and Signed Add 8 Syntax op{cond}{Rd,} Rn, Rm where: op is any of: SADD16 Performs two 16-bit signed integer additions. SADD8 Performs four 8-bit signed integer additions. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn is the first register holding the operand. Rm is the second register holding the operand. Operation Use these instructions to perform a halfword or byte add in parallel: The SADD16 instruction: 1. Adds each halfword from the first operand to the corresponding halfword of the second operand. 2. Writes the result in the corresponding halfwords of the destination register. The SADD8 instruction: 1. Adds each byte of the first operand to the corresponding byte of the second operand. Writes the result in the corresponding bytes of the destination register. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not change the flags. Examples SADD16 R1, R0 SADD8 114 ; ; ; R4, R0, R5 ; ; Adds the halfwords in R0 to the corresponding halfwords of R1 and writes to corresponding halfword of R1. Adds bytes of R0 to the corresponding byte in R5 and writes to the corresponding byte in R4. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.5.10 SHADD16 and SHADD8 Signed Halving Add 16 and Signed Halving Add 8 Syntax op{cond}{Rd,} Rn, Rm where: op is any of: SHADD16 Signed Halving Add 16. SHADD8 Signed Halving Add 8. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn is the first operand register. Rm is the second operand register. Operation Use these instructions to add 16-bit and 8-bit data and then to halve the result before writing the result to the destination register: The SHADD16 instruction: 1. Adds each halfword from the first operand to the corresponding halfword of the second operand. 2. Shuffles the result by one bit to the right, halving the data. 3. Writes the halfword results in the destination register. The SHADDB8 instruction: 1. Adds each byte of the first operand to the corresponding byte of the second operand. 2. Shuffles the result by one bit to the right, halving the data. 3. Writes the byte results in the destination register. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not change the flags. Examples SHADD16 R1, R0 SHADD8 ; ; ; R4, R0, R5 ; ; Adds halfwords in R0 to corresponding halfword of R1 and writes halved result to corresponding halfword in R1 Adds bytes of R0 to corresponding byte in R5 and writes halved result to corresponding byte in R4. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 115 11.6.5.11 SHASX and SHSAX Signed Halving Add and Subtract with Exchange and Signed Halving Subtract and Add with Exchange. Syntax op{cond} {Rd}, Rn, Rm where: op is any of: SHASX Add and Subtract with Exchange and Halving. SHSAX Subtract and Add with Exchange and Halving. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn, Rm are registers holding the first and second operands. Operation The SHASX instruction: 1. Adds the top halfword of the first operand with the bottom halfword of the second operand. 2. Writes the halfword result of the addition to the top halfword of the destination register, shifted by one bit to the right causing a divide by two, or halving. 3. Subtracts the top halfword of the second operand from the bottom highword of the first operand. 4. Writes the halfword result of the division in the bottom halfword of the destination register, shifted by one bit to the right causing a divide by two, or halving. The SHSAX instruction: 1. Subtracts the bottom halfword of the second operand from the top highword of the first operand. 2. Writes the halfword result of the addition to the bottom halfword of the destination register, shifted by one bit to the right causing a divide by two, or halving. 3. Adds the bottom halfword of the first operand with the top halfword of the second operand. 4. Writes the halfword result of the division in the top halfword of the destination register, shifted by one bit to the right causing a divide by two, or halving. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not affect the condition code flags. 116 Examples SHASX R7, R4, R2 SHSAX R0, R3, R5 ; ; ; ; ; ; ; ; Adds top halfword of R4 to bottom halfword of R2 and writes halved result to top halfword of R7 Subtracts top halfword of R2 from bottom halfword of R4 and writes halved result to bottom halfword of R7 Subtracts bottom halfword of R5 from top halfword of R3 and writes halved result to top halfword of R0 Adds top halfword of R5 to bottom halfword of R3 and writes halved result to bottom halfword of R0. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.5.12 SHSUB16 and SHSUB8 Signed Halving Subtract 16 and Signed Halving Subtract 8 Syntax op{cond}{Rd,} Rn, Rm where: op is any of: SHSUB16 Signed Halving Subtract 16. SHSUB8 Signed Halving Subtract 8. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn is the first operand register. Rm is the second operand register. Operation Use these instructions to add 16-bit and 8-bit data and then to halve the result before writing the result to the destination register: The SHSUB16 instruction: 1. Subtracts each halfword of the second operand from the corresponding halfwords of the first operand. 2. Shuffles the result by one bit to the right, halving the data. 3. Writes the halved halfword results in the destination register. The SHSUBB8 instruction: 1. Subtracts each byte of the second operand from the corresponding byte of the first operand, 2. Shuffles the result by one bit to the right, halving the data, 3. Writes the corresponding signed byte results in the destination register. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not change the flags. Examples SHSUB16 R1, R0 SHSUB8 ; ; R4, R0, R5 ; ; Subtracts halfwords in R0 from corresponding halfword of R1 and writes to corresponding halfword of R1 Subtracts bytes of R0 from corresponding byte in R5, and writes to corresponding byte in R4. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 117 11.6.5.13 SSUB16 and SSUB8 Signed Subtract 16 and Signed Subtract 8 Syntax op{cond}{Rd,} Rn, Rm where: op is any of: SSUB16 Performs two 16-bit signed integer subtractions. SSUB8 Performs four 8-bit signed integer subtractions. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn is the first operand register. Rm is the second operand register. Operation Use these instructions to change endianness of data: The SSUB16 instruction: 1. Subtracts each halfword from the second operand from the corresponding halfword of the first operand 2. Writes the difference result of two signed halfwords in the corresponding halfword of the destination register. The SSUB8 instruction: 1. Subtracts each byte of the second operand from the corresponding byte of the first operand 2. Writes the difference result of four signed bytes in the corresponding byte of the destination register. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not change the flags. Examples SSUB16 R1, R0 SSUB8 118 ; ; R4, R0, R5 ; ; Subtracts halfwords in R0 from corresponding halfword of R1 and writes to corresponding halfword of R1 Subtracts bytes of R5 from corresponding byte in R0, and writes to corresponding byte of R4. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.5.14 SASX and SSAX Signed Add and Subtract with Exchange and Signed Subtract and Add with Exchange. Syntax op{cond} {Rd}, Rm, Rn where: op is any of: SASX Signed Add and Subtract with Exchange. SSAX Signed Subtract and Add with Exchange. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn, Rm are registers holding the first and second operands. Operation The SASX instruction: 1. Adds the signed top halfword of the first operand with the signed bottom halfword of the second operand. 2. Writes the signed result of the addition to the top halfword of the destination register. 3. Subtracts the signed bottom halfword of the second operand from the top signed highword of the first operand. 4. Writes the signed result of the subtraction to the bottom halfword of the destination register. The SSAX instruction: 1. Subtracts the signed bottom halfword of the second operand from the top signed highword of the first operand. 2. Writes the signed result of the addition to the bottom halfword of the destination register. 3. Adds the signed top halfword of the first operand with the signed bottom halfword of the second operand. 4. Writes the signed result of the subtraction to the top halfword of the destination register. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not affect the condition code flags. Examples SASX SSAX R0, R4, R5 ; ; ; ; R7, R3, R2 ; ; ; ; Adds top halfword of R4 to bottom halfword of R5 and writes to top halfword of R0 Subtracts bottom halfword of R5 from top halfword of R4 and writes to bottom halfword of R0 Subtracts top halfword of R2 from bottom halfword of R3 and writes to bottom halfword of R7 Adds top halfword of R3 with bottom halfword of R2 and writes to top halfword of R7. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 119 11.6.5.15 TST and TEQ Test bits and Test Equivalence. Syntax TST{cond} Rn, Operand2 TEQ{cond} Rn, Operand2 where cond is an optional condition code, see “Conditional Execution” . Rn is the register holding the first operand. Operand2 is a flexible second operand. See “Flexible Second Operand” for details of the options. Operation These instructions test the value in a register against Operand2. They update the condition flags based on the result, but do not write the result to a register. The TST instruction performs a bitwise AND operation on the value in Rn and the value of Operand2. This is the same as the ANDS instruction, except that it discards the result. To test whether a bit of Rn is 0 or 1, use the TST instruction with an Operand2 constant that has that bit set to 1 and all other bits cleared to 0. The TEQ instruction performs a bitwise Exclusive OR operation on the value in Rn and the value of Operand2. This is the same as the EORS instruction, except that it discards the result. Use the TEQ instruction to test if two values are equal without affecting the V or C flags. TEQ is also useful for testing the sign of a value. After the comparison, the N flag is the logical Exclusive OR of the sign bits of the two operands. Restrictions Do not use SP and do not use PC. Condition Flags These instructions:  Update the N and Z flags according to the result  Can update the C flag during the calculation of Operand2, see “Flexible Second Operand”  Do not affect the V flag. Examples TST TEQEQ 120 R0, #0x3F8 ; ; R10, R9 ; ; Perform bitwise AND of R0 value to 0x3F8, APSR is updated but result is discarded Conditionally test if value in R10 is equal to value in R9, APSR is updated but result is discarded. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.5.16 UADD16 and UADD8 Unsigned Add 16 and Unsigned Add 8 Syntax op{cond}{Rd,} Rn, Rm where: op is any of: UADD16 Performs two 16-bit unsigned integer additions. UADD8 Performs four 8-bit unsigned integer additions. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn is the first register holding the operand. Rm is the second register holding the operand. Operation Use these instructions to add 16- and 8-bit unsigned data: The UADD16 instruction: 1. Adds each halfword from the first operand to the corresponding halfword of the second operand. 2. Writes the unsigned result in the corresponding halfwords of the destination register. The UADD16 instruction: 1. Adds each byte of the first operand to the corresponding byte of the second operand. 2. Writes the unsigned result in the corresponding byte of the destination register. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not change the flags. Examples UADD16 R1, R0 UADD8 R4, R0, R5 ; ; ; ; Adds halfwords in R0 to corresponding halfword of R1, writes to corresponding halfword of R1 Adds bytes of R0 to corresponding byte in R5 and writes to corresponding byte in R4. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 121 11.6.5.17 UASX and USAX Add and Subtract with Exchange and Subtract and Add with Exchange. Syntax op{cond} {Rd}, Rn, Rm where: op is one of: UASX Add and Subtract with Exchange. USAX Subtract and Add with Exchange. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn, Rm are registers holding the first and second operands. Operation The UASX instruction: 1. Subtracts the top halfword of the second operand from the bottom halfword of the first operand. 2. Writes the unsigned result from the subtraction to the bottom halfword of the destination register. 3. Adds the top halfword of the first operand with the bottom halfword of the second operand. 4. Writes the unsigned result of the addition to the top halfword of the destination register. The USAX instruction: 1. Adds the bottom halfword of the first operand with the top halfword of the second operand. 2. Writes the unsigned result of the addition to the bottom halfword of the destination register. 3. Subtracts the bottom halfword of the second operand from the top halfword of the first operand. 4. Writes the unsigned result from the subtraction to the top halfword of the destination register. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not affect the condition code flags. Examples UASX USAX 122 R0, R4, R5 ; ; ; ; R7, R3, R2 ; ; ; ; Adds top halfword of R4 to bottom halfword of R5 and writes to top halfword of R0 Subtracts bottom halfword of R5 from top halfword of R0 and writes to bottom halfword of R0 Subtracts top halfword of R2 from bottom halfword of R3 and writes to bottom halfword of R7 Adds top halfword of R3 to bottom halfword of R2 and writes to top halfword of R7. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.5.18 UHADD16 and UHADD8 Unsigned Halving Add 16 and Unsigned Halving Add 8 Syntax op{cond}{Rd,} Rn, Rm where: op is any of: UHADD16 Unsigned Halving Add 16. UHADD8 Unsigned Halving Add 8. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn is the register holding the first operand. Rm is the register holding the second operand. Operation Use these instructions to add 16- and 8-bit data and then to halve the result before writing the result to the destination register: The UHADD16 instruction: 1. Adds each halfword from the first operand to the corresponding halfword of the second operand. 2. Shuffles the halfword result by one bit to the right, halving the data. 3. Writes the unsigned results to the corresponding halfword in the destination register. The UHADD8 instruction: 1. Adds each byte of the first operand to the corresponding byte of the second operand. 2. Shuffles the byte result by one bit to the right, halving the data. 3. Writes the unsigned results in the corresponding byte in the destination register. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not change the flags. Examples UHADD16 R7, R3 UHADD8 R4, R0, R5 ; ; ; ; ; Adds halfwords in R7 to corresponding halfword of R3 and writes halved result to corresponding halfword in R7 Adds bytes of R0 to corresponding byte in R5 and writes halved result to corresponding byte in R4. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 123 11.6.5.19 UHASX and UHSAX Unsigned Halving Add and Subtract with Exchange and Unsigned Halving Subtract and Add with Exchange. Syntax op{cond} {Rd}, Rn, Rm where: op is one of: UHASX Add and Subtract with Exchange and Halving. UHSAX Subtract and Add with Exchange and Halving. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn, Rm are registers holding the first and second operands. Operation The UHASX instruction: 1. Adds the top halfword of the first operand with the bottom halfword of the second operand. 2. Shifts the result by one bit to the right causing a divide by two, or halving. 3. Writes the halfword result of the addition to the top halfword of the destination register. 4. Subtracts the top halfword of the second operand from the bottom highword of the first operand. 5. Shifts the result by one bit to the right causing a divide by two, or halving. 6. Writes the halfword result of the division in the bottom halfword of the destination register. The UHSAX instruction: 1. Subtracts the bottom halfword of the second operand from the top highword of the first operand. 2. Shifts the result by one bit to the right causing a divide by two, or halving. 3. Writes the halfword result of the subtraction in the top halfword of the destination register. 4. Adds the bottom halfword of the first operand with the top halfword of the second operand. 5. Shifts the result by one bit to the right causing a divide by two, or halving. 6. Writes the halfword result of the addition to the bottom halfword of the destination register. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not affect the condition code flags. Examples UHASX UHSAX 124 R7, R4, R2 ; ; ; ; R0, R3, R5 ; ; ; ; Adds top halfword of R4 with bottom halfword of R2 and writes halved result to top halfword of R7 Subtracts top halfword of R2 from bottom halfword of R7 and writes halved result to bottom halfword of R7 Subtracts bottom halfword of R5 from top halfword of R3 and writes halved result to top halfword of R0 Adds top halfword of R5 to bottom halfword of R3 and writes halved result to bottom halfword of R0. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.5.20 UHSUB16 and UHSUB8 Unsigned Halving Subtract 16 and Unsigned Halving Subtract 8 Syntax op{cond}{Rd,} Rn, Rm where: op is any of: UHSUB16 Performs two unsigned 16-bit integer additions, halves the results, and writes the results to the destination register. UHSUB8 Performs four unsigned 8-bit integer additions, halves the results, and writes the results to the destination register. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn is the first register holding the operand. Rm is the second register holding the operand. Operation Use these instructions to add 16-bit and 8-bit data and then to halve the result before writing the result to the destination register: The UHSUB16 instruction: 1. Subtracts each halfword of the second operand from the corresponding halfword of the first operand. 2. Shuffles each halfword result to the right by one bit, halving the data. 3. Writes each unsigned halfword result to the corresponding halfwords in the destination register. The UHSUB8 instruction: 1. Subtracts each byte of second operand from the corresponding byte of the first operand. 2. Shuffles each byte result by one bit to the right, halving the data. 3. Writes the unsigned byte results to the corresponding byte of the destination register. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not change the flags. Examples UHSUB16 R1, R0 UHSUB8 R4, R0, R5 ; ; ; ; Subtracts halfwords in R0 from corresponding halfword of R1 and writes halved result to corresponding halfword in R1 Subtracts bytes of R5 from corresponding byte in R0 and writes halved result to corresponding byte in R4. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 125 11.6.5.21 SEL Select Bytes. Selects each byte of its result from either its first operand or its second operand, according to the values of the GE flags. Syntax SEL{}{} {,} , where: c, q are standard assembler syntax fields. Rd is the destination register. Rn is the first register holding the operand. Rm is the second register holding the operand. Operation The SEL instruction: 1. Reads the value of each bit of APSR.GE. 2. Depending on the value of APSR.GE, assigns the destination register the value of either the first or second operand register. Restrictions None. Condition Flags These instructions do not change the flags. Examples SADD16 R0, R1, R2 SEL R0, R0, R3 126 ; Set GE bits based on result ; Select bytes from R0 or R3, based on GE. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.5.22 USAD8 Unsigned Sum of Absolute Differences Syntax USAD8{cond}{Rd,} Rn, Rm where: cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn is the first operand register. Rm is the second operand register. Operation The USAD8 instruction: 1. Subtracts each byte of the second operand register from the corresponding byte of the first operand register. 2. Adds the absolute values of the differences together. 3. Writes the result to the destination register. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not change the flags. Examples USAD8 R1, R4, R0 ; ; USAD8 R0, R5 ; ; Subtracts each byte in R0 from corresponding byte of R4 adds the differences and writes to R1 Subtracts bytes of R5 from corresponding byte in R0, adds the differences and writes to R0. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 127 11.6.5.23 USADA8 Unsigned Sum of Absolute Differences and Accumulate Syntax USADA8{cond}{Rd,} Rn, Rm, Ra where: cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn is the first operand register. Rm is the second operand register. Ra is the register that contains the accumulation value. Operation The USADA8 instruction: 1. Subtracts each byte of the second operand register from the corresponding byte of the first operand register. 2. Adds the unsigned absolute differences together. 3. Adds the accumulation value to the sum of the absolute differences. 4. Writes the result to the destination register. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not change the flags. Examples USADA8 R1, R0, R6 USADA8 R4, R0, R5, R2 128 ; ; ; ; Subtracts bytes in R0 from corresponding halfword of R1 adds differences, adds value of R6, writes to R1 Subtracts bytes of R5 from corresponding byte in R0 adds differences, adds value of R2 writes to R4. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.5.24 USUB16 and USUB8 Unsigned Subtract 16 and Unsigned Subtract 8 Syntax op{cond}{Rd,} Rn, Rm where op is any of: USUB16 Unsigned Subtract 16. USUB8 Unsigned Subtract 8. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn is the first operand register. Rm is the second operand register. Operation Use these instructions to subtract 16-bit and 8-bit data before writing the result to the destination register: The USUB16 instruction: 1. Subtracts each halfword from the second operand register from the corresponding halfword of the first operand register. 2. Writes the unsigned result in the corresponding halfwords of the destination register. The USUB8 instruction: 1. Subtracts each byte of the second operand register from the corresponding byte of the first operand register. 2. Writes the unsigned byte result in the corresponding byte of the destination register. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not change the flags. Examples USUB16 R1, R0 ; ; ; ; Subtracts halfwords in R0 from corresponding halfword of R1 and writes to corresponding halfword in R1USUB8 R4, R0, R5 Subtracts bytes of R5 from corresponding byte in R0 and writes to the corresponding byte in R4. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 129 11.6.6 Multiply and Divide Instructions The table below shows the multiply and divide instructions. Table 11-21. Multiply and Divide Instructions Mnemonic Description MLA Multiply with Accumulate, 32-bit result MLS Multiply and Subtract, 32-bit result MUL Multiply, 32-bit result SDIV Signed Divide SMLA[B,T] Signed Multiply Accumulate (halfwords) SMLAD, SMLADX Signed Multiply Accumulate Dual SMLAL Signed Multiply with Accumulate (32 × 32 + 64), 64-bit result SMLAL[B,T] Signed Multiply Accumulate Long (halfwords) SMLALD, SMLALDX Signed Multiply Accumulate Long Dual SMLAW[B|T] Signed Multiply Accumulate (word by halfword) SMLSD Signed Multiply Subtract Dual SMLSLD Signed Multiply Subtract Long Dual SMMLA Signed Most Significant Word Multiply Accumulate SMMLS, SMMLSR Signed Most Significant Word Multiply Subtract SMUAD, SMUADX Signed Dual Multiply Add SMUL[B,T] Signed Multiply (word by halfword) SMMUL, SMMULR Signed Most Significant Word Multiply SMULL Signed Multiply (32x32), 64-bit result SMULWB, SMULWT Signed Multiply (word by halfword) SMUSD, SMUSDX Signed Dual Multiply Subtract UDIV Unsigned Divide UMAAL Unsigned Multiply Accumulate Accumulate Long (32 × 32 + 32 + 32), 64-bit result UMLAL Unsigned Multiply with Accumulate (32 × 32 + 64), 64-bit result UMULL Unsigned Multiply (32 × 32), 64-bit result 130 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.6.1 MUL, MLA, and MLS Multiply, Multiply with Accumulate, and Multiply with Subtract, using 32-bit operands, and producing a 32-bit result. Syntax MUL{S}{cond} {Rd,} Rn, Rm ; Multiply MLA{cond} Rd, Rn, Rm, Ra ; Multiply with accumulate MLS{cond} Rd, Rn, Rm, Ra ; Multiply with subtract where: cond is an optional condition code, see “Conditional Execution” . S is an optional suffix. If S is specified, the condition code flags are updated on the result operation, see “Conditional Execution” . Rd is the destination register. If Rd is omitted, the destination register is Rn. Rn, Rm are registers holding the values to be multiplied. Ra is a register holding the value to be added or subtracted from. of the Operation The MUL instruction multiplies the values from Rn and Rm, and places the least significant 32 bits of the result in Rd. The MLA instruction multiplies the values from Rn and Rm, adds the value from Ra, and places the least significant 32 bits of the result in Rd. The MLS instruction multiplies the values from Rn and Rm, subtracts the product from the value from Ra, and places the least significant 32 bits of the result in Rd. The results of these instructions do not depend on whether the operands are signed or unsigned. Restrictions In these instructions, do not use SP and do not use PC. If the S suffix is used with the MUL instruction:  Rd, Rn, and Rm must all be in the range R0 to R7  Rd must be the same as Rm  The cond suffix must not be used. Condition Flags If S is specified, the MUL instruction:  Updates the N and Z flags according to the result  Does not affect the C and V flags. Examples MUL MLA MULS MULLT MLS R10, R2, R5 R10, R2, R1, R5 R0, R2, R2 R2, R3, R2 R4, R5, R6, R7 ; ; ; ; ; Multiply, R10 Multiply with Multiply with Conditionally Multiply with = R2 x R5 accumulate, R10 = flag update, R0 = multiply, R2 = R3 subtract, R4 = R7 (R2 x R1) + R5 R2 x R2 x R2 - (R5 x R6) SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 131 11.6.6.2 UMULL, UMAAL, UMLAL Unsigned Long Multiply, with optional Accumulate, using 32-bit operands and producing a 64-bit result. Syntax op{cond} RdLo, RdHi, Rn, Rm where: op is one of: UMULL Unsigned Long Multiply. UMAAL Unsigned Long Multiply with Accumulate Accumulate. UMLAL Unsigned Long Multiply, with Accumulate. cond is an optional condition code, see “Conditional Execution” . RdHi, RdLo are the destination registers. For UMAAL, UMLAL and UMLAL they also hold the accumulating value. Rn, Rm are registers holding the first and second operands. Operation These instructions interpret the values from Rn and Rm as unsigned 32-bit integers. The UMULL instruction:  Multiplies the two unsigned integers in the first and second operands.  Writes the least significant 32 bits of the result in RdLo.  Writes the most significant 32 bits of the result in RdHi. The UMAAL instruction:  Multiplies the two unsigned 32-bit integers in the first and second operands.  Adds the unsigned 32-bit integer in RdHi to the 64-bit result of the multiplication.  Adds the unsigned 32-bit integer in RdLo to the 64-bit result of the addition.  Writes the top 32-bits of the result to RdHi.  Writes the lower 32-bits of the result to RdLo. The UMLAL instruction:  Multiplies the two unsigned integers in the first and second operands.  Adds the 64-bit result to the 64-bit unsigned integer contained in RdHi and RdLo.  Writes the result back to RdHi and RdLo. Restrictions In these instructions:  Do not use SP and do not use PC.  RdHi and RdLo must be different registers. Condition Flags These instructions do not affect the condition code flags. Examples UMULL R0, R4, R5, R6 UMAAL R3, R6, R2, R7 UMLAL R2, R1, R3, R5 132 ; ; ; ; ; Multiplies R5 and R6, writes the top 32 bits to R4 and the bottom 32 bits to R0 Multiplies R2 and R7, adds R6, adds R3, writes the top 32 bits to R6, and the bottom 32 bits to R3 Multiplies R5 and R3, adds R1:R2, writes to R1:R2. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.6.3 SMLA and SMLAW Signed Multiply Accumulate (halfwords). Syntax op{XY}{cond} Rd, Rn, Rm op{Y}{cond} Rd, Rn, Rm, Ra where: op is one of: SMLA Signed Multiply Accumulate Long (halfwords). X and Y specifies which half of the source registers Rn and Rm are used as the first and second multiply operand. If X is B, then the bottom halfword, bits [15:0], of Rn is used. If X is T, then the top halfword, bits [31:16], of Rn is used. If Y is B, then the bottom halfword, bits [15:0], of Rm is used. If Y is T, then the top halfword, bits [31:16], of Rm is used SMLAW Signed Multiply Accumulate (word by halfword). Y specifies which half of the source register Rm is used as the second multiply operand. If Y is T, then the top halfword, bits [31:16] of Rm is used. If Y is B, then the bottom halfword, bits [15:0] of Rm is used. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. If Rd is omitted, the destination register is Rn. Rn, Rm are registers holding the values to be multiplied. Ra is a register holding the value to be added or subtracted from. Operation The SMALBB, SMLABT, SMLATB, SMLATT instructions:  Multiplies the specified signed halfword, top or bottom, values from Rn and Rm.  Adds the value in Ra to the resulting 32-bit product.  Writes the result of the multiplication and addition in Rd. The non-specified halfwords of the source registers are ignored. The SMLAWB and SMLAWT instructions:  Multiply the 32-bit signed values in Rn with: ̶ ̶ The top signed halfword of Rm, T instruction suffix. The bottom signed halfword of Rm, B instruction suffix.  Add the 32-bit signed value in Ra to the top 32 bits of the 48-bit product  Writes the result of the multiplication and addition in Rd. The bottom 16 bits of the 48-bit product are ignored. If overflow occurs during the addition of the accumulate value, the instruction sets the Q flag in the APSR. No overflow can occur during the multiplication. Restrictions In these instructions, do not use SP and do not use PC. Condition Flags If an overflow is detected, the Q flag is set. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 133 Examples SMLABB SMLATB SMLATT SMLABT SMLABT SMLAWB SMLAWT 134 R5, R6, R4, R1 ; ; R5, R6, R4, R1 ; ; R5, R6, R4, R1 ; ; R5, R6, R4, R1 ; ; R4, R3, R2 ; ; R10, R2, R5, R3 ; ; R10, R2, R1, R5 ; ; Multiplies bottom halfwords of R6 and R4, adds R1 and writes to R5 Multiplies top halfword of R6 with bottom halfword of R4, adds R1 and writes to R5 Multiplies top halfwords of R6 and R4, adds R1 and writes the sum to R5 Multiplies bottom halfword of R6 with top halfword of R4, adds R1 and writes to R5 Multiplies bottom halfword of R4 with top halfword of R3, adds R2 and writes to R4 Multiplies R2 with bottom halfword of R5, adds R3 to the result and writes top 32-bits to R10 Multiplies R2 with top halfword of R1, adds R5 and writes top 32-bits to R10. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.6.4 SMLAD Signed Multiply Accumulate Long Dual Syntax op{X}{cond} Rd, Rn, Rm, Ra ; where: op is one of: SMLAD Signed Multiply Accumulate Dual. SMLADX Signed Multiply Accumulate Dual Reverse. X specifies which halfword of the source register Rn is used as the multiply operand. If X is omitted, the multiplications are bottom × bottom and top × top. If X is present, the multiplications are bottom × top and top × bottom. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn is the first operand register holding the values to be multiplied. Rm the second operand register. Ra is the accumulate value. Operation The SMLAD and SMLADX instructions regard the two operands as four halfword 16-bit values. The SMLAD and SMLADX instructions:  If X is not present, multiply the top signed halfword value in Rn with the top signed halfword of Rm and the bottom signed halfword values in Rn with the bottom signed halfword of Rm.  Or if X is present, multiply the top signed halfword value in Rn with the bottom signed halfword of Rm and the bottom signed halfword values in Rn with the top signed halfword of Rm.  Add both multiplication results to the signed 32-bit value in Ra.  Writes the 32-bit signed result of the multiplication and addition to Rd. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not change the flags. Examples SMLAD R10, R2, R1, R5 ; ; ; SMLALDX R0, R2, R4, R6 ; ; ; ; Multiplies two halfword values in R2 with corresponding halfwords in R1, adds R5 and writes to R10 Multiplies top halfword of R2 with bottom halfword of R4, multiplies bottom halfword of R2 with top halfword of R4, adds R6 and writes to R0. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 135 11.6.6.5 SMLAL and SMLALD Signed Multiply Accumulate Long, Signed Multiply Accumulate Long (halfwords) and Signed Multiply Accumulate Long Dual. Syntax op{cond} RdLo, RdHi, Rn, Rm op{XY}{cond} RdLo, RdHi, Rn, Rm op{X}{cond} RdLo, RdHi, Rn, Rm where: op is one of: MLAL Signed Multiply Accumulate Long. SMLAL Signed Multiply Accumulate Long (halfwords, X and Y). X and Y specify which halfword of the source registers Rn and Rm are used as the first and second multiply operand: If X is B, then the bottom halfword, bits [15:0], of Rn is used. If X is T, then the top halfword, bits [31:16], of Rn is used. If Y is B, then the bottom halfword, bits [15:0], of Rm is used. If Y is T, then the top halfword, bits [31:16], of Rm is used. SMLALD Signed Multiply Accumulate Long Dual. SMLALDX Signed Multiply Accumulate Long Dual Reversed. If the X is omitted, the multiplications are bottom × bottom and top × top. If X is present, the multiplications are bottom × top and top × bottom. cond is an optional condition code, see “Conditional Execution” . RdHi, RdLo are the destination registers. RdLo is the lower 32 bits and RdHi is the upper 32 bits of the 64-bit integer. For SMLAL, SMLALBB, SMLALBT, SMLALTB, SMLALTT, SMLALD and SMLA LDX, they also hold the accumulating value. Rn, Rm are registers holding the first and second operands. Operation The SMLAL instruction:  Multiplies the two’s complement signed word values from Rn and Rm.  Adds the 64-bit value in RdLo and RdHi to the resulting 64-bit product.  Writes the 64-bit result of the multiplication and addition in RdLo and RdHi. The SMLALBB, SMLALBT, SMLALTB and SMLALTT instructions:  Multiplies the specified signed halfword, Top or Bottom, values from Rn and Rm.  Adds the resulting sign-extended 32-bit product to the 64-bit value in RdLo and RdHi.  Writes the 64-bit result of the multiplication and addition in RdLo and RdHi. The non-specified halfwords of the source registers are ignored. The SMLALD and SMLALDX instructions interpret the values from Rn and Rm as four halfword two’s complement signed 16-bit integers. These instructions: 136  If X is not present, multiply the top signed halfword value of Rn with the top signed halfword of Rm and the bottom signed halfword values of Rn with the bottom signed halfword of Rm.  Or if X is present, multiply the top signed halfword value of Rn with the bottom signed halfword of Rm and the bottom signed halfword values of Rn with the top signed halfword of Rm. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16  Add the two multiplication results to the signed 64-bit value in RdLo and RdHi to create the resulting 64-bit product.  Write the 64-bit product in RdLo and RdHi. Restrictions In these instructions:  Do not use SP and do not use PC.  RdHi and RdLo must be different registers. Condition Flags These instructions do not affect the condition code flags. Examples SMLAL R4, R5, R3, R8 SMLALBT R2, R1, R6, R7 SMLALTB R2, R1, R6, R7 SMLALD R6, R8, R5, R1 SMLALDX R6, R8, R5, R1 ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; Multiplies R3 and R8, adds R5:R4 and writes to R5:R4 Multiplies bottom halfword of R6 with top halfword of R7, sign extends to 32-bit, adds R1:R2 and writes to R1:R2 Multiplies top halfword of R6 with bottom halfword of R7,sign extends to 32-bit, adds R1:R2 and writes to R1:R2 Multiplies top halfwords in R5 and R1 and bottom halfwords of R5 and R1, adds R8:R6 and writes to R8:R6 Multiplies top halfword in R5 with bottom halfword of R1, and bottom halfword of R5 with top halfword of R1, adds R8:R6 and writes to R8:R6. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 137 11.6.6.6 SMLSD and SMLSLD Signed Multiply Subtract Dual and Signed Multiply Subtract Long Dual Syntax op{X}{cond} Rd, Rn, Rm, Ra where: op is one of: SMLSD Signed Multiply Subtract Dual. SMLSDX Signed Multiply Subtract Dual Reversed. SMLSLD Signed Multiply Subtract Long Dual. SMLSLDX Signed Multiply Subtract Long Dual Reversed. SMLAW Signed Multiply Accumulate (word by halfword). If X is present, the multiplications are bottom × top and top × bottom. If the X is omitted, the multiplications are bottom × bottom and top × top. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn, Rm are registers holding the first and second operands. Ra is the register holding the accumulate value. Operation The SMLSD instruction interprets the values from the first and second operands as four signed halfwords. This instruction:  Optionally rotates the halfwords of the second operand.  Performs two signed 16 × 16-bit halfword multiplications.  Subtracts the result of the upper halfword multiplication from the result of the lower halfword multiplication.  Adds the signed accumulate value to the result of the subtraction.  Writes the result of the addition to the destination register. The SMLSLD instruction interprets the values from Rn and Rm as four signed halfwords. This instruction:  Optionally rotates the halfwords of the second operand.  Performs two signed 16 × 16-bit halfword multiplications.  Subtracts the result of the upper halfword multiplication from the result of the lower halfword multiplication.  Adds the 64-bit value in RdHi and RdLo to the result of the subtraction.  Writes the 64-bit result of the addition to the RdHi and RdLo. Restrictions In these instructions:  Do not use SP and do not use PC. Condition Flags This instruction sets the Q flag if the accumulate operation overflows. Overflow cannot occur during the multiplications or subtraction. For the Thumb instruction set, these instructions do not affect the condition code flags. 138 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Examples SMLSD R0, R4, R5, R6 ; ; ; ; SMLSDX R1, R3, R2, R0 ; ; ; ; SMLSLD R3, R6, R2, R7 ; ; ; ; SMLSLDX R3, R6, R2, R7 ; ; ; ; Multiplies bottom halfword of R4 with bottom halfword of R5, multiplies top halfword of R4 with top halfword of R5, subtracts second from first, adds R6, writes to R0 Multiplies bottom halfword of R3 with top halfword of R2, multiplies top halfword of R3 with bottom halfword of R2, subtracts second from first, adds R0, writes to R1 Multiplies bottom halfword of R6 with bottom halfword of R2, multiplies top halfword of R6 with top halfword of R2, subtracts second from first, adds R6:R3, writes to R6:R3 Multiplies bottom halfword of R6 with top halfword of R2, multiplies top halfword of R6 with bottom halfword of R2, subtracts second from first, adds R6:R3, writes to R6:R3. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 139 11.6.6.7 SMMLA and SMMLS Signed Most Significant Word Multiply Accumulate and Signed Most Significant Word Multiply Subtract Syntax op{R}{cond} Rd, Rn, Rm, Ra where: op is one of: SMMLA Signed Most Significant Word Multiply Accumulate. SMMLS Signed Most Significant Word Multiply Subtract. If the X is omitted, the multiplications are bottom × bottom and top × top. R is a rounding error flag. If R is specified, the result is rounded instead of being truncated. In this case the constant 0x80000000 is added to the product before the high word is extracted. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn, Rm are registers holding the first and second multiply operands. Ra is the register holding the accumulate value. Operation The SMMLA instruction interprets the values from Rn and Rm as signed 32-bit words. The SMMLA instruction:  Multiplies the values in Rn and Rm.  Optionally rounds the result by adding 0x80000000.  Extracts the most significant 32 bits of the result.  Adds the value of Ra to the signed extracted value.  Writes the result of the addition in Rd. The SMMLS instruction interprets the values from Rn and Rm as signed 32-bit words. The SMMLS instruction:  Multiplies the values in Rn and Rm.  Optionally rounds the result by adding 0x80000000.  Extracts the most significant 32 bits of the result.  Subtracts the extracted value of the result from the value in Ra.  Writes the result of the subtraction in Rd. Restrictions In these instructions:  Do not use SP and do not use PC. Condition Flags These instructions do not affect the condition code flags. 140 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Examples SMMLA R0, R4, R5, R6 SMMLAR R6, R2, R1, R4 SMMLSR R3, R6, R2, R7 SMMLS R4, R5, R3, R8 ; ; ; ; ; ; ; ; Multiplies R4 and R5, extracts top R6, truncates and writes to R0 Multiplies R2 and R1, extracts top R4, rounds and writes to R6 Multiplies R6 and R2, extracts top subtracts R7, rounds and writes to Multiplies R5 and R3, extracts top subtracts R8, truncates and writes 32 bits, adds 32 bits, adds 32 bits, R3 32 bits, to R4. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 141 11.6.6.8 SMMUL Signed Most Significant Word Multiply Syntax op{R}{cond} Rd, Rn, Rm where: op is one of: SMMUL Signed Most Significant Word Multiply. R is a rounding error flag. If R is specified, the result is rounded instead of being truncated. In this case the constant 0x80000000 is added to the product before the high word is extracted. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn, Rm are registers holding the first and second operands. Operation The SMMUL instruction interprets the values from Rn and Rm as two’s complement 32-bit signed integers. The SMMUL instruction:  Multiplies the values from Rn and Rm.  Optionally rounds the result, otherwise truncates the result.  Writes the most significant signed 32 bits of the result in Rd. Restrictions In this instruction:  do not use SP and do not use PC. Condition Flags This instruction does not affect the condition code flags. Examples SMULL SMULLR 142 R0, R4, R5 R6, R2 ; ; ; ; Multiplies and writes Multiplies and writes SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 R4 to R6 to and R5, truncates top 32 bits R0 and R2, rounds the top 32 bits R6. 11.6.6.9 SMUAD and SMUSD Signed Dual Multiply Add and Signed Dual Multiply Subtract Syntax op{X}{cond} Rd, Rn, Rm where: op is one of: SMUAD Signed Dual Multiply Add. SMUADX Signed Dual Multiply Add Reversed. SMUSD Signed Dual Multiply Subtract. SMUSDX Signed Dual Multiply Subtract Reversed. If X is present, the multiplications are bottom × top and top × bottom. If the X is omitted, the multiplications are bottom × bottom and top × top. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn, Rm are registers holding the first and second operands. Operation The SMUAD instruction interprets the values from the first and second operands as two signed halfwords in each operand. This instruction:  Optionally rotates the halfwords of the second operand.  Performs two signed 16 × 16-bit multiplications.  Adds the two multiplication results together.  Writes the result of the addition to the destination register. The SMUSD instruction interprets the values from the first and second operands as two’s complement signed integers. This instruction:  Optionally rotates the halfwords of the second operand.  Performs two signed 16 × 16-bit multiplications.  Subtracts the result of the top halfword multiplication from the result of the bottom halfword multiplication.  Writes the result of the subtraction to the destination register. Restrictions In these instructions:  Do not use SP and do not use PC. Condition Flags Sets the Q flag if the addition overflows. The multiplications cannot overflow. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 143 Examples SMUAD R0, R4, R5 SMUADX R3, R7, R4 SMUSD R3, R6, R2 SMUSDX R4, R5, R3 144 ; ; ; ; ; ; ; ; ; ; ; ; Multiplies bottom halfword of R4 with the bottom halfword of R5, adds multiplication of top halfword of R4 with top halfword of R5, writes to R0 Multiplies bottom halfword of R7 with top halfword of R4, adds multiplication of top halfword of R7 with bottom halfword of R4, writes to R3 Multiplies bottom halfword of R4 with bottom halfword of R6, subtracts multiplication of top halfword of R6 with top halfword of R3, writes to R3 Multiplies bottom halfword of R5 with top halfword of R3, subtracts multiplication of top halfword of R5 with bottom halfword of R3, writes to R4. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.6.10 SMUL and SMULW Signed Multiply (halfwords) and Signed Multiply (word by halfword) Syntax op{XY}{cond} Rd,Rn, Rm op{Y}{cond} Rd. Rn, Rm For SMULXY only: op is one of: SMUL{XY} Signed Multiply (halfwords). X and Y specify which halfword of the source registers Rn and Rm is used as the first and second multiply operand. If X is B, then the bottom halfword, bits [15:0] of Rn is used. If X is T, then the top halfword, bits [31:16] of Rn is used.If Y is B, then the bot tom halfword, bits [15:0], of Rm is used. If Y is T, then the top halfword, bits [31:16], of Rm is used. SMULW{Y} Signed Multiply (word by halfword). Y specifies which halfword of the source register Rm is used as the second mul tiply operand. If Y is B, then the bottom halfword (bits [15:0]) of Rm is used. If Y is T, then the top halfword (bits [31:16]) of Rm is used. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn, Rm are registers holding the first and second operands. Operation The SMULBB, SMULTB, SMULBT and SMULTT instructions interprets the values from Rn and Rm as four signed 16-bit integers. These instructions:  Multiplies the specified signed halfword, Top or Bottom, values from Rn and Rm.  Writes the 32-bit result of the multiplication in Rd. The SMULWT and SMULWB instructions interprets the values from Rn as a 32-bit signed integer and Rm as two halfword 16-bit signed integers. These instructions:  Multiplies the first operand and the top, T suffix, or the bottom, B suffix, halfword of the second operand.  Writes the signed most significant 32 bits of the 48-bit result in the destination register. Restrictions In these instructions:  Do not use SP and do not use PC.  RdHi and RdLo must be different registers. Examples SMULBT R0, R4, R5 SMULBB R0, R4, R5 SMULTT R0, R4, R5 SMULTB R0, R4, R5 ; ; ; ; ; ; ; ; ; ; Multiplies the bottom halfword of R4 with the top halfword of R5, multiplies results and writes to R0 Multiplies the bottom halfword of R4 with the bottom halfword of R5, multiplies results and writes to R0 Multiplies the top halfword of R4 with the top halfword of R5, multiplies results and writes to R0 Multiplies the top halfword of R4 with the SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 145 146 SMULWT R4, R5, R3 SMULWB R4, R5, R3 ; ; ; ; ; ; SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 bottom halfword of R5, multiplies results and and writes to R0 Multiplies R5 with the top halfword of R3, extracts top 32 bits and writes to R4 Multiplies R5 with the bottom halfword of R3, extracts top 32 bits and writes to R4. 11.6.6.11 UMULL, UMLAL, SMULL, and SMLAL Signed and Unsigned Long Multiply, with optional Accumulate, using 32-bit operands and producing a 64-bit result. Syntax op{cond} RdLo, RdHi, Rn, Rm where: op is one of: UMULL Unsigned Long Multiply. UMLAL Unsigned Long Multiply, with Accumulate. SMULL Signed Long Multiply. SMLAL Signed Long Multiply, with Accumulate. cond is an optional condition code, see “Conditional Execution” . RdHi, RdLo are the destination registers. For UMLAL and SMLAL they also hold the accu mulating value. Rn, Rm are registers holding the operands. Operation The UMULL instruction interprets the values from Rn and Rm as unsigned integers. It multiplies these integers and places the least significant 32 bits of the result in RdLo, and the most significant 32 bits of the result in RdHi. The UMLAL instruction interprets the values from Rn and Rm as unsigned integers. It multiplies these integers, adds the 64-bit result to the 64-bit unsigned integer contained in RdHi and RdLo, and writes the result back to RdHi and RdLo. The SMULL instruction interprets the values from Rn and Rm as two’s complement signed integers. It multiplies these integers and places the least significant 32 bits of the result in RdLo, and the most significant 32 bits of the result in RdHi. The SMLAL instruction interprets the values from Rn and Rm as two’s complement signed integers. It multiplies these integers, adds the 64-bit result to the 64-bit signed integer contained in RdHi and RdLo, and writes the result back to RdHi and RdLo. Restrictions In these instructions:  Do not use SP and do not use PC  RdHi and RdLo must be different registers. Condition Flags These instructions do not affect the condition code flags. Examples UMULL SMLAL R0, R4, R5, R6 R4, R5, R3, R8 ; Unsigned (R4,R0) = R5 x R6 ; Signed (R5,R4) = (R5,R4) + R3 x R8 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 147 11.6.6.12 SDIV and UDIV Signed Divide and Unsigned Divide. Syntax SDIV{cond} {Rd,} Rn, Rm UDIV{cond} {Rd,} Rn, Rm where: cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. If Rd is omitted, the destination register is Rn. Rn is the register holding the value to be divided. Rm is a register holding the divisor. Operation SDIV performs a signed integer division of the value in Rn by the value in Rm. UDIV performs an unsigned integer division of the value in Rn by the value in Rm. For both instructions, if the value in Rn is not divisible by the value in Rm, the result is rounded towards zero. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not change the flags. Examples SDIV UDIV 148 R0, R2, R4 R8, R8, R1 ; Signed divide, R0 = R2/R4 ; Unsigned divide, R8 = R8/R1 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.7 Saturating Instructions The table below shows the saturating instructions. Table 11-22. Saturating Instructions Mnemonic Description SSAT Signed Saturate SSAT16 Signed Saturate Halfword USAT Unsigned Saturate USAT16 Unsigned Saturate Halfword QADD Saturating Add QSUB Saturating Subtract QSUB16 Saturating Subtract 16 QASX Saturating Add and Subtract with Exchange QSAX Saturating Subtract and Add with Exchange QDADD Saturating Double and Add QDSUB Saturating Double and Subtract UQADD16 Unsigned Saturating Add 16 UQADD8 Unsigned Saturating Add 8 UQASX Unsigned Saturating Add and Subtract with Exchange UQSAX Unsigned Saturating Subtract and Add with Exchange UQSUB16 Unsigned Saturating Subtract 16 UQSUB8 Unsigned Saturating Subtract 8 For signed n-bit saturation, this means that:  If the value to be saturated is less than -2n-1, the result returned is -2n-1  If the value to be saturated is greater than 2n-1-1, the result returned is 2n-1-1  Otherwise, the result returned is the same as the value to be saturated. For unsigned n-bit saturation, this means that:  If the value to be saturated is less than 0, the result returned is 0  If the value to be saturated is greater than 2n-1, the result returned is 2n-1  Otherwise, the result returned is the same as the value to be saturated. If the returned result is different from the value to be saturated, it is called saturation. If saturation occurs, the instruction sets the Q flag to 1 in the APSR. Otherwise, it leaves the Q flag unchanged. To clear the Q flag to 0, the MSR instruction must be used; see “MSR” . To read the state of the Q flag, the MRS instruction must be used; see “MRS” . SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 149 11.6.7.1 SSAT and USAT Signed Saturate and Unsigned Saturate to any bit position, with optional shift before saturating. Syntax op{cond} Rd, #n, Rm {, shift #s} where: op is one of: SSAT Saturates a signed value to a signed range. USAT Saturates a signed value to an unsigned range. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. n specifies the bit position to saturate to: n ranges from 1 n ranges from 0 to 31 for USAT. to 32 for SSAT Rm is the register containing the value to saturate. shift #s is an optional shift applied to Rm before saturating. It must be one of the following: ASR #s where s is in the range 1 to 31. LSL #s where s is in the range 0 to 31. Operation These instructions saturate to a signed or unsigned n-bit value. The SSAT instruction applies the specified shift, then saturates to the signed range -2n–1 ≤ x ≤ 2n–1-1. The USAT instruction applies the specified shift, then saturates to the unsigned range 0 ≤ x ≤ 2n-1. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not affect the condition code flags. If saturation occurs, these instructions set the Q flag to 1. Examples 150 SSAT R7, #16, R7, LSL #4 USATNE R0, #7, R5 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 ; ; ; ; ; Logical shift left value in R7 by 4, then saturate it as a signed 16-bit value and write it back to R7 Conditionally saturate value in R5 as an unsigned 7 bit value and write it to R0. 11.6.7.2 SSAT16 and USAT16 Signed Saturate and Unsigned Saturate to any bit position for two halfwords. Syntax op{cond} Rd, #n, Rm where: op is one of: SSAT16 Saturates a signed halfword value to a signed range. USAT16 Saturates a signed halfword value to an unsigned range. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. n specifies the bit position to saturate to: n ranges from 1 n ranges from 0 to 15 for USAT. to 16 for SSAT Rm is the register containing the value to saturate. Operation The SSAT16 instruction: Saturates two signed 16-bit halfword values of the register with the value to saturate from selected by the bit position in n. Writes the results as two signed 16-bit halfwords to the destination register. The USAT16 instruction: Saturates two unsigned 16-bit halfword values of the register with the value to saturate from selected by the bit position in n. Writes the results as two unsigned halfwords in the destination register. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not affect the condition code flags. If saturation occurs, these instructions set the Q flag to 1. Examples SSAT16 USAT16NE R7, #9, R2 R0, #13, R5 ; ; ; ; ; ; Saturates the top and bottom highwords of R2 as 9-bit values, writes to corresponding halfword of R7 Conditionally saturates the top and bottom halfwords of R5 as 13-bit values, writes to corresponding halfword of R0. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 151 11.6.7.3 QADD and QSUB Saturating Add and Saturating Subtract, signed. Syntax op{cond} {Rd}, Rn, Rm op{cond} {Rd}, Rn, Rm where: op is one of: QADD Saturating 32-bit add. QADD8 Saturating four 8-bit integer additions. QADD16 Saturating two 16-bit integer additions. QSUB Saturating 32-bit subtraction. QSUB8 Saturating four 8-bit integer subtraction. QSUB16 Saturating two 16-bit integer subtraction. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn, Rm are registers holding the first and second operands. Operation These instructions add or subtract two, four or eight values from the first and second operands and then writes a signed saturated value in the destination register. The QADD and QSUB instructions apply the specified add or subtract, and then saturate the result to the signed range -2n–1 ≤ x ≤ 2n–1-1, where x is given by the number of bits applied in the instruction, 32, 16 or 8. If the returned result is different from the value to be saturated, it is called saturation. If saturation occurs, the QADD and QSUB instructions set the Q flag to 1 in the APSR. Otherwise, it leaves the Q flag unchanged. The 8-bit and 16-bit QADD and QSUB instructions always leave the Q flag unchanged. To clear the Q flag to 0, the MSR instruction must be used; see “MSR” . To read the state of the Q flag, the MRS instruction must be used; see “MRS” . Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not affect the condition code flags. If saturation occurs, these instructions set the Q flag to 1. Examples QADD16 152 R7, R4, R2 QADD8 R3, R1, R6 QSUB16 R4, R2, R3 QSUB8 R4, R2, R5 ; ; ; ; ; ; ; ; ; ; ; ; Adds halfwords of R4 with corresponding halfword of R2, saturates to 16 bits and writes to corresponding halfword of R7 Adds bytes of R1 to the corresponding bytes of R6, saturates to 8 bits and writes to corresponding byte of R3 Subtracts halfwords of R3 from corresponding halfword of R2, saturates to 16 bits, writes to corresponding halfword of R4 Subtracts bytes of R5 from the corresponding byte in R2, saturates to 8 bits, writes to corresponding byte of R4. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.7.4 QASX and QSAX Saturating Add and Subtract with Exchange and Saturating Subtract and Add with Exchange, signed. Syntax op{cond} {Rd}, Rm, Rn where: op is one of: QASX Add and Subtract with Exchange and Saturate. QSAX Subtract and Add with Exchange and Saturate. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn, Rm are registers holding the first and second operands. Operation The QASX instruction: 1. Adds the top halfword of the source operand with the bottom halfword of the second operand. 2. Subtracts the top halfword of the second operand from the bottom highword of the first operand. 3. Saturates the result of the subtraction and writes a 16-bit signed integer in the range –215 ≤ x ≤ 215 – 1, where x equals 16, to the bottom halfword of the destination register. 4. Saturates the results of the sum and writes a 16-bit signed integer in the range –215 ≤ x ≤ 215 – 1, where x equals 16, to the top halfword of the destination register. The QSAX instruction: 1. Subtracts the bottom halfword of the second operand from the top highword of the first operand. 2. Adds the bottom halfword of the source operand with the top halfword of the second operand. 3. Saturates the results of the sum and writes a 16-bit signed integer in the range –215 ≤ x ≤ 215 – 1, where x equals 16, to the bottom halfword of the destination register. 4. Saturates the result of the subtraction and writes a 16-bit signed integer in the range –215 ≤ x ≤ 215 – 1, where x equals 16, to the top halfword of the destination register. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not affect the condition code flags. Examples QASX QSAX R7, R4, R2 ; ; ; ; ; R0, R3, R5 ; ; ; ; Adds top halfword of R4 to bottom halfword of R2, saturates to 16 bits, writes to top halfword of R7 Subtracts top highword of R2 from bottom halfword of R4, saturates to 16 bits and writes to bottom halfword of R7 Subtracts bottom halfword of R5 from top halfword of R3, saturates to 16 bits, writes to top halfword of R0 Adds bottom halfword of R3 to top halfword of R5, saturates to 16 bits, writes to bottom halfword of R0. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 153 11.6.7.5 QDADD and QDSUB Saturating Double and Add and Saturating Double and Subtract, signed. Syntax op{cond} {Rd}, Rm, Rn where: op is one of: QDADD Saturating Double and Add. QDSUB Saturating Double and Subtract. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rm, Rn are registers holding the first and second operands. Operation The QDADD instruction:  Doubles the second operand value.  Adds the result of the doubling to the signed saturated value in the first operand.  Writes the result to the destination register. The QDSUB instruction:  Doubles the second operand value.  Subtracts the doubled value from the signed saturated value in the first operand.  Writes the result to the destination register. Both the doubling and the addition or subtraction have their results saturated to the 32-bit signed integer range – 231 ≤ x ≤ 231– 1. If saturation occurs in either operation, it sets the Q flag in the APSR. Restrictions Do not use SP and do not use PC. Condition Flags If saturation occurs, these instructions set the Q flag to 1. 154 Examples QDADD R7, R4, R2 QDSUB R0, R3, R5 ; ; ; ; Doubles and saturates R4 to 32 bits, adds R2, saturates to 32 bits, writes to R7 Subtracts R3 doubled and saturated to 32 bits from R5, saturates to 32 bits, writes to R0. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.7.6 UQASX and UQSAX Saturating Add and Subtract with Exchange and Saturating Subtract and Add with Exchange, unsigned. Syntax op{cond} {Rd}, Rm, Rn where: type is one of: UQASX Add and Subtract with Exchange and Saturate. UQSAX Subtract and Add with Exchange and Saturate. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn, Rm are registers holding the first and second operands. Operation The UQASX instruction: 1. Adds the bottom halfword of the source operand with the top halfword of the second operand. 2. Subtracts the bottom halfword of the second operand from the top highword of the first operand. 3. Saturates the results of the sum and writes a 16-bit unsigned integer in the range 0 ≤ x ≤ 216 – 1, where x equals 16, to the top halfword of the destination register. 4. Saturates the result of the subtraction and writes a 16-bit unsigned integer in the range 0 ≤ x ≤ 216 – 1, where x equals 16, to the bottom halfword of the destination register. The UQSAX instruction: 1. Subtracts the bottom halfword of the second operand from the top highword of the first operand. 2. Adds the bottom halfword of the first operand with the top halfword of the second operand. 3. Saturates the result of the subtraction and writes a 16-bit unsigned integer in the range 0 ≤ x ≤ 216 – 1, where x equals 16, to the top halfword of the destination register. 4. Saturates the results of the addition and writes a 16-bit unsigned integer in the range 0 ≤ x ≤ 216 – 1, where x equals 16, to the bottom halfword of the destination register. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not affect the condition code flags. Examples UQASX R7, R4, R2 UQSAX R0, R3, R5 ; ; ; ; ; ; ; ; Adds top halfword of R4 with bottom halfword of R2, saturates to 16 bits, writes to top halfword of R7 Subtracts top halfword of R2 from bottom halfword of R4, saturates to 16 bits, writes to bottom halfword of R7 Subtracts bottom halfword of R5 from top halfword of R3, saturates to 16 bits, writes to top halfword of R0 Adds bottom halfword of R4 to top halfword of R5 saturates to 16 bits, writes to bottom halfword of R0. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 155 11.6.7.7 UQADD and UQSUB Saturating Add and Saturating Subtract Unsigned. Syntax op{cond} {Rd}, Rn, Rm op{cond} {Rd}, Rn, Rm where: op is one of: UQADD8 Saturating four unsigned 8-bit integer additions. UQADD16 Saturating two unsigned 16-bit integer additions. UDSUB8 Saturating four unsigned 8-bit integer subtractions. UQSUB16 Saturating two unsigned 16-bit integer subtractions. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn, Rm are registers holding the first and second operands. Operation These instructions add or subtract two or four values and then writes an unsigned saturated value in the destination register. The UQADD16 instruction:  Adds the respective top and bottom halfwords of the first and second operands.  Saturates the result of the additions for each halfword in the destination register to the unsigned range 0 ≤ x ≤ 216-1, where x is 16. The UQADD8 instruction:  Adds each respective byte of the first and second operands.  Saturates the result of the addition for each byte in the destination register to the unsigned range 0 ≤ x ≤ 281, where x is 8. The UQSUB16 instruction:  Subtracts both halfwords of the second operand from the respective halfwords of the first operand.  Saturates the result of the differences in the destination register to the unsigned range 0 ≤ x ≤ 216-1, where x is 16. The UQSUB8 instructions:  Subtracts the respective bytes of the second operand from the respective bytes of the first operand.  Saturates the results of the differences for each byte in the destination register to the unsigned range 0 ≤ x ≤ 28-1, where x is 8. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not affect the condition code flags. 156 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Examples UQADD16 R7, R4, R2 UQADD8 R4, R2, R5 UQSUB16 R6, R3, R0 UQSUB8 R1, R5, R6 ; ; ; ; ; ; ; ; ; Adds halfwords in R4 to corresponding halfword in R2, saturates to 16 bits, writes to corresponding halfword of R7 Adds bytes of R2 to corresponding byte of R5, saturates to 8 bits, writes to corresponding bytes of R4 Subtracts halfwords in R0 from corresponding halfword in R3, saturates to 16 bits, writes to corresponding halfword in R6 Subtracts bytes in R6 from corresponding byte of R5, saturates to 8 bits, writes to corresponding byte of R1. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 157 11.6.8 Packing and Unpacking Instructions The table below shows the instructions that operate on packing and unpacking data. Table 11-23. 158 Packing and Unpacking Instructions Mnemonic Description PKH Pack Halfword SXTAB Extend 8 bits to 32 and add SXTAB16 Dual extend 8 bits to 16 and add SXTAH Extend 16 bits to 32 and add SXTB Sign extend a byte SXTB16 Dual extend 8 bits to 16 and add SXTH Sign extend a halfword UXTAB Extend 8 bits to 32 and add UXTAB16 Dual extend 8 bits to 16 and add UXTAH Extend 16 bits to 32 and add UXTB Zero extend a byte UXTB16 Dual zero extend 8 bits to 16 and add UXTH Zero extend a halfword SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.8.1 PKHBT and PKHTB Pack Halfword Syntax op{cond} {Rd}, Rn, Rm {, LSL #imm} op{cond} {Rd}, Rn, Rm {, ASR #imm} where: op is one of: PKHBT Pack Halfword, bottom and top with shift. PKHTB Pack Halfword, top and bottom with shift. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn is the first operand register Rm is the second operand register holding the value to be optionally shifted. imm is the shift length. The type of shift length depends on the instruction: For PKHBT LSL a left shift with a shift length from 1 to 31, 0 means no shift. For PKHTB ASR an arithmetic shift right with a shift length from 1 to 32, a shift of 32-bits is encoded as 0b00000. Operation The PKHBT instruction: 1. Writes the value of the bottom halfword of the first operand to the bottom halfword of the destination register. 2. If shifted, the shifted value of the second operand is written to the top halfword of the destination register. The PKHTB instruction: 1. Writes the value of the top halfword of the first operand to the top halfword of the destination register. 2. If shifted, the shifted value of the second operand is written to the bottom halfword of the destination register. Restrictions Rd must not be SP and must not be PC. Condition Flags This instruction does not change the flags. Examples PKHBT R3, R4, R5 LSL #0 PKHTB R4, R0, R2 ASR #1 ; ; ; ; ; ; Writes bottom halfword of R4 to bottom halfword of R3, writes top halfword of R5, unshifted, to top halfword of R3 Writes R2 shifted right by 1 bit to bottom halfword of R4, and writes top halfword of R0 to top halfword of R4. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 159 11.6.8.2 SXT and UXT Sign extend and Zero extend. Syntax op{cond} {Rd,} Rm {, ROR #n} op{cond} {Rd}, Rm {, ROR #n} where: op is one of: SXTB Sign extends an 8-bit value to a 32-bit value. SXTH Sign extends a 16-bit value to a 32-bit value. SXTB16 Sign extends two 8-bit values to two 16-bit values. UXTB Zero extends an 8-bit value to a 32-bit value. UXTH Zero extends a 16-bit value to a 32-bit value. UXTB16 Zero extends two 8-bit values to two 16-bit values. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rm is the register holding the value to extend. ROR #n is one of: ROR #8 Value from Rm is rotated right 8 bits. Operation These instructions do the following: 1. Rotate the value from Rm right by 0, 8, 16 or 24 bits. 2. Extract bits from the resulting value: ̶ SXTB extracts bits[7:0] and sign extends to 32 bits. ̶ UXTB extracts bits[7:0] and zero extends to 32 bits. ̶ SXTH extracts bits[15:0] and sign extends to 32 bits. ̶ UXTH extracts bits[15:0] and zero extends to 32 bits. ̶ SXTB16 extracts bits[7:0] and sign extends to 16 bits, and extracts bits [23:16] and sign extends to 16 bits. ̶ UXTB16 extracts bits[7:0] and zero extends to 16 bits, and extracts bits [23:16] and zero extends to 16 bits. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not affect the flags. Examples SXTH R4, R6, ROR #16 UXTB R3, R10 160 ; ; ; ; Rotates R6 right by 16 bits, obtains bottom halfword of of result, sign extends to 32 bits and writes to R4 Extracts lowest byte of value in R10, zero extends, and writes to R3. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.8.3 SXTA and UXTA Signed and Unsigned Extend and Add Syntax op{cond} {Rd,} Rn, Rm {, ROR #n} op{cond} {Rd,} Rn, Rm {, ROR #n} where: op is one of: SXTAB Sign extends an 8-bit value to a 32-bit value and add. SXTAH Sign extends a 16-bit value to a 32-bit value and add. SXTAB16 Sign extends two 8-bit values to two 16-bit values and add. UXTAB Zero extends an 8-bit value to a 32-bit value and add. UXTAH Zero extends a 16-bit value to a 32-bit value and add. UXTAB16 Zero extends two 8-bit values to two 16-bit values and add. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn is the first operand register. Rm is the register holding the value to rotate and extend. ROR #n is one of: ROR #8 Value from Rm is rotated right 8 bits. ROR #16 Value from Rm is rotated right 16 bits. ROR #24 Value from Rm is rotated right 24 bits. If ROR #n is omitted, no rotation is performed. Operation These instructions do the following: 1. Rotate the value from Rm right by 0, 8, 16 or 24 bits. 2. Extract bits from the resulting value: ̶ SXTAB extracts bits[7:0] from Rm and sign extends to 32 bits. ̶ ̶ ̶ ̶ ̶ 3. UXTAB extracts bits[7:0] from Rm and zero extends to 32 bits. SXTAH extracts bits[15:0] from Rm and sign extends to 32 bits. UXTAH extracts bits[15:0] from Rm and zero extends to 32 bits. SXTAB16 extracts bits[7:0] from Rm and sign extends to 16 bits, and extracts bits [23:16] from Rm and sign extends to 16 bits. UXTAB16 extracts bits[7:0] from Rm and zero extends to 16 bits, and extracts bits [23:16] from Rm and zero extends to 16 bits. Adds the signed or zero extended value to the word or corresponding halfword of Rn and writes the result in Rd. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not affect the flags. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 161 Examples SXTAH UXTAB 162 R4, R8, R6, ROR #16 ; ; ; R3, R4, R10 ; ; SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Rotates R6 right by 16 bits, obtains bottom halfword, sign extends to 32 bits, adds R8,and writes to R4 Extracts bottom byte of R10 and zero extends to 32 bits, adds R4, and writes to R3. 11.6.9 Bitfield Instructions The table below shows the instructions that operate on adjacent sets of bits in registers or bitfields. Table 11-24. Packing and Unpacking Instructions Mnemonic Description BFC Bit Field Clear BFI Bit Field Insert SBFX Signed Bit Field Extract SXTB Sign extend a byte SXTH Sign extend a halfword UBFX Unsigned Bit Field Extract UXTB Zero extend a byte UXTH Zero extend a halfword SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 163 11.6.9.1 BFC and BFI Bit Field Clear and Bit Field Insert. Syntax BFC{cond} Rd, #lsb, #width BFI{cond} Rd, Rn, #lsb, #width where: cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn is the source register. lsb is the position of the least significant bit of the bitfield. lsb must be in the range 0 to 31. width is the width of the bitfield and must be in the range 1 to 32-lsb. Operation BFC clears a bitfield in a register. It clears width bits in Rd, starting at the low bit position lsb. Other bits in Rd are unchanged. BFI copies a bitfield into one register from another register. It replaces width bits in Rd starting at the low bit position lsb, with width bits from Rn starting at bit[0]. Other bits in Rd are unchanged. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not affect the flags. Examples BFC BFI 164 R4, #8, #12 R9, R2, #8, #12 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 ; Clear bit 8 to bit 19 (12 bits) of R4 to 0 ; Replace bit 8 to bit 19 (12 bits) of R9 with ; bit 0 to bit 11 from R2. 11.6.9.2 SBFX and UBFX Signed Bit Field Extract and Unsigned Bit Field Extract. Syntax SBFX{cond} Rd, Rn, #lsb, #width UBFX{cond} Rd, Rn, #lsb, #width where: cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rn is the source register. lsb is the position of the least significant bit of the bitfield. lsb must be in the range 0 to 31. width is the width of the bitfield and must be in the range 1 to 32-lsb. Operation SBFX extracts a bitfield from one register, sign extends it to 32 bits, and writes the result to the destination register. UBFX extracts a bitfield from one register, zero extends it to 32 bits, and writes the result to the destination register. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not affect the flags. Examples SBFX UBFX R0, R1, #20, #4 ; ; R8, R11, #9, #10 ; ; Extract bit 20 to bit 23 (4 bits) from R1 and sign extend to 32 bits and then write the result to R0. Extract bit 9 to bit 18 (10 bits) from R11 and zero extend to 32 bits and then write the result to R8. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 165 11.6.9.3 SXT and UXT Sign extend and Zero extend. Syntax SXTextend{cond} {Rd,} Rm {, ROR #n} UXTextend{cond} {Rd}, Rm {, ROR #n} where: extend is one of: B Extends an 8-bit value to a 32-bit value. H Extends a 16-bit value to a 32-bit value. cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. Rm is the register holding the value to extend. ROR #n is one of: ROR #8 Value from Rm is rotated right 8 bits. ROR #16 Value from Rm is rotated right 16 bits. ROR #24 Value from Rm is rotated right 24 bits. If ROR #n is omitted, no rotation is performed. Operation These instructions do the following: 1. Rotate the value from Rm right by 0, 8, 16 or 24 bits. 2. Extract bits from the resulting value: ̶ SXTB extracts bits[7:0] and sign extends to 32 bits. ̶ UXTB extracts bits[7:0] and zero extends to 32 bits. ̶ SXTH extracts bits[15:0] and sign extends to 32 bits. ̶ UXTH extracts bits[15:0] and zero extends to 32 bits. Restrictions Do not use SP and do not use PC. Condition Flags These instructions do not affect the flags. Examples 166 SXTH R4, R6, ROR #16 UXTB R3, R10 ; ; ; ; ; Rotate R6 right by 16 bits, then obtain the lower halfword of the result and then sign extend to 32 bits and write the result to R4. Extract lowest byte of the value in R10 and zero extend it, and write the result to R3. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.10 Branch and Control Instructions The table below shows the branch and control instructions. Table 11-25. Branch and Control Instructions Mnemonic Description B Branch BL Branch with Link BLX Branch indirect with Link BX Branch indirect CBNZ Compare and Branch if Non Zero CBZ Compare and Branch if Zero IT If-Then TBB Table Branch Byte TBH Table Branch Halfword SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 167 11.6.10.1 B, BL, BX, and BLX Branch instructions. Syntax B{cond} label BL{cond} label BX{cond} Rm BLX{cond} Rm where: B is branch (immediate). BL is branch with link (immediate). BX is branch indirect (register). BLX is branch indirect with link (register). cond is an optional condition code, see “Conditional Execution” . label is a PC-relative expression. See “PC-relative Expressions” . Rm is a register that indicates an address to branch to. Bit[0] of the value in Rm must be 1, but the address to branch to is created by changing bit[0] to 0. Operation All these instructions cause a branch to label, or to the address indicated in Rm. In addition:  The BL and BLX instructions write the address of the next instruction to LR (the link register, R14).  The BX and BLX instructions result in a UsageFault exception if bit[0] of Rm is 0. Bcond label is the only conditional instruction that can be either inside or outside an IT block. All other branch instructions must be conditional inside an IT block, and must be unconditional outside the IT block, see “IT” . The table below shows the ranges for the various branch instructions. Table 11-26. Branch Ranges Instruction Branch Range B label −16 MB to +16 MB Bcond label (outside IT block) −1 MB to +1 MB Bcond label (inside IT block) −16 MB to +16 MB BL{cond} label −16 MB to +16 MB BX{cond} Rm Any value in register BLX{cond} Rm Any value in register The .W suffix might be used to get the maximum branch range. See “Instruction Width Selection” . Restrictions The restrictions are:  Do not use PC in the BLX instruction  For BX and BLX, bit[0] of Rm must be 1 for correct execution but a branch occurs to the target address created by changing bit[0] to 0  When any of these instructions is inside an IT block, it must be the last instruction of the IT block. Bcond is the only conditional instruction that is not required to be inside an IT block. However, it has a longer branch range when it is inside an IT block. 168 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Condition Flags These instructions do not change the flags. Examples B BLE B.W BEQ BEQ.W BL loopA ng target target target funC BX BXNE BLX LR R0 R0 ; ; ; ; ; ; ; ; ; ; Branch to loopA Conditionally branch to label ng Branch to target within 16MB range Conditionally branch to target Conditionally branch to target within 1MB Branch with link (Call) to function funC, return address stored in LR Return from function call Conditionally branch to address stored in R0 Branch with link and exchange (Call) to a address stored in R0. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 169 11.6.10.2 CBZ and CBNZ Compare and Branch on Zero, Compare and Branch on Non-Zero. Syntax CBZ Rn, label CBNZ Rn, label where: Rn is the register holding the operand. label is the branch destination. Operation Use the CBZ or CBNZ instructions to avoid changing the condition code flags and to reduce the number of instructions. CBZ Rn, label does not change condition flags but is otherwise equivalent to: CMP Rn, #0 BEQ label CBNZ Rn, label does not change condition flags but is otherwise equivalent to: CMP Rn, #0 BNE label Restrictions The restrictions are:  Rn must be in the range of R0 to R7  The branch destination must be within 4 to 130 bytes after the instruction  These instructions must not be used inside an IT block. Condition Flags These instructions do not change the flags. Examples CBZ CBNZ 170 R5, target R0, target ; Forward branch if R5 is zero ; Forward branch if R0 is not zero SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.10.3 IT If-Then condition instruction. Syntax IT{x{y{z}}} cond where: x specifies the condition switch for the second instruction in the IT block. y specifies the condition switch for the third instruction in the IT block. z specifies the condition switch for the fourth instruction in the IT block. cond specifies the condition for the first instruction in the IT block. The condition switch for the second, third and fourth instruction in the IT block can be either: T Then. Applies the condition cond to the instruction. E Else. Applies the inverse condition of cond to the instruction. It is possible to use AL (the always condition) for cond in an IT instruction. If this is done, all of the instructions in the IT block must be unconditional, and each of x, y, and z must be T or omitted but not E. Operation The IT instruction makes up to four following instructions conditional. The conditions can be all the same, or some of them can be the logical inverse of the others. The conditional instructions following the IT instruction form the IT block. The instructions in the IT block, including any branches, must specify the condition in the {cond} part of their syntax. The assembler might be able to generate the required IT instructions for conditional instructions automatically, so that the user does not have to write them. See the assembler documentation for details. A BKPT instruction in an IT block is always executed, even if its condition fails. Exceptions can be taken between an IT instruction and the corresponding IT block, or within an IT block. Such an exception results in entry to the appropriate exception handler, with suitable return information in LR and stacked PSR. Instructions designed for use for exception returns can be used as normal to return from the exception, and execution of the IT block resumes correctly. This is the only way that a PC-modifying instruction is permitted to branch to an instruction in an IT block. Restrictions The following instructions are not permitted in an IT block:  IT  CBZ and CBNZ  CPSID and CPSIE. Other restrictions when using an IT block are:   A branch or any instruction that modifies the PC must either be outside an IT block or must be the last instruction inside the IT block. These are: ̶ ADD PC, PC, Rm ̶ MOV PC, Rm ̶ B, BL, BX, BLX ̶ Any LDM, LDR, or POP instruction that writes to the PC ̶ TBB and TBH Do not branch to any instruction inside an IT block, except when returning from an exception handler SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 171  All conditional instructions except Bcond must be inside an IT block. Bcond can be either outside or inside an IT block but has a larger branch range if it is inside one  Each instruction inside the IT block must specify a condition code suffix that is either the same or logical inverse as for the other instructions in the block. Your assembler might place extra restrictions on the use of IT blocks, such as prohibiting the use of assembler directives within them. Condition Flags This instruction does not change the flags. Example 172 ITTE ANDNE ADDSNE MOVEQ NE R0, R0, R1 R2, R2, #1 R2, R3 ; ; ; ; Next 3 instructions are conditional ANDNE does not update condition flags ADDSNE updates condition flags Conditional move CMP R0, #9 ITE ADDGT ADDLE GT R1, R0, #55 R1, R0, #48 ; ; ; ; ; Convert R0 hex value (0 to 15) into ASCII ('0'-'9', 'A'-'F') Next 2 instructions are conditional Convert 0xA -> 'A' Convert 0x0 -> '0' IT ADDGT GT R1, R1, #1 ; IT block with only one conditional instruction ; Increment R1 conditionally ITTEE MOVEQ ADDEQ ANDNE BNE.W EQ R0, R1 R2, R2, #10 R3, R3, #1 dloop ; ; ; ; ; ; IT ADD NE R0, R0, R1 ; Next instruction is conditional ; Syntax error: no condition code used in IT block SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Next 4 instructions are conditional Conditional move Conditional add Conditional AND Branch instruction can only be used in the last instruction of an IT block 11.6.10.4 TBB and TBH Table Branch Byte and Table Branch Halfword. Syntax TBB [Rn, Rm] TBH [Rn, Rm, LSL #1] where: Rn is the register containing the address of the table of branch lengths. If Rn is PC, then the address of the table is the address of the byte immediately following the TBB or TBH instruction. Rm is the index register. This contains an index into the table. For halfword tables, LSL #1 doubles the value in Rm to form the right offset into the table. Operation These instructions cause a PC-relative forward branch using a table of single byte offsets for TBB, or halfword offsets for TBH. Rn provides a pointer to the table, and Rm supplies an index into the table. For TBB the branch offset is twice the unsigned value of the byte returned from the table. and for TBH the branch offset is twice the unsigned value of the halfword returned from the table. The branch occurs to the address at that offset from the address of the byte immediately after the TBB or TBH instruction. Restrictions The restrictions are:  Rn must not be SP  Rm must not be SP and must not be PC  When any of these instructions is used inside an IT block, it must be the last instruction of the IT block. Condition Flags These instructions do not change the flags. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 173 Examples ADR.W TBB R0, BranchTable_Byte [R0, R1] ; R1 is the index, R0 is the base address of the ; branch table Case1 ; an instruction sequence follows Case2 ; an instruction sequence follows Case3 ; an instruction sequence follows BranchTable_Byte DCB 0 ; Case1 offset calculation DCB ((Case2-Case1)/2) ; Case2 offset calculation DCB ((Case3-Case1)/2) ; Case3 offset calculation TBH [PC, R1, LSL #1] ; R1 is the index, PC is used as base of the ; branch table BranchTable_H DCI ((CaseA - BranchTable_H)/2) DCI ((CaseB - BranchTable_H)/2) DCI ((CaseC - BranchTable_H)/2) CaseA ; an instruction sequence follows CaseB ; an instruction sequence follows CaseC ; an instruction sequence follows 174 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 ; CaseA offset calculation ; CaseB offset calculation ; CaseC offset calculation 11.6.11 Floating-point Instructions The table below shows the floating-point instructions. These instructions are only available if the FPU is included, and enabled, in the system. See “Enabling the FPU” for information about enabling the floating-point unit. Table 11-27. Floating-point Instructions Mnemonic Description VABS Floating-point Absolute VADD Floating-point Add VCMP Compare two floating-point registers, or one floating-point register and zero VCMPE Compare two floating-point registers, or one floating-point register and zero with Invalid Operation check VCVT Convert between floating-point and integer VCVT Convert between floating-point and fixed point VCVTR Convert between floating-point and integer with rounding VCVTB Converts half-precision value to single-precision VCVTT Converts single-precision register to half-precision VDIV Floating-point Divide VFMA Floating-point Fused Multiply Accumulate VFNMA Floating-point Fused Negate Multiply Accumulate VFMS Floating-point Fused Multiply Subtract VFNMS Floating-point Fused Negate Multiply Subtract VLDM Load Multiple extension registers VLDR Loads an extension register from memory VLMA Floating-point Multiply Accumulate VLMS Floating-point Multiply Subtract VMOV Floating-point Move Immediate VMOV Floating-point Move Register VMOV Copy ARM core register to single precision VMOV Copy 2 ARM core registers to 2 single precision VMOV Copies between ARM core register to scalar VMOV Copies between Scalar to ARM core register VMRS Move to ARM core register from floating-point System Register VMSR Move to floating-point System Register from ARM Core register VMUL Multiply floating-point VNEG Floating-point negate VNMLA Floating-point multiply and add VNMLS Floating-point multiply and subtract VNMUL Floating-point multiply VPOP Pop extension registers SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 175 Table 11-27. 176 Floating-point Instructions (Continued) Mnemonic Description VPUSH Push extension registers VSQRT Floating-point square root VSTM Store Multiple extension registers VSTR Stores an extension register to memory VSUB Floating-point Subtract SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.11.1 VABS Floating-point Absolute. Syntax VABS{cond}.F32 Sd, Sm where: cond is an optional condition code, see “Conditional Execution” . Sd, Sm are the destination floating-point value and the operand floating-point value. Operation This instruction: 1. Takes the absolute value of the operand floating-point register. 2. Places the results in the destination floating-point register. Restrictions There are no restrictions. Condition Flags The floating-point instruction clears the sign bit. Examples VABS.F32 S4, S6 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 177 11.6.11.2 VADD Floating-point Add Syntax VADD{cond}.F32 {Sd,} Sn, Sm where: cond is an optional condition code, see “Conditional Execution” . Sd, is the destination floating-point value. Sn, Sm are the operand floating-point values. Operation This instruction: 1. Adds the values in the two floating-point operand registers. 2. Places the results in the destination floating-point register. Restrictions There are no restrictions. Condition Flags This instruction does not change the flags. Examples VADD.F32 S4, S6, S7 178 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.11.3 VCMP, VCMPE Compares two floating-point registers, or one floating-point register and zero. Syntax VCMP{E}{cond}.F32 Sd, Sm VCMP{E}{cond}.F32 Sd, #0.0 where: cond is an optional condition code, see “Conditional Execution” . E If present, any NaN operand causes an Invalid Operation exception. Otherwise, only a signaling NaN causes the exception. Sd is the floating-point operand to compare. Sm is the floating-point operand that is compared with. Operation This instruction: 1. Compares: 2. ̶ Two floating-point registers. ̶ One floating-point register and zero. Writes the result to the FPSCR flags. Restrictions This instruction can optionally raise an Invalid Operation exception if either operand is any type of NaN. It always raises an Invalid Operation exception if either operand is a signaling NaN. Condition Flags When this instruction writes the result to the FPSCR flags, the values are normally transferred to the ARM flags by a subsequent VMRS instruction, see “” . Examples VCMP.F32 VCMP.F32 S4, #0.0 S4, S2 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 179 11.6.11.4 VCVT, VCVTR between Floating-point and Integer Converts a value in a register from floating-point to a 32-bit integer. Syntax VCVT{R}{cond}.Tm.F32 Sd, Sm VCVT{cond}.F32.Tm Sd, Sm where: R If R is specified, the operation uses the rounding mode specified by the FPSCR. If R is omitted. the operation uses the Round towards Zero rounding mode. cond is an optional condition code, see “Conditional Execution” . Tm is the data type for the operand. It must be one of: S32 signed 32- U32 unsigned 32-bit value. bit value. Sd, Sm are the destination register and the operand register. Operation These instructions: 1. Either 2. ̶ Converts a value in a register from floating-point value to a 32-bit integer. ̶ Converts from a 32-bit integer to floating-point value. Places the result in a second register. The floating-point to integer operation normally uses the Round towards Zero rounding mode, but can optionally use the rounding mode specified by the FPSCR. The integer to floating-point operation uses the rounding mode specified by the FPSCR. Restrictions There are no restrictions. Condition Flags These instructions do not change the flags. 180 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.11.5 VCVT between Floating-point and Fixed-point Converts a value in a register from floating-point to and from fixed-point. Syntax VCVT{cond}.Td.F32 Sd, Sd, #fbits VCVT{cond}.F32.Td Sd, Sd, #fbits where: cond is an optional condition code, see “Conditional Execution” . Td is the data type for the fixed-point number. It must be one of: S16 U16 signed 16-bit value. unsigned 16-bit value. S32 U32 signed 32-bit value. unsigned 32-bit value. Sd is the destination register and the operand register. fbits is the number of fraction bits in the fixed-point number: If Td is S16 or U16, fbits must be in the range 0–16. If Td is S32 or U32, fbits must be in the range 1–32. Operation These instructions: 1. Either 2. ̶ Converts a value in a register from floating-point to fixed-point. ̶ Converts a value in a register from fixed-point to floating-point. Places the result in a second register. The floating-point values are single-precision. The fixed-point value can be 16-bit or 32-bit. Conversions from fixed-point values take their operand from the loworder bits of the source register and ignore any remaining bits. Signed conversions to fixed-point values sign-extend the result value to the destination register width. Unsigned conversions to fixed-point values zero-extend the result value to the destination register width. The floating-point to fixed-point operation uses the Round towards Zero rounding mode. The fixed-point to floatingpoint operation uses the Round to Nearest rounding mode. Restrictions There are no restrictions. Condition Flags These instructions do not change the flags. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 181 11.6.11.6 VCVTB, VCVTT Converts between a half-precision value and a single-precision value. Syntax VCVT{y}{cond}.F32.F16 Sd, Sm VCVT{y}{cond}.F16.F32 Sd, Sm where: y Specifies which half of the operand register Sm or destination register Sd is used for the operand or destination: - If y is B, then the bottom half, bits [15:0], of Sm or Sd is used. - If y is T, then the top half, bits [31:16], of Sm or Sd is used. cond is an optional condition code, see “Conditional Execution” . Sd is the destination register. Sm is the operand register. Operation This instruction with the.F16.32 suffix: 1. Converts the half-precision value in the top or bottom half of a single-precision. register to singleprecision. 2. Writes the result to a single-precision register. This instruction with the.F32.F16 suffix: 1. Converts the value in a single-precision register to half-precision. 2. Writes the result into the top or bottom half of a single-precision register, preserving the other half of the target register. Restrictions There are no restrictions. Condition Flags These instructions do not change the flags. 182 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.11.7 VDIV Divides floating-point values. Syntax VDIV{cond}.F32 {Sd,} Sn, Sm where: cond is an optional condition code, see “Conditional Execution” . Sd is the destination register. Sn, Sm are the operand registers. Operation This instruction: 1. Divides one floating-point value by another floating-point value. 2. Writes the result to the floating-point destination register. Restrictions There are no restrictions. Condition Flags These instructions do not change the flags. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 183 11.6.11.8 VFMA, VFMS Floating-point Fused Multiply Accumulate and Subtract. Syntax VFMA{cond}.F32 {Sd,} Sn, Sm VFMS{cond}.F32 {Sd,} Sn, Sm where: cond is an optional condition code, see “Conditional Execution” . Sd is the destination register. Sn, Sm are the operand registers. Operation The VFMA instruction: 1. Multiplies the floating-point values in the operand registers. 2. Accumulates the results into the destination register. The result of the multiply is not rounded before the accumulation. The VFMS instruction: 1. Negates the first operand register. 2. Multiplies the floating-point values of the first and second operand registers. 3. Adds the products to the destination register. 4. Places the results in the destination register. The result of the multiply is not rounded before the addition. Restrictions There are no restrictions. Condition Flags These instructions do not change the flags. 184 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.11.9 VFNMA, VFNMS Floating-point Fused Negate Multiply Accumulate and Subtract. Syntax VFNMA{cond}.F32 {Sd,} Sn, Sm VFNMS{cond}.F32 {Sd,} Sn, Sm where: cond is an optional condition code, see “Conditional Execution” . Sd is the destination register. Sn, Sm are the operand registers. Operation The VFNMA instruction: 1. Negates the first floating-point operand register. 2. Multiplies the first floating-point operand with second floating-point operand. 3. Adds the negation of the floating -point destination register to the product 4. Places the result into the destination register. The result of the multiply is not rounded before the addition. The VFNMS instruction: 1. Multiplies the first floating-point operand with second floating-point operand. 2. Adds the negation of the floating-point value in the destination register to the product. 3. Places the result in the destination register. The result of the multiply is not rounded before the addition. Restrictions There are no restrictions. Condition Flags These instructions do not change the flags. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 185 11.6.11.10 VLDM Floating-point Load Multiple Syntax VLDM{mode}{cond}{.size} Rn{!}, list where: mode is the addressing mode: - IA Increment After. The consecutive addresses start at the address speci fied in Rn. - DB Decrement Before. The consecutive addresses end just before the address specified in Rn. cond is an optional condition code, see “Conditional Execution” . size is an optional data size specifier. Rn is the base register. The SP can be used ! is the command to the instruction to write a modified value back to Rn. This is required if mode == DB, and is optional if mode == IA. list is the list of extension registers to be loaded, as a list of consecutively numbered doubleword or singleword registers, separated by commas and surrounded by brackets. Operation This instruction loads:  Multiple extension registers from consecutive memory locations using an address from an ARM core register as the base address. Restrictions The restrictions are:  If size is present, it must be equal to the size in bits, 32 or 64, of the registers in list.  For the base address, the SP can be used. In the ARM instruction set, if ! is not specified the PC can be used.  list must contain at least one register. If it contains doubleword registers, it must not contain more than 16 registers.  If using the Decrement Before addressing mode, the write back flag, !, must be appended to the base register specification. Condition Flags These instructions do not change the flags. 186 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.11.11 VLDR Loads a single extension register from memory Syntax VLDR{cond}{.64} VLDR{cond}{.64} VLDR{cond}{.64} VLDR{cond}{.32} VLDR{cond}{.32} VLDR{cond}{.32} Dd, Dd, Dd, Sd, Sd, Sd, [Rn{#imm}] label [PC, #imm}] [Rn {, #imm}] label [PC, #imm] where: cond is an optional condition code, see “Conditional Execution” . 64, 32 are the optional data size specifiers. Dd is the destination register for a doubleword load. Sd is the destination register for a singleword load. Rn is the base register. The SP can be used. imm is the + or - immediate offset used to form the address. Permitted address values are multiples of 4 in the range 0 to 1020. label is the label of the literal data item to be loaded. Operation This instruction:  Loads a single extension register from memory, using a base address from an ARM core register, with an optional offset. Restrictions There are no restrictions. Condition Flags These instructions do not change the flags. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 187 11.6.11.12 VLMA, VLMS Multiplies two floating-point values, and accumulates or subtracts the results. Syntax VLMA{cond}.F32 Sd, Sn, Sm VLMS{cond}.F32 Sd, Sn, Sm where: cond is an optional condition code, see “Conditional Execution” . Sd is the destination floating-point value. Sn, Sm are the operand floating-point values. Operation The floating-point Multiply Accumulate instruction: 1. Multiplies two floating-point values. 2. Adds the results to the destination floating-point value. The floating-point Multiply Subtract instruction: 1. Multiplies two floating-point values. 2. Subtracts the products from the destination floating-point value. 3. Places the results in the destination register. Restrictions There are no restrictions. Condition Flags These instructions do not change the flags. 188 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.11.13 VMOV Immediate Move floating-point Immediate Syntax VMOV{cond}.F32 Sd, #imm where: cond is an optional condition code, see “Conditional Execution” . Sd is the branch destination. imm is a floating-point constant. Operation This instruction copies a constant value to a floating-point register. Restrictions There are no restrictions. Condition Flags These instructions do not change the flags. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 189 11.6.11.14 VMOV Register Copies the contents of one register to another. Syntax VMOV{cond}.F64 Dd, Dm VMOV{cond}.F32 Sd, Sm where: cond is an optional condition code, see “Conditional Execution” . Dd is the destination register, for a doubleword operation. Dm is the source register, for a doubleword operation. Sd is the destination register, for a singleword operation. Sm is the source register, for a singleword operation. Operation This instruction copies the contents of one floating-point register to another. Restrictions There are no restrictions Condition Flags These instructions do not change the flags. 190 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.11.15 VMOV Scalar to ARM Core Register Transfers one word of a doubleword floating-point register to an ARM core register. Syntax VMOV{cond} Rt, Dn[x] where: cond is an optional condition code, see “Conditional Execution” . Rt is the destination ARM core register. Dn is the 64-bit doubleword register. x Specifies which half of the doubleword register to use: - If x is 0, use lower half of doubleword register - If x is 1, use upper half of doubleword register. Operation This instruction transfers:  One word from the upper or lower half of a doubleword floating-point register to an ARM core register. Restrictions Rt cannot be PC or SP. Condition Flags These instructions do not change the flags. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 191 11.6.11.16 VMOV ARM Core Register to Single Precision Transfers a single-precision register to and from an ARM core register. Syntax VMOV{cond} Sn, Rt VMOV{cond} Rt, Sn where: cond is an optional condition code, see “Conditional Execution” . Sn is the single-precision floating-point register. Rt is the ARM core register. Operation This instruction transfers:  The contents of a single-precision register to an ARM core register.  The contents of an ARM core register to a single-precision register. Restrictions Rt cannot be PC or SP. Condition Flags These instructions do not change the flags. 192 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.11.17 VMOV Two ARM Core Registers to Two Single Precision Transfers two consecutively numbered single-precision registers to and from two ARM core registers. Syntax VMOV{cond} Sm, Sm1, Rt, Rt2 VMOV{cond} Rt, Rt2, Sm, Sm where: cond is an optional condition code, see “Conditional Execution” . Sm is the first single-precision register. Sm1 is the second single-precision register. This is the next single-precision register after Sm. Rt is the ARM core register that Sm is transferred to or from. Rt2 is the The ARM core register that Sm1 is transferred to or from. Operation This instruction transfers:  The contents of two consecutively numbered single-precision registers to two ARM core registers.  The contents of two ARM core registers to a pair of single-precision registers. Restrictions  The restrictions are:  The floating-point registers must be contiguous, one after the other.  The ARM core registers do not have to be contiguous.  Rt cannot be PC or SP. Condition Flags These instructions do not change the flags. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 193 11.6.11.18 VMOV ARM Core Register to Scalar Transfers one word to a floating-point register from an ARM core register. Syntax VMOV{cond}{.32} Dd[x], Rt where: cond is an optional condition code, see “Conditional Execution” . 32 is an optional data size specifier. Dd[x] is the destination, where [x] defines which half of the doubleword is transferred, as follows: If x is 0, the lower half is extracted If x is 1, the upper half is extracted. Rt is the source ARM core register. Operation This instruction transfers one word to the upper or lower half of a doubleword floating-point register from an ARM core register. Restrictions Rt cannot be PC or SP. Condition Flags These instructions do not change the flags. 194 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.11.19 VMRS Move to ARM Core register from floating-point System Register. Syntax VMRS{cond} Rt, FPSCR VMRS{cond} APSR_nzcv, FPSCR where: cond is an optional condition code, see “Conditional Execution” . Rt is the destination ARM core register. This register can be R0–R14. APSR_nzcv Transfer floating-point flags to the APSR flags. Operation This instruction performs one of the following actions:  Copies the value of the FPSCR to a general-purpose register.  Copies the value of the FPSCR flag bits to the APSR N, Z, C, and V flags. Restrictions Rt cannot be PC or SP. Condition Flags These instructions optionally change the flags: N, Z, C, V SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 195 11.6.11.20 VMSR Move to floating-point System Register from ARM Core register. Syntax VMSR{cond} FPSCR, Rt where: cond is an optional condition code, see “Conditional Execution” . Rt is the general-purpose register to be transferred to the FPSCR. Operation This instruction moves the value of a general-purpose register to the FPSCR. See “Floating-point Status Control Register” for more information. Restrictions The restrictions are:  Rt cannot be PC or SP. Condition Flags This instruction updates the FPSCR. 196 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.11.21 VMUL Floating-point Multiply. Syntax VMUL{cond}.F32 {Sd,} Sn, Sm where: cond is an optional condition code, see “Conditional Execution” . Sd is the destination floating-point value. Sn, Sm are the operand floating-point values. Operation This instruction: 1. Multiplies two floating-point values. 2. Places the results in the destination register. Restrictions There are no restrictions. Condition Flags These instructions do not change the flags. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 197 11.6.11.22 VNEG Floating-point Negate. Syntax VNEG{cond}.F32 Sd, Sm where: cond is an optional condition code, see “Conditional Execution” . Sd is the destination floating-point value. Sm is the operand floating-point value. Operation This instruction: 1. Negates a floating-point value. 2. Places the results in a second floating-point register. The floating-point instruction inverts the sign bit. Restrictions There are no restrictions. Condition Flags These instructions do not change the flags. 198 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.11.23 VNMLA, VNMLS, VNMUL Floating-point multiply with negation followed by add or subtract. Syntax VNMLA{cond}.F32 Sd, Sn, Sm VNMLS{cond}.F32 Sd, Sn, Sm VNMUL{cond}.F32 {Sd,} Sn, Sm where: cond is an optional condition code, see “Conditional Execution” . Sd is the destination floating-point register. Sn, Sm are the operand floating-point registers. Operation The VNMLA instruction: 1. Multiplies two floating-point register values. 2. Adds the negation of the floating-point value in the destination register to the negation of the product. 3. Writes the result back to the destination register. The VNMLS instruction: 1. Multiplies two floating-point register values. 2. Adds the negation of the floating-point value in the destination register to the product. 3. Writes the result back to the destination register. The VNMUL instruction: 1. Multiplies together two floating-point register values. 2. Writes the negation of the result to the destination register. Restrictions There are no restrictions. Condition Flags These instructions do not change the flags. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 199 11.6.11.24 VPOP Floating-point extension register Pop. Syntax VPOP{cond}{.size} list where: cond is an optional condition code, see “Conditional Execution” . size is an optional data size specifier. If present, it must be equal to the size in bits, 32 or 64, of the registers in list. list is the list of extension registers to be loaded, as a list of consecutively numbered doubleword or singleword registers, separated by commas and surrounded by brackets. Operation This instruction loads multiple consecutive extension registers from the stack. Restrictions The list must contain at least one register, and not more than sixteen registers. Condition Flags These instructions do not change the flags. 200 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.11.25 VPUSH Floating-point extension register Push. Syntax VPUSH{cond}{.size} list where: cond is an optional condition code, see “Conditional Execution” . size is an optional data size specifier. If present, it must be equal to the size in bits, 32 or 64, of the registers in list. list is a list of the extension registers to be stored, as a list of consecutively num bered doubleword or singleword registers, separated by commas and sur rounded by brackets. Operation This instruction:  Stores multiple consecutive extension registers to the stack. Restrictions The restrictions are:  list must contain at least one register, and not more than sixteen. Condition Flags These instructions do not change the flags. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 201 11.6.11.26 VSQRT Floating-point Square Root. Syntax VSQRT{cond}.F32 Sd, Sm where: cond is an optional condition code, see “Conditional Execution” . Sd is the destination floating-point value. Sm is the operand floating-point value. Operation This instruction:  Calculates the square root of the value in a floating-point register.  Writes the result to another floating-point register. Restrictions There are no restrictions. Condition Flags These instructions do not change the flags. 202 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.11.27 VSTM Floating-point Store Multiple. Syntax VSTM{mode}{cond}{.size} Rn{!}, list where: mode is the addressing mode: - IA Increment After. The consecutive addresses start at the address speci fied in Rn. This is the default and can be omitted. - DB Decrement Before. The consecutive addresses end just before the address specified in Rn. cond is an optional condition code, see “Conditional Execution” . size is an optional data size specifier. If present, it must be equal to the size in bits, 32 or 64, of the registers in list. Rn is the base register. The SP can be used ! is the function that causes the instruction to write a modified value back to Rn. Required if mode == DB. list is a list of the extension registers to be stored, as a list of consecutively num bered doubleword or singleword registers, separated by commas and sur rounded by brackets. Operation This instruction:  Stores multiple extension registers to consecutive memory locations using a base address from an ARM core register. Restrictions The restrictions are:  list must contain at least one register. If it contains doubleword registers it must not contain more than 16 registers.  Use of the PC as Rn is deprecated. Condition Flags These instructions do not change the flags. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 203 11.6.11.28 VSTR Floating-point Store. Syntax VSTR{cond}{.32} Sd, [Rn{, #imm}] VSTR{cond}{.64} Dd, [Rn{, #imm}] where cond is an optional condition code, see “Conditional Execution” . 32, 64 are the optional data size specifiers. Sd is the source register for a singleword store. Dd is the source register for a doubleword store. Rn is the base register. The SP can be used. imm is the + or - immediate offset used to form the address. Values are multiples of 4 in the range 0–1020. imm can be omitted, meaning an offset of +0. Operation This instruction:  Stores a single extension register to memory, using an address from an ARM core register, with an optional offset, defined in imm. Restrictions The restrictions are:  The use of PC for Rn is deprecated. Condition Flags These instructions do not change the flags. 204 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.11.29 VSUB Floating-point Subtract. Syntax VSUB{cond}.F32 {Sd,} Sn, Sm where: cond is an optional condition code, see “Conditional Execution” . Sd is the destination floating-point value. Sn, Sm are the operand floating-point value. Operation This instruction: 1. Subtracts one floating-point value from another floating-point value. 2. Places the results in the destination floating-point register. Restrictions There are no restrictions. Condition Flags These instructions do not change the flags. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 205 11.6.12 Miscellaneous Instructions The table below shows the remaining Cortex-M4 instructions. Table 11-28. 206 Miscellaneous Instructions Mnemonic Description BKPT Breakpoint CPSID Change Processor State, Disable Interrupts CPSIE Change Processor State, Enable Interrupts DMB Data Memory Barrier DSB Data Synchronization Barrier ISB Instruction Synchronization Barrier MRS Move from special register to register MSR Move from register to special register NOP No Operation SEV Send Event SVC Supervisor Call WFE Wait For Event WFI Wait For Interrupt SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.12.1 BKPT Breakpoint. Syntax BKPT #imm where: imm is an expression evaluating to an integer in the range 0–255 (8-bit value). Operation The BKPT instruction causes the processor to enter Debug state. Debug tools can use this to investigate system state when the instruction at a particular address is reached. imm is ignored by the processor. If required, a debugger can use it to store additional information about the breakpoint. The BKPT instruction can be placed inside an IT block, but it executes unconditionally, unaffected by the condition specified by the IT instruction. Condition Flags This instruction does not change the flags. Examples BKPT 0xAB Note: ; Breakpoint with immediate value set to 0xAB (debugger can ; extract the immediate value by locating it using the PC) ARM does not recommend the use of the BKPT instruction with an immediate value set to 0xAB for any purpose other than Semi-hosting. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 207 11.6.12.2 CPS Change Processor State. Syntax CPSeffect iflags where: effect is one of: IE Clears the special purpose register. ID Sets the special purpose register. iflags is a sequence of one or more flags: i Set or clear PRIMASK. f Set or clear FAULTMASK. Operation CPS changes the PRIMASK and FAULTMASK special register values. See “Exception Mask Registers” for more information about these registers. Restrictions The restrictions are:  Use CPS only from privileged software, it has no effect if used in unprivileged software  CPS cannot be conditional and so must not be used inside an IT block. Condition Flags This instruction does not change the condition flags. Examples CPSID CPSID CPSIE CPSIE 208 i f i f ; ; ; ; Disable interrupts and configurable fault handlers (set PRIMASK) Disable interrupts and all fault handlers (set FAULTMASK) Enable interrupts and configurable fault handlers (clear PRIMASK) Enable interrupts and fault handlers (clear FAULTMASK) SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.12.3 DMB Data Memory Barrier. Syntax DMB{cond} where: cond is an optional condition code, see “Conditional Execution” . Operation DMB acts as a data memory barrier. It ensures that all explicit memory accesses that appear, in program order, before the DMB instruction are completed before any explicit memory accesses that appear, in program order, after the DMB instruction. DMB does not affect the ordering or execution of instructions that do not access memory. Condition Flags This instruction does not change the flags. Examples DMB ; Data Memory Barrier SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 209 11.6.12.4 DSB Data Synchronization Barrier. Syntax DSB{cond} where: cond is an optional condition code, see “Conditional Execution” . Operation DSB acts as a special data synchronization memory barrier. Instructions that come after the DSB, in program order, do not execute until the DSB instruction completes. The DSB instruction completes when all explicit memory accesses before it complete. Condition Flags This instruction does not change the flags. Examples DSB ; Data Synchronisation Barrier 210 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.12.5 ISB Instruction Synchronization Barrier. Syntax ISB{cond} where: cond is an optional condition code, see “Conditional Execution” . Operation ISB acts as an instruction synchronization barrier. It flushes the pipeline of the processor, so that all instructions following the ISB are fetched from cache or memory again, after the ISB instruction has been completed. Condition Flags This instruction does not change the flags. Examples ISB ; Instruction Synchronisation Barrier SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 211 11.6.12.6 MRS Move the contents of a special register to a general-purpose register. Syntax MRS{cond} Rd, spec_reg where: cond is an optional condition code, see “Conditional Execution” . Rd is the destination register. spec_reg can be any of: APSR, IPSR, EPSR, IEPSR, IAPSR, EAPSR, PSR, MSP, PSP, PRIMASK, BASEPRI, BASEPRI_MAX, FAULTMASK, or CONTROL. Operation Use MRS in combination with MSR as part of a read-modify-write sequence for updating a PSR, for example to clear the Q flag. In process swap code, the programmers model state of the process being swapped out must be saved, including relevant PSR contents. Similarly, the state of the process being swapped in must also be restored. These operations use MRS in the state-saving instruction sequence and MSR in the state-restoring instruction sequence. Note: BASEPRI_MAX is an alias of BASEPRI when used with the MRS instruction. See “MSR” . Restrictions Rd must not be SP and must not be PC. Condition Flags This instruction does not change the flags. Examples MRS 212 R0, PRIMASK ; Read PRIMASK value and write it to R0 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.12.7 MSR Move the contents of a general-purpose register into the specified special register. Syntax MSR{cond} spec_reg, Rn where: cond is an optional condition code, see “Conditional Execution” . Rn is the source register. spec_reg can be any of: APSR, IPSR, EPSR, IEPSR, IAPSR, EAPSR, PSR, MSP, PSP, PRIMASK, BASEPRI, BASEPRI_MAX, FAULTMASK, or CONTROL. Operation The register access operation in MSR depends on the privilege level. Unprivileged software can only access the APSR. See “Application Program Status Register” . Privileged software can access all special registers. In unprivileged software writes to unallocated or execution state bits in the PSR are ignored. Note: When the user writes to BASEPRI_MAX, the instruction writes to BASEPRI only if either: Rn is non-zero and the current BASEPRI value is 0 Rn is non-zero and less than the current BASEPRI value. See “MRS” . Restrictions Rn must not be SP and must not be PC. Condition Flags This instruction updates the flags explicitly based on the value in Rn. Examples MSR CONTROL, R1 ; Read R1 value and write it to the CONTROL register SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 213 11.6.12.8 NOP No Operation. Syntax NOP{cond} where: cond is an optional condition code, see “Conditional Execution” . Operation NOP does nothing. NOP is not necessarily a time-consuming NOP. The processor might remove it from the pipeline before it reaches the execution stage. Use NOP for padding, for example to place the following instruction on a 64-bit boundary. Condition Flags This instruction does not change the flags. Examples NOP 214 ; No operation SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.12.9 SEV Send Event. Syntax SEV{cond} where: cond is an optional condition code, see “Conditional Execution” . Operation SEV is a hint instruction that causes an event to be signaled to all processors within a multiprocessor system. It also sets the local event register to 1, see “Power Management” . Condition Flags This instruction does not change the flags. Examples SEV ; Send Event SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 215 11.6.12.10 SVC Supervisor Call. Syntax SVC{cond} #imm where: cond is an optional condition code, see “Conditional Execution” . imm is an expression evaluating to an integer in the range 0-255 (8-bit value). Operation The SVC instruction causes the SVC exception. imm is ignored by the processor. If required, it can be retrieved by the exception handler to determine what service is being requested. Condition Flags This instruction does not change the flags. Examples SVC 216 0x32 ; Supervisor Call (SVC handler can extract the immediate value ; by locating it via the stacked PC) SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.6.12.11 WFE Wait For Event. Syntax WFE{cond} where: cond is an optional condition code, see “Conditional Execution” . Operation WFE is a hint instruction. If the event register is 0, WFE suspends execution until one of the following events occurs:  An exception, unless masked by the exception mask registers or the current priority level  An exception enters the Pending state, if SEVONPEND in the System Control Register is set  A Debug Entry request, if Debug is enabled  An event signaled by a peripheral or another processor in a multiprocessor system using the SEV instruction. If the event register is 1, WFE clears it to 0 and returns immediately. For more information, see “Power Management” . Condition Flags This instruction does not change the flags. Examples WFE 11.6.12.12 ; Wait for event WFI Wait for Interrupt. Syntax WFI{cond} where: cond is an optional condition code, see “Conditional Execution” . Operation WFI is a hint instruction that suspends execution until one of the following events occurs:  An exception  A Debug Entry request, regardless of whether Debug is enabled. Condition Flags This instruction does not change the flags. Examples WFI ; Wait for interrupt SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 217 11.7 Cortex-M4 Core Peripherals 11.7.1 Peripherals  Nested Vectored Interrupt Controller (NVIC) The Nested Vectored Interrupt Controller (NVIC) is an embedded interrupt controller that supports low latency interrupt processing. See Section 11.8 ”Nested Vectored Interrupt Controller (NVIC)”.  System Control Block (SCB) The System Control Block (SCB) is the programmers model interface to the processor. It provides system implementation information and system control, including configuration, control, and reporting of system exceptions. See Section 11.9 ”System Control Block (SCB)”.  System Timer (SysTick) The System Timer, SysTick, is a 24-bit count-down timer. Use this as a Real Time Operating System (RTOS) tick timer or as a simple counter. See Section 11.10 ”System Timer (SysTick)”.  Memory Protection Unit (MPU) The Memory Protection Unit (MPU) improves system reliability by defining the memory attributes for different memory regions. It provides up to eight different regions, and an optional predefined background region. See Section 11.11 ”Memory Protection Unit (MPU)”.  Floating-point Unit (FPU) The Floating-point Unit (FPU) provides IEEE754-compliant operations on single-precision, 32-bit, floatingpoint values. See Section 11.12 ”Floating Point Unit (FPU)”. 11.7.2 Address Map The address map of the Private peripheral bus (PPB) is given in the following table. Table 11-29. Core Peripheral Register Regions Address Core Peripheral 0xE000E008–0xE000E00F System Control Block 0xE000E010–0xE000E01F System Timer 0xE000E100–0xE000E4EF Nested Vectored Interrupt Controller 0xE000ED00–0xE000ED3F System control block 0xE000ED90–0xE000EDB8 Memory Protection Unit 0xE000EF00–0xE000EF03 Nested Vectored Interrupt Controller 0xE000EF30–0xE000EF44 Floating-point Unit In register descriptions:  218 The required privilege gives the privilege level required to access the register, as follows: ̶ Privileged: Only privileged software can access the register. ̶ Unprivileged: Both unprivileged and privileged software can access the register. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.8 Nested Vectored Interrupt Controller (NVIC) This section describes the NVIC and the registers it uses. The NVIC supports:  Up to 47 interrupts  A programmable priority level of 0–15 for each interrupt. A higher level corresponds to a lower priority, so level 0 is the highest interrupt priority.  Level detection of interrupt signals  Dynamic reprioritization of interrupts  Grouping of priority values into group priority and subpriority fields  Interrupt tail-chaining  An external Non-maskable interrupt (NMI) The processor automatically stacks its state on exception entry and unstacks this state on exception exit, with no instruction overhead. This provides low latency exception handling. 11.8.1 Level-sensitive Interrupts The processor supports level-sensitive interrupts. A level-sensitive interrupt is held asserted until the peripheral deasserts the interrupt signal. Typically, this happens because the ISR accesses the peripheral, causing it to clear the interrupt request. When the processor enters the ISR, it automatically removes the pending state from the interrupt (see “Hardware and Software Control of Interrupts” ). For a level-sensitive interrupt, if the signal is not deasserted before the processor returns from the ISR, the interrupt becomes pending again, and the processor must execute its ISR again. This means that the peripheral can hold the interrupt signal asserted until it no longer requires servicing. 11.8.1.1 Hardware and Software Control of Interrupts The Cortex-M4 latches all interrupts. A peripheral interrupt becomes pending for one of the following reasons:  The NVIC detects that the interrupt signal is HIGH and the interrupt is not active  The NVIC detects a rising edge on the interrupt signal  A software writes to the corresponding interrupt set-pending register bit, see “Interrupt Set-pending Registers” , or to the NVIC_STIR to make an interrupt pending, see “Software Trigger Interrupt Register” . A pending interrupt remains pending until one of the following:  The processor enters the ISR for the interrupt. This changes the state of the interrupt from pending to active. Then: ̶  11.8.2 For a level-sensitive interrupt, when the processor returns from the ISR, the NVIC samples the interrupt signal. If the signal is asserted, the state of the interrupt changes to pending, which might cause the processor to immediately re-enter the ISR. Otherwise, the state of the interrupt changes to inactive. Software writes to the corresponding interrupt clear-pending register bit. For a level-sensitive interrupt, if the interrupt signal is still asserted, the state of the interrupt does not change. Otherwise, the state of the interrupt changes to inactive. NVIC Design Hints and Tips Ensure that the software uses correctly aligned register accesses. The processor does not support unaligned accesses to NVIC registers. See the individual register descriptions for the supported access sizes. A interrupt can enter a pending state even if it is disabled. Disabling an interrupt only prevents the processor from taking that interrupt. Before programming SCB_VTOR to relocate the vector table, ensure that the vector table entries of the new vector table are set up for fault handlers, NMI and all enabled exception like interrupts. For more information, see the “Vector Table Offset Register” . SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 219 11.8.2.1 NVIC Programming Hints The software uses the CPSIE I and CPSID I instructions to enable and disable the interrupts. The CMSIS provides the following intrinsic functions for these instructions: void __disable_irq(void) // Disable Interrupts void __enable_irq(void) // Enable Interrupts In addition, the CMSIS provides a number of functions for NVIC control, including: Table 11-30. CMSIS Functions for NVIC Control CMSIS Interrupt Control Function Description void NVIC_SetPriorityGrouping(uint32_t priority_grouping) Set the priority grouping void NVIC_EnableIRQ(IRQn_t IRQn) Enable IRQn void NVIC_DisableIRQ(IRQn_t IRQn) Disable IRQn uint32_t NVIC_GetPendingIRQ (IRQn_t IRQn) Return true (IRQ-Number) if IRQn is pending void NVIC_SetPendingIRQ (IRQn_t IRQn) Set IRQn pending void NVIC_ClearPendingIRQ (IRQn_t IRQn) Clear IRQn pending status uint32_t NVIC_GetActive (IRQn_t IRQn) Return the IRQ number of the active interrupt void NVIC_SetPriority (IRQn_t IRQn, uint32_t priority) Set priority for IRQn uint32_t NVIC_GetPriority (IRQn_t IRQn) Read priority of IRQn void NVIC_SystemReset (void) Reset the system The input parameter IRQn is the IRQ number. For more information about these functions, see the CMSIS documentation. To improve software efficiency, the CMSIS simplifies the NVIC register presentation. In the CMSIS:   The Set-enable, Clear-enable, Set-pending, Clear-pending and Active Bit registers map to arrays of 32-bit integers, so that: ̶ The array ISER[0] to ISER[1] corresponds to the registers ISER0–ISER1 ̶ The array ICER[0] to ICER[1] corresponds to the registers ICER0–ICER1 ̶ The array ISPR[0] to ISPR[1] corresponds to the registers ISPR0–ISPR1 ̶ The array ICPR[0] to ICPR[1] corresponds to the registers ICPR0–ICPR1 ̶ The array IABR[0] to IABR[1] corresponds to the registers IABR0–IABR1 The Interrupt Priority Registers (IPR0–IPR12) provide an 8-bit priority field for each interrupt and each register holds four priority fields. The CMSIS provides thread-safe code that gives atomic access to the Interrupt Priority Registers. Table 11-31 shows how the interrupts, or IRQ numbers, map onto the interrupt registers and corresponding CMSIS variables that have one bit per interrupt. Table 11-31. Mapping of Interrupts CMSIS Array Elements (1) Interrupts Set-enable Clear-enable Set-pending Clear-pending Active Bit 0–31 ISER[0] ICER[0] ISPR[0] ICPR[0] IABR[0] 32–47 ISER[1] ICER[1] ISPR[1] ICPR[1] IABR[1] Note: 220 1. Each array element corresponds to a single NVIC register, for example the ICER[0] element corresponds to the ICER0. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.8.3 Nested Vectored Interrupt Controller (NVIC) User Interface Table 11-32. Nested Vectored Interrupt Controller (NVIC) Register Mapping Offset Register Name Access Reset 0xE000E100 Interrupt Set-enable Register 0 NVIC_ISER0 Read/Write 0x00000000 ... ... ... ... ... 0xE000E11C Interrupt Set-enable Register 7 NVIC_ISER7 Read/Write 0x00000000 0XE000E180 Interrupt Clear-enable Register 0 NVIC_ICER0 Read/Write 0x00000000 ... ... ... ... ... 0xE000E19C Interrupt Clear-enable Register 7 NVIC_ICER7 Read/Write 0x00000000 0XE000E200 Interrupt Set-pending Register 0 NVIC_ISPR0 Read/Write 0x00000000 ... ... ... ... ... 0xE000E21C Interrupt Set-pending Register 7 NVIC_ISPR7 Read/Write 0x00000000 0XE000E280 Interrupt Clear-pending Register 0 NVIC_ICPR0 Read/Write 0x00000000 ... ... ... ... ... 0xE000E29C Interrupt Clear-pending Register 7 NVIC_ICPR7 Read/Write 0x00000000 0xE000E300 Interrupt Active Bit Register 0 NVIC_IABR0 Read/Write 0x00000000 ... ... ... ... ... 0xE000E31C Interrupt Active Bit Register 7 NVIC_IABR7 Read/Write 0x00000000 0xE000E400 Interrupt Priority Register 0 NVIC_IPR0 Read/Write 0x00000000 ... ... ... ... ... 0XE000E42C Interrupt Priority Register 12 NVIC_IPR12 Read/Write 0x00000000 0xE000EF00 Software Trigger Interrupt Register NVIC_STIR Write-only 0x00000000 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 221 11.8.3.1 Interrupt Set-enable Registers Name: NVIC_ISERx [x=0..7] Access: Read/Write Reset: 0x000000000 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 SETENA 23 22 21 20 SETENA 15 14 13 12 SETENA 7 6 5 4 SETENA These registers enable interrupts and show which interrupts are enabled. • SETENA: Interrupt Set-enable Write: 0: No effect. 1: Enables the interrupt. Read: 0: Interrupt disabled. 1: Interrupt enabled. Notes: 222 1. If a pending interrupt is enabled, the NVIC activates the interrupt based on its priority. 2. If an interrupt is not enabled, asserting its interrupt signal changes the interrupt state to pending, the NVIC never activates the interrupt, regardless of its priority. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.8.3.2 Interrupt Clear-enable Registers Name: NVIC_ICERx [x=0..7] Access: Read/Write Reset: 0x000000000 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 CLRENA 23 22 21 20 CLRENA 15 14 13 12 CLRENA 7 6 5 4 CLRENA These registers disable interrupts, and show which interrupts are enabled. • CLRENA: Interrupt Clear-enable Write: 0: No effect. 1: Disables the interrupt. Read: 0: Interrupt disabled. 1: Interrupt enabled. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 223 11.8.3.3 Interrupt Set-pending Registers Name: NVIC_ISPRx [x=0..7] Access: Read/Write Reset: 0x000000000 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 SETPEND 23 22 21 20 SETPEND 15 14 13 12 SETPEND 7 6 5 4 SETPEND These registers force interrupts into the pending state, and show which interrupts are pending. • SETPEND: Interrupt Set-pending Write: 0: No effect. 1: Changes the interrupt state to pending. Read: 0: Interrupt is not pending. 1: Interrupt is pending. Notes: 224 1. Writing a 1 to an ISPR bit corresponding to an interrupt that is pending has no effect. 2. Writing a 1 to an ISPR bit corresponding to a disabled interrupt sets the state of that interrupt to pending. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.8.3.4 Interrupt Clear-pending Registers Name: NVIC_ICPRx [x=0..7] Access: Read/Write Reset: 0x000000000 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 CLRPEND 23 22 21 20 CLRPEND 15 14 13 12 CLRPEND 7 6 5 4 CLRPEND These registers remove the pending state from interrupts, and show which interrupts are pending. • CLRPEND: Interrupt Clear-pending Write: 0: No effect. 1: Removes the pending state from an interrupt. Read: 0: Interrupt is not pending. 1: Interrupt is pending. Note: Writing a 1 to an ICPR bit does not affect the active state of the corresponding interrupt. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 225 11.8.3.5 Interrupt Active Bit Registers Name: NVIC_IABRx [x=0..7] Access: Read/Write Reset: 0x000000000 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 ACTIVE 23 22 21 20 ACTIVE 15 14 13 12 ACTIVE 7 6 5 4 ACTIVE These registers indicate which interrupts are active. • ACTIVE: Interrupt Active Flags 0: Interrupt is not active. 1: Interrupt is active. Note: A bit reads as one if the status of the corresponding interrupt is active, or active and pending. 226 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.8.3.6 Interrupt Priority Registers Name: NVIC_IPRx [x=0..12] Access: Read/Write Reset: 0x000000000 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 PRI3 23 22 21 20 PRI2 15 14 13 12 PRI1 7 6 5 4 PRI0 The NVIC_IPR0–NVIC_IPR12 registers provide a 8-bit priority field for each interrupt. These registers are byte-accessible. Each register holds four priority fields that map up to four elements in the CMSIS interrupt priority array IP[0] to IP[46]. • PRI3: Priority (4m+3) Priority, Byte Offset 3, refers to register bits [31:24]. • PRI2: Priority (4m+2) Priority, Byte Offset 2, refers to register bits [23:16]. • PRI1: Priority (4m+1) Priority, Byte Offset 1, refers to register bits [15:8]. • PRI0: Priority (4m) Priority, Byte Offset 0, refers to register bits [7:0]. Notes: 1. Each priority field holds a priority value, 0–15. The lower the value, the greater the priority of the corresponding interrupt. The processor implements only bits[7:4] of each field; bits[3:0] read as zero and ignore writes. 2. For more information about the IP[0] to IP[46] interrupt priority array, that provides the software view of the interrupt priorities, see Table 11-30, “CMSIS Functions for NVIC Control” . 3. The corresponding IPR number n is given by n = m DIV 4. 4. The byte offset of the required Priority field in this register is m MOD 4. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 227 11.8.3.7 Software Trigger Interrupt Register Name: NVIC_STIR Access: Write-only Reset: 0x000000000 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 INTID 7 6 5 4 3 2 1 0 INTID Write to this register to generate an interrupt from the software. • INTID: Interrupt ID Interrupt ID of the interrupt to trigger, in the range 0–239. For example, a value of 0x03 specifies interrupt IRQ3. 228 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.9 System Control Block (SCB) The System Control Block (SCB) provides system implementation information, and system control. This includes configuration, control, and reporting of the system exceptions. Ensure that the software uses aligned accesses of the correct size to access the system control block registers:  Except for the SCB_CFSR and SCB_SHPR1–SCB_SHPR3 registers, it must use aligned word accesses  For the SCB_CFSR and SCB_SHPR1–SCB_SHPR3 registers, it can use byte or aligned halfword or word accesses. The processor does not support unaligned accesses to system control block registers. In a fault handler, to determine the true faulting address: 1. Read and save the MMFAR or SCB_BFAR value. 2. Read the MMARVALID bit in the MMFSR subregister, or the BFARVALID bit in the BFSR subregister. The SCB_MMFAR or SCB_BFAR address is valid only if this bit is 1. The software must follow this sequence because another higher priority exception might change the SCB_MMFAR or SCB_BFAR value. For example, if a higher priority handler preempts the current fault handler, the other fault might change the SCB_MMFAR or SCB_BFAR value. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 229 11.9.1 System Control Block (SCB) User Interface Table 11-33. System Control Block (SCB) Register Mapping Offset Register Name Access Reset 0xE000E008 Auxiliary Control Register SCB_ACTLR Read/Write 0x00000000 0xE000ED00 CPUID Base Register SCB_CPUID Read-only 0x410FC240 0xE000ED04 Interrupt Control and State Register SCB_ICSR Read/Write(1) 0x00000000 0xE000ED08 Vector Table Offset Register SCB_VTOR Read/Write 0x00000000 0xE000ED0C Application Interrupt and Reset Control Register SCB_AIRCR Read/Write 0xFA050000 0xE000ED10 System Control Register SCB_SCR Read/Write 0x00000000 0xE000ED14 Configuration and Control Register SCB_CCR Read/Write 0x00000200 0xE000ED18 System Handler Priority Register 1 SCB_SHPR1 Read/Write 0x00000000 0xE000ED1C System Handler Priority Register 2 SCB_SHPR2 Read/Write 0x00000000 0xE000ED20 System Handler Priority Register 3 SCB_SHPR3 Read/Write 0x00000000 0xE000ED24 System Handler Control and State Register SCB_SHCSR Read/Write 0x00000000 (2) Read/Write 0x00000000 0xE000ED28 Configurable Fault Status Register SCB_CFSR 0xE000ED2C HardFault Status Register SCB_HFSR Read/Write 0x00000000 0xE000ED34 MemManage Fault Address Register SCB_MMFAR Read/Write Unknown 0xE000ED38 BusFault Address Register SCB_BFAR Read/Write Unknown Notes: 230 1. See the register description for more information. 2. This register contains the subregisters: “MMFSR: Memory Management Fault Status Subregister” (0xE000ED28 - 8 bits), “BFSR: Bus Fault Status Subregister” (0xE000ED29 - 8 bits), “UFSR: Usage Fault Status Subregister” (0xE000ED2A - 16 bits). SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.9.1.1 Auxiliary Control Register Name: SCB_ACTLR Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 DISOOFP 8 DISFPCA 7 – 6 – 5 – 4 – 3 – 2 DISFOLD 1 DISDEFWBUF 0 DISMCYCINT The SCB_ACTLR provides disable bits for the following processor functions: • IT folding • Write buffer use for accesses to the default memory map • Interruption of multi-cycle instructions. By default, this register is set to provide optimum performance from the Cortex-M4 processor, and does not normally require modification. • DISOOFP: Disable Out Of Order Floating Point Disables floating point instructions that complete out of order with respect to integer instructions. • DISFPCA: Disable FPCA Disables an automatic update of CONTROL.FPCA. • DISFOLD: Disable Folding When set to 1, disables the IT folding. Note: In some situations, the processor can start executing the first instruction in an IT block while it is still executing the IT instruction. This behavior is called IT folding, and it improves the performance. However, IT folding can cause jitter in looping. If a task must avoid jitter, set the DISFOLD bit to 1 before executing the task, to disable the IT folding. • DISDEFWBUF: Disable Default Write Buffer When set to 1, it disables the write buffer use during default memory map accesses. This causes BusFault to be precise but decreases the performance, as any store to memory must complete before the processor can execute the next instruction. This bit only affects write buffers implemented in the Cortex-M4 processor. • DISMCYCINT: Disable Multiple Cycle Interruption When set to 1, it disables the interruption of load multiple and store multiple instructions. This increases the interrupt latency of the processor, as any LDM or STM must complete before the processor can stack the current state and enter the interrupt handler. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 231 11.9.1.2 CPUID Base Register Name: SCB_CPUID Access: Read/Write 31 30 29 28 27 26 19 18 25 24 17 16 9 8 1 0 Implementer 23 22 21 20 Variant 15 14 Constant 13 12 11 10 3 2 PartNo 7 6 5 4 PartNo Revision The SCB_CPUID register contains the processor part number, version, and implementation information. • Implementer: Implementer Code 0x41: ARM. • Variant: Variant Number It is the r value in the rnpn product revision identifier: 0x0: Revision 0. • Constant: Reads as 0xF Reads as 0xF. • PartNo: Part Number of the Processor 0xC24 = Cortex-M4. • Revision: Revision Number It is the p value in the rnpn product revision identifier: 0x0: Patch 0. 232 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.9.1.3 Interrupt Control and State Register Name: SCB_ICSR Access: Read/Write 31 NMIPENDSET 30 29 28 PENDSVSET 23 – 22 ISRPENDING 21 20 15 14 13 VECTPENDING 12 7 6 4 – 5 27 PENDSVCLR 26 PENDSTSET 19 18 VECTPENDING 25 PENDSTCLR 24 – 17 16 11 RETTOBASE 10 – 9 – 8 VECTACTIVE 3 2 1 0 VECTACTIVE The SCB_ICSR provides a set-pending bit for the Non-Maskable Interrupt (NMI) exception, and set-pending and clearpending bits for the PendSV and SysTick exceptions. It indicates: • The exception number of the exception being processed, and whether there are preempted active exceptions, • The exception number of the highest priority pending exception, and whether any interrupts are pending. • NMIPENDSET: NMI Set-pending Write: PendSV set-pending bit. Write: 0: No effect. 1: Changes NMI exception state to pending. Read: 0: NMI exception is not pending. 1: NMI exception is pending. As NMI is the highest-priority exception, the processor normally enters the NMI exception handler as soon as it registers a write of 1 to this bit. Entering the handler clears this bit to 0. A read of this bit by the NMI exception handler returns 1 only if the NMI signal is reasserted while the processor is executing that handler. • PENDSVSET: PendSV Set-pending Write: 0: No effect. 1: Changes PendSV exception state to pending. Read: 0: PendSV exception is not pending. 1: PendSV exception is pending. Writing a 1 to this bit is the only way to set the PendSV exception state to pending. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 233 • PENDSVCLR: PendSV Clear-pending Write: 0: No effect. 1: Removes the pending state from the PendSV exception. • PENDSTSET: SysTick Exception Set-pending Write: 0: No effect. 1: Changes SysTick exception state to pending. Read: 0: SysTick exception is not pending. 1: SysTick exception is pending. • PENDSTCLR: SysTick Exception Clear-pending Write: 0: No effect. 1: Removes the pending state from the SysTick exception. This bit is Write-only. On a register read, its value is Unknown. • ISRPENDING: Interrupt Pending Flag (Excluding NMI and Faults) 0: Interrupt not pending. 1: Interrupt pending. • VECTPENDING: Exception Number of the Highest Priority Pending Enabled Exception 0: No pending exceptions. Nonzero: The exception number of the highest priority pending enabled exception. The value indicated by this field includes the effect of the BASEPRI and FAULTMASK registers, but not any effect of the PRIMASK register. • RETTOBASE: Preempted Active Exceptions Present or Not 0: There are preempted active exceptions to execute. 1: There are no active exceptions, or the currently-executing exception is the only active exception. • VECTACTIVE: Active Exception Number Contained 0: Thread mode. Nonzero: The exception number of the currently active exception. The value is the same as IPSR bits [8:0]. See “Interrupt Program Status Register” . Subtract 16 from this value to obtain the IRQ number required to index into the Interrupt Clear-Enable, Set-Enable, ClearPending, Set-Pending, or Priority Registers, see “Interrupt Program Status Register” . Note: When the user writes to the SCB_ICSR, the effect is unpredictable if: - Writing a 1 to the PENDSVSET bit and writing a 1 to the PENDSVCLR bit - Writing a 1 to the PENDSTSET bit and writing a 1 to the PENDSTCLR bit. 234 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.9.1.4 Vector Table Offset Register Name: SCB_VTOR Access: Read/Write 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 – 2 – 1 – 0 – TBLOFF 23 22 21 20 TBLOFF 15 14 13 12 TBLOFF 7 TBLOFF 6 – 5 – 4 – The SCB_VTOR indicates the offset of the vector table base address from memory address 0x00000000. • TBLOFF: Vector Table Base Offset It contains bits [29:7] of the offset of the table base from the bottom of the memory map. Bit [29] determines whether the vector table is in the code or SRAM memory region: 0: Code. 1: SRAM. It is sometimes called the TBLBASE bit. Note: When setting TBLOFF, the offset must be aligned to the number of exception entries in the vector table. Configure the next statement to give the information required for your implementation; the statement reminds the user of how to determine the alignment requirement. The minimum alignment is 32 words, enough for up to 16 interrupts. For more interrupts, adjust the alignment by rounding up to the next power of two. For example, if 21 interrupts are required, the alignment must be on a 64-word boundary because the required table size is 37 words, and the next power of two is 64. Table alignment requirements mean that bits[6:0] of the table offset are always zero. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 235 11.9.1.5 Application Interrupt and Reset Control Register Name: SCB_AIRCR Access: Read/Write 31 30 29 28 27 VECTKEYSTAT/VECTKEY 26 25 24 23 22 21 20 19 VECTKEYSTAT/VECTKEY 18 17 16 15 ENDIANNESS 14 – 13 – 12 – 11 – 10 9 PRIGROUP 8 7 – 6 – 5 – 4 – 3 – 2 1 0 SYSRESETREQ VECTCLRACTIVE VECTRESET The SCB_AIRCR provides priority grouping control for the exception model, endian status for data accesses, and reset control of the system. To write to this register, write 0x5FA to the VECTKEY field, otherwise the processor ignores the write. • VECTKEYSTAT: Register Key (Read) Reads as 0xFA05. • VECTKEY: Register Key (Write) Writes 0x5FA to VECTKEY, otherwise the write is ignored. • ENDIANNESS: Data Endianness 0: Little-endian. 1: Big-endian. • PRIGROUP: Interrupt Priority Grouping This field determines the split of group priority from subpriority. It shows the position of the binary point that splits the PRI_n fields in the Interrupt Priority Registers into separate group priority and subpriority fields. The table below shows how the PRIGROUP value controls this split. Interrupt Priority Level Value, PRI_N[7:0] Number of PRIGROUP Binary Point (1) Group Priority Bits Subpriority Bits Group Priorities Subpriorities 0b000 bxxxxxxx.y [7:1] None 128 2 0b001 bxxxxxx.yy [7:2] [4:0] 64 4 0b010 bxxxxx.yyy [7:3] [4:0] 32 8 0b011 bxxxx.yyyy [7:4] [4:0] 16 16 0b100 bxxx.yyyyy [7:5] [4:0] 8 32 0b101 bxx.yyyyyy [7:6] [5:0] 4 64 0b110 bx.yyyyyyy [7] [6:0] 2 128 0b111 b.yyyyyyy None [7:0] 1 256 Note: 1. PRI_n[7:0] field showing the binary point. x denotes a group priority field bit, and y denotes a subpriority field bit. Determining preemption of an exception uses only the group priority field. 236 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 • SYSRESETREQ: System Reset Request 0: No system reset request. 1: Asserts a signal to the outer system that requests a reset. This is intended to force a large system reset of all major components except for debug. This bit reads as 0. • VECTCLRACTIVE: Reserved for Debug use This bit reads as 0. When writing to the register, write a 0 to this bit, otherwise the behavior is unpredictable. • VECTRESET: Reserved for Debug use This bit reads as 0. When writing to the register, write a 0 to this bit, otherwise the behavior is unpredictable. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 237 11.9.1.6 System Control Register Name: SCB_SCR Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 SEVONPEND 3 – 2 SLEEPDEEP 1 SLEEPONEXIT 0 – • SEVONPEND: Send Event on Pending Bit 0: Only enabled interrupts or events can wake up the processor; disabled interrupts are excluded. 1: Enabled events and all interrupts, including disabled interrupts, can wake up the processor. When an event or an interrupt enters the pending state, the event signal wakes up the processor from WFE. If the processor is not waiting for an event, the event is registered and affects the next WFE. The processor also wakes up on execution of an SEV instruction or an external event. • SLEEPDEEP: Sleep or Deep Sleep Controls whether the processor uses sleep or deep sleep as its low power mode: 0: Sleep. 1: Deep sleep. • SLEEPONEXIT: Sleep-on-exit Indicates sleep-on-exit when returning from the Handler mode to the Thread mode: 0: Do not sleep when returning to Thread mode. 1: Enter sleep, or deep sleep, on return from an ISR. Setting this bit to 1 enables an interrupt-driven application to avoid returning to an empty main application. 238 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.9.1.7 Configuration and Control Register Name: SCB_CCR Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 STKALIGN 8 BFHFNMIGN 7 6 5 4 3 2 1 0 – – – DIV_0_TRP UNALIGN_TRP – NONBASETHRDE USERSETMPEND NA The SCB_CCR controls the entry to the Thread mode and enables the handlers for NMI, hard fault and faults escalated by FAULTMASK to ignore BusFaults. It also enables the division by zero and unaligned access trapping, and the access to the NVIC_STIR by unprivileged software (see “Software Trigger Interrupt Register” ). • STKALIGN: Stack Alignment Indicates the stack alignment on exception entry: 0: 4-byte aligned. 1: 8-byte aligned. On exception entry, the processor uses bit [9] of the stacked PSR to indicate the stack alignment. On return from the exception, it uses this stacked bit to restore the correct stack alignment. • BFHFNMIGN: Bus Faults Ignored Enables handlers with priority -1 or -2 to ignore data bus faults caused by load and store instructions. This applies to the hard fault and FAULTMASK escalated handlers: 0: Data bus faults caused by load and store instructions cause a lock-up. 1: Handlers running at priority -1 and -2 ignore data bus faults caused by load and store instructions. Set this bit to 1 only when the handler and its data are in absolutely safe memory. The normal use of this bit is to probe system devices and bridges to detect control path problems and fix them. • DIV_0_TRP: Division by Zero Trap Enables faulting or halting when the processor executes an SDIV or UDIV instruction with a divisor of 0: 0: Do not trap divide by 0. 1: Trap divide by 0. When this bit is set to 0, a divide by zero returns a quotient of 0. • UNALIGN_TRP: Unaligned Access Trap Enables unaligned access traps: 0: Do not trap unaligned halfword and word accesses. 1: Trap unaligned halfword and word accesses. If this bit is set to 1, an unaligned access generates a usage fault. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 239 Unaligned LDM, STM, LDRD, and STRD instructions always fault irrespective of whether UNALIGN_TRP is set to 1. • USERSETMPEND: Unprivileged Software Access Enables unprivileged software access to the NVIC_STIR, see “Software Trigger Interrupt Register” : 0: Disable. 1: Enable. • NONBASETHRDENA: Thread Mode Enable Indicates how the processor enters Thread mode: 0: The processor can enter the Thread mode only when no exception is active. 1: The processor can enter the Thread mode from any level under the control of an EXC_RETURN value, see “Exception Return” . 240 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.9.1.8 System Handler Priority Registers The SCB_SHPR1–SCB_SHPR3 registers set the priority level, 0 to 15 of the exception handlers that have configurable priority. They are byte-accessible. The system fault handlers and the priority field and register for each handler are: Table 11-34. System Fault Handler Priority Fields Handler Field Memory management fault (MemManage) PRI_4 Bus fault (BusFault) PRI_5 Usage fault (UsageFault) PRI_6 SVCall PRI_11 PendSV PRI_14 SysTick PRI_15 Register Description System Handler Priority Register 1 System Handler Priority Register 2 System Handler Priority Register 3 Each PRI_N field is 8 bits wide, but the processor implements only bits [7:4] of each field, and bits [3:0] read as zero and ignore writes. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 241 11.9.1.9 System Handler Priority Register 1 Name: SCB_SHPR1 Access: Read/Write 31 – 30 – 29 – 28 – 23 22 21 20 27 – 26 – 25 – 24 – 19 18 17 16 11 10 9 8 3 2 1 0 PRI_6 15 14 13 12 PRI_5 7 6 5 4 PRI_4 • PRI_6: Priority Priority of system handler 6, UsageFault. • PRI_5: Priority Priority of system handler 5, BusFault. • PRI_4: Priority Priority of system handler 4, MemManage. 242 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.9.1.10 System Handler Priority Register 2 Name: SCB_SHPR2 Access: Read/Write 31 30 29 28 27 26 25 24 PRI_11 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 – 2 – 1 – 0 – • PRI_11: Priority Priority of system handler 11, SVCall. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 243 11.9.1.11 System Handler Priority Register 3 Name: SCB_SHPR3 Access: Read/Write 31 30 29 28 27 26 25 24 19 18 17 16 PRI_15 23 22 21 20 PRI_14 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 – 2 – 1 – 0 – • PRI_15: Priority Priority of system handler 15, SysTick exception. • PRI_14: Priority Priority of system handler 14, PendSV. 244 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.9.1.12 System Handler Control and State Register Name: SCB_SHCSR Access: Read/Write 31 – 30 – 29 – 28 – 27 – 23 – 22 – 21 – 20 – 19 – 15 14 13 12 11 SVCALLPENDED 7 SVCALLACT 26 – 5 – 4 – 24 – 18 17 16 USGFAULTENA BUSFAULTENA MEMFAULTENA BUSFAULTPEND MEMFAULTPEND USGFAULTPEND SYSTICKACT ED ED ED 6 – 25 – 3 USGFAULTACT 10 9 8 PENDSVACT – MONITORACT 2 – 1 0 BUSFAULTACT MEMFAULTACT The SHCSR enables the system handlers, and indicates the pending status of the bus fault, memory management fault, and SVC exceptions; it also indicates the active status of the system handlers. • USGFAULTENA: Usage Fault Enable 0: Disables the exception. 1: Enables the exception. • BUSFAULTENA: Bus Fault Enable 0: Disables the exception. 1: Enables the exception. • MEMFAULTENA: Memory Management Fault Enable 0: Disables the exception. 1: Enables the exception. • SVCALLPENDED: SVC Call Pending Read: 0: The exception is not pending. 1: The exception is pending. Note: The user can write to these bits to change the pending status of the exceptions. • BUSFAULTPENDED: Bus Fault Exception Pending Read: 0: The exception is not pending. 1: The exception is pending. Note: The user can write to these bits to change the pending status of the exceptions. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 245 • MEMFAULTPENDED: Memory Management Fault Exception Pending Read: 0: The exception is not pending. 1: The exception is pending. Note: The user can write to these bits to change the pending status of the exceptions. • USGFAULTPENDED: Usage Fault Exception Pending Read: 0: The exception is not pending. 1: The exception is pending. Note: The user can write to these bits to change the pending status of the exceptions. • SYSTICKACT: SysTick Exception Active Read: 0: The exception is not active. 1: The exception is active. Note: The user can write to these bits to change the active status of the exceptions. - Caution: A software that changes the value of an active bit in this register without a correct adjustment to the stacked content can cause the processor to generate a fault exception. Ensure that the software writing to this register retains and subsequently restores the current active status. - Caution: After enabling the system handlers, to change the value of a bit in this register, the user must use a read-modify-write procedure to ensure that only the required bit is changed. • PENDSVACT: PendSV Exception Active 0: The exception is not active. 1: The exception is active. • MONITORACT: Debug Monitor Active 0: Debug monitor is not active. 1: Debug monitor is active. • SVCALLACT: SVC Call Active 0: SVC call is not active. 1: SVC call is active. • USGFAULTACT: Usage Fault Exception Active 0: Usage fault exception is not active. 1: Usage fault exception is active. • BUSFAULTACT: Bus Fault Exception Active 0: Bus fault exception is not active. 1: Bus fault exception is active. • MEMFAULTACT: Memory Management Fault Exception Active 0: Memory management fault exception is not active. 1: Memory management fault exception is active. 246 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 If the user disables a system handler and the corresponding fault occurs, the processor treats the fault as a hard fault. The user can write to this register to change the pending or active status of system exceptions. An OS kernel can write to the active bits to perform a context switch that changes the current exception type. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 247 11.9.1.13 Configurable Fault Status Register Name: SCB_CFSR Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 DIVBYZERO 24 UNALIGNED 23 – 22 – 21 – 20 – 19 NOCP 18 INVPC 17 INVSTATE 16 UNDEFINSTR 15 BFARVALID 14 – 13 LSPERR 12 STKERR 11 UNSTKERR 10 IMPRECISERR 9 PRECISERR 8 IBUSERR 7 MMARVALID 6 – 4 MSTKERR 3 MUNSTKERR 2 – 1 DACCVIOL 0 IACCVIOL 5 MLSPERR • IACCVIOL: Instruction Access Violation Flag This is part of “MMFSR: Memory Management Fault Status Subregister” . 0: No instruction access violation fault. 1: The processor attempted an instruction fetch from a location that does not permit execution. This fault occurs on any access to an XN region, even when the MPU is disabled or not present. When this bit is 1, the PC value stacked for the exception return points to the faulting instruction. The processor has not written a fault address to the SCB_MMFAR. • DACCVIOL: Data Access Violation Flag This is part of “MMFSR: Memory Management Fault Status Subregister” . 0: No data access violation fault. 1: The processor attempted a load or store at a location that does not permit the operation. When this bit is 1, the PC value stacked for the exception return points to the faulting instruction. The processor has loaded the SCB_MMFAR with the address of the attempted access. • MUNSTKERR: Memory Manager Fault on Unstacking for a Return From Exception This is part of “MMFSR: Memory Management Fault Status Subregister” . 0: No unstacking fault. 1: Unstack for an exception return has caused one or more access violations. This fault is chained to the handler. This means that when this bit is 1, the original return stack is still present. The processor has not adjusted the SP from the failing return, and has not performed a new save. The processor has not written a fault address to the SCB_MMFAR. • MSTKERR: Memory Manager Fault on Stacking for Exception Entry This is part of “MMFSR: Memory Management Fault Status Subregister” . 0: No stacking fault. 1: Stacking for an exception entry has caused one or more access violations. When this bit is 1, the SP is still adjusted but the values in the context area on the stack might be incorrect. The processor has not written a fault address to SCB_MMFAR. • MLSPERR: MemManage During Lazy State Preservation 248 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 This is part of “MMFSR: Memory Management Fault Status Subregister” . 0: No MemManage fault occurred during the floating-point lazy state preservation. 1: A MemManage fault occurred during the floating-point lazy state preservation. • MMARVALID: Memory Management Fault Address Register (SCB_MMFAR) Valid Flag This is part of “MMFSR: Memory Management Fault Status Subregister” . 0: The value in SCB_MMFAR is not a valid fault address. 1: SCB_MMFAR holds a valid fault address. If a memory management fault occurs and is escalated to a hard fault because of priority, the hard fault handler must set this bit to 0. This prevents problems on return to a stacked active memory management fault handler whose SCB_MMFAR value has been overwritten. • IBUSERR: Instruction Bus Error This is part of “BFSR: Bus Fault Status Subregister” . 0: No instruction bus error. 1: Instruction bus error. The processor detects the instruction bus error on prefetching an instruction, but it sets the IBUSERR flag to 1 only if it attempts to issue the faulting instruction. When the processor sets this bit to 1, it does not write a fault address to the BFAR. • PRECISERR: Precise Data Bus Error This is part of “BFSR: Bus Fault Status Subregister” . 0: No precise data bus error. 1: A data bus error has occurred, and the PC value stacked for the exception return points to the instruction that caused the fault. When the processor sets this bit to 1, it writes the faulting address to the SCB_BFAR. • IMPRECISERR: Imprecise Data Bus Error This is part of “BFSR: Bus Fault Status Subregister” . 0: No imprecise data bus error. 1: A data bus error has occurred, but the return address in the stack frame is not related to the instruction that caused the error. When the processor sets this bit to 1, it does not write a fault address to the SCB_BFAR. This is an asynchronous fault. Therefore, if it is detected when the priority of the current process is higher than the bus fault priority, the bus fault becomes pending and becomes active only when the processor returns from all higher priority processes. If a precise fault occurs before the processor enters the handler for the imprecise bus fault, the handler detects that both this bit and one of the precise fault status bits are set to 1. • UNSTKERR: Bus Fault on Unstacking for a Return From Exception This is part of “BFSR: Bus Fault Status Subregister” . 0: No unstacking fault. 1: Unstack for an exception return has caused one or more bus faults. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 249 This fault is chained to the handler. This means that when the processor sets this bit to 1, the original return stack is still present. The processor does not adjust the SP from the failing return, does not performed a new save, and does not write a fault address to the BFAR. • STKERR: Bus Fault on Stacking for Exception Entry This is part of “BFSR: Bus Fault Status Subregister” . 0: No stacking fault. 1: Stacking for an exception entry has caused one or more bus faults. When the processor sets this bit to 1, the SP is still adjusted but the values in the context area on the stack might be incorrect. The processor does not write a fault address to the SCB_BFAR. • LSPERR: Bus Error During Lazy Floating-point State Preservation This is part of “BFSR: Bus Fault Status Subregister” . 0: No bus fault occurred during floating-point lazy state preservation 1: A bus fault occurred during floating-point lazy state preservation. • BFARVALID: Bus Fault Address Register (BFAR) Valid flag This is part of “BFSR: Bus Fault Status Subregister” . 0: The value in SCB_BFAR is not a valid fault address. 1: SCB_BFAR holds a valid fault address. The processor sets this bit to 1 after a bus fault where the address is known. Other faults can set this bit to 0, such as a memory management fault occurring later. If a bus fault occurs and is escalated to a hard fault because of priority, the hard fault handler must set this bit to 0. This prevents problems if returning to a stacked active bus fault handler whose SCB_BFAR value has been overwritten. • UNDEFINSTR: Undefined Instruction Usage Fault This is part of “UFSR: Usage Fault Status Subregister” . 0: No undefined instruction usage fault. 1: The processor has attempted to execute an undefined instruction. When this bit is set to 1, the PC value stacked for the exception return points to the undefined instruction. An undefined instruction is an instruction that the processor cannot decode. • INVSTATE: Invalid State Usage Fault This is part of “UFSR: Usage Fault Status Subregister” . 0: No invalid state usage fault. 1: The processor has attempted to execute an instruction that makes illegal use of the EPSR. When this bit is set to 1, the PC value stacked for the exception return points to the instruction that attempted the illegal use of the EPSR. This bit is not set to 1 if an undefined instruction uses the EPSR. 250 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 • INVPC: Invalid PC Load Usage Fault This is part of “UFSR: Usage Fault Status Subregister” . It is caused by an invalid PC load by EXC_RETURN: 0: No invalid PC load usage fault. 1: The processor has attempted an illegal load of EXC_RETURN to the PC, as a result of an invalid context, or an invalid EXC_RETURN value. When this bit is set to 1, the PC value stacked for the exception return points to the instruction that tried to perform the illegal load of the PC. • NOCP: No Coprocessor Usage Fault This is part of “UFSR: Usage Fault Status Subregister” . The processor does not support coprocessor instructions: 0: No usage fault caused by attempting to access a coprocessor. 1: The processor has attempted to access a coprocessor. • UNALIGNED: Unaligned Access Usage Fault This is part of “UFSR: Usage Fault Status Subregister” . 0: No unaligned access fault, or unaligned access trapping not enabled. 1: The processor has made an unaligned memory access. Enable trapping of unaligned accesses by setting the UNALIGN_TRP bit in the SCB_CCR to 1. See “Configuration and Control Register” . Unaligned LDM, STM, LDRD, and STRD instructions always fault irrespective of the setting of UNALIGN_TRP. • DIVBYZERO: Divide by Zero Usage Fault This is part of “UFSR: Usage Fault Status Subregister” . 0: No divide by zero fault, or divide by zero trapping not enabled. 1: The processor has executed an SDIV or UDIV instruction with a divisor of 0. When the processor sets this bit to 1, the PC value stacked for the exception return points to the instruction that performed the divide by zero. Enable trapping of divide by zero by setting the DIV_0_TRP bit in the SCB_CCR to 1. See “Configuration and Control Register” . SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 251 11.9.1.14 Configurable Fault Status Register (Byte Access) Name: SCB_CFSR (BYTE) Access: Read/Write 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 UFSR 23 22 21 20 UFSR 15 14 13 12 BFSR 7 6 5 4 MMFSR • MMFSR: Memory Management Fault Status Subregister The flags in the MMFSR subregister indicate the cause of memory access faults. See bitfield [7..0] description in Section 11.9.1.13. • BFSR: Bus Fault Status Subregister The flags in the BFSR subregister indicate the cause of a bus access fault. See bitfield [14..8] description in Section 11.9.1.13. • UFSR: Usage Fault Status Subregister The flags in the UFSR subregister indicate the cause of a usage fault. See bitfield [31..15] description in Section 11.9.1.13. Note: The UFSR bits are sticky. This means that as one or more fault occurs, the associated bits are set to 1. A bit that is set to 1 is cleared to 0 only by wrting a 1 to that bit, or by a reset. The SCB_CFSR indicates the cause of a memory management fault, bus fault, or usage fault. It is byte accessible. The user can access the SCB_CFSR or its subregisters as follows: • Access complete SCB_CFSR with a word access to 0xE000ED28 • Access MMFSR with a byte access to 0xE000ED28 • Access MMFSR and BFSR with a halfword access to 0xE000ED28 • Access BFSR with a byte access to 0xE000ED29 • Access UFSR with a halfword access to 0xE000ED2A. 252 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.9.1.15 Hard Fault Status Register Name: SCB_HFSR Access: Read/Write 31 DEBUGEVT 30 FORCED 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 – 2 – 1 VECTTBL 0 – The SCB_HFSR gives information about events that activate the hard fault handler. This register is read, write to clear. This means that bits in the register read normally, but wrting a 1 to any bit clears that bit to 0. • DEBUGEVT: Reserved for Debug Use When writing to the register, write a 0 to this bit, otherwise the behavior is unpredictable. • FORCED: Forced Hard Fault It indicates a forced hard fault, generated by escalation of a fault with configurable priority that cannot be handles, either because of priority or because it is disabled: 0: No forced hard fault. 1: Forced hard fault. When this bit is set to 1, the hard fault handler must read the other fault status registers to find the cause of the fault. • VECTTBL: Bus Fault on a Vector Table It indicates a bus fault on a vector table read during an exception processing: 0: No bus fault on vector table read. 1: Bus fault on vector table read. This error is always handled by the hard fault handler. When this bit is set to 1, the PC value stacked for the exception return points to the instruction that was preempted by the exception. Note: The HFSR bits are sticky. This means that, as one or more fault occurs, the associated bits are set to 1. A bit that is set to 1 is cleared to 0 only by wrting a 1 to that bit, or by a reset. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 253 11.9.1.16 MemManage Fault Address Register Name: SCB_MMFAR Access: Read/Write 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 ADDRESS 23 22 21 20 ADDRESS 15 14 13 12 ADDRESS 7 6 5 4 ADDRESS The SCB_MMFAR contains the address of the location that generated a memory management fault. • ADDRESS: Memory Management Fault Generation Location Address When the MMARVALID bit of the MMFSR subregister is set to 1, this field holds the address of the location that generated the memory management fault. Notes: 254 1. When an unaligned access faults, the address is the actual address that faulted. Because a single read or write instruction can be split into multiple aligned accesses, the fault address can be any address in the range of the requested access size. 2. Flags in the MMFSR subregister indicate the cause of the fault, and whether the value in the SCB_MMFAR is valid. See “MMFSR: Memory Management Fault Status Subregister” . SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.9.1.17 Bus Fault Address Register Name: SCB_BFAR Access: Read/Write 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 ADDRESS 23 22 21 20 ADDRESS 15 14 13 12 ADDRESS 7 6 5 4 ADDRESS The SCB_BFAR contains the address of the location that generated a bus fault. • ADDRESS: Bus Fault Generation Location Address When the BFARVALID bit of the BFSR subregister is set to 1, this field holds the address of the location that generated the bus fault. Notes: 1. When an unaligned access faults, the address in the SCB_BFAR is the one requested by the instruction, even if it is not the address of the fault. 2. Flags in the BFSR indicate the cause of the fault, and whether the value in the SCB_BFAR is valid. See “BFSR: Bus Fault Status Subregister” . SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 255 11.10 System Timer (SysTick) The processor has a 24-bit system timer, SysTick, that counts down from the reload value to zero, reloads (wraps to) the value in the SYST_RVR on the next clock edge, then counts down on subsequent clocks. When the processor is halted for debugging, the counter does not decrement. The SysTick counter runs on the processor clock. If this clock signal is stopped for low power mode, the SysTick counter stops. Ensure that the software uses aligned word accesses to access the SysTick registers. The SysTick counter reload and current value are undefined at reset; the correct initialization sequence for the SysTick counter is: 1. Program the reload value. 256 2. Clear the current value. 3. Program the Control and Status register. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.10.1 System Timer (SysTick) User Interface Table 11-35. System Timer (SYST) Register Mapping Offset Register Name Access Reset 0xE000E010 SysTick Control and Status Register SYST_CSR Read/Write 0x00000000 0xE000E014 SysTick Reload Value Register SYST_RVR Read/Write Unknown 0xE000E018 SysTick Current Value Register SYST_CVR Read/Write Unknown 0xE000E01C SysTick Calibration Value Register SYST_CALIB Read-only 0x00003A98 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 257 11.10.1.1 SysTick Control and Status Register Name: SYST_CSR Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 COUNTFLAG 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 – 2 CLKSOURCE 1 TICKINT 0 ENABLE The SysTick SYST_CSR enables the SysTick features. • COUNTFLAG: Count Flag Returns 1 if the timer counted to 0 since the last time this was read. • CLKSOURCE: Clock Source Indicates the clock source: 0: External Clock. 1: Processor Clock. • TICKINT: SysTick Exception Request Enable Enables a SysTick exception request: 0: Counting down to zero does not assert the SysTick exception request. 1: Counting down to zero asserts the SysTick exception request. The software can use COUNTFLAG to determine if SysTick has ever counted to zero. • ENABLE: Counter Enable Enables the counter: 0: Counter disabled. 1: Counter enabled. When ENABLE is set to 1, the counter loads the RELOAD value from the SYST_RVR and then counts down. On reaching 0, it sets the COUNTFLAG to 1 and optionally asserts the SysTick depending on the value of TICKINT. It then loads the RELOAD value again, and begins counting. 258 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.10.1.2 SysTick Reload Value Registers Name: SYST_RVR Access: Read/Write 31 – 30 – 29 – 28 – 23 22 21 20 27 – 26 – 25 – 24 – 19 18 17 16 11 10 9 8 3 2 1 0 RELOAD 15 14 13 12 RELOAD 7 6 5 4 RELOAD The SYST_RVR specifies the start value to load into the SYST_CVR. • RELOAD: SYST_CVR Load Value Value to load into the SYST_CVR when the counter is enabled and when it reaches 0. The RELOAD value can be any value in the range 0x00000001–0x00FFFFFF. A start value of 0 is possible, but has no effect because the SysTick exception request and COUNTFLAG are activated when counting from 1 to 0. The RELOAD value is calculated according to its use: For example, to generate a multi-shot timer with a period of N processor clock cycles, use a RELOAD value of N-1. If the SysTick interrupt is required every 100 clock pulses, set RELOAD to 99. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 259 11.10.1.3 SysTick Current Value Register Name: SYST_CVR Access: Read/Write 31 – 30 – 29 – 28 – 23 22 21 20 27 – 26 – 25 – 24 – 19 18 17 16 11 10 9 8 3 2 1 0 CURRENT 15 14 13 12 CURRENT 7 6 5 4 CURRENT The SysTick SYST_CVR contains the current value of the SysTick counter. • CURRENT: SysTick Counter Current Value Reads return the current value of the SysTick counter. A write of any value clears the field to 0, and also clears the SYST_CSR.COUNTFLAG bit to 0. 260 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.10.1.4 SysTick Calibration Value Register Name: SYST_CALIB Access: Read/Write 31 NOREF 30 SKEW 29 – 28 – 23 22 21 20 27 – 26 – 25 – 24 – 19 18 17 16 11 10 9 8 3 2 1 0 TENMS 15 14 13 12 TENMS 7 6 5 4 TENMS The SysTick SYST_CSR indicates the SysTick calibration properties. • NOREF: No Reference Clock It indicates whether the device provides a reference clock to the processor: 0: Reference clock provided. 1: No reference clock provided. If your device does not provide a reference clock, the SYST_CSR.CLKSOURCE bit reads-as-one and ignores writes. • SKEW: TENMS Value Verification It indicates whether the TENMS value is exact: 0: TENMS value is exact. 1: TENMS value is inexact, or not given. An inexact TENMS value can affect the suitability of SysTick as a software real time clock. • TENMS: Ten Milliseconds The reload value for 10 ms (100 Hz) timing is subject to system clock skew errors. If the value reads as zero, the calibration value is not known. The TENMS field default value is 0x00003A98 (15000 decimal). In order to achieve a 1 ms timebase on SystTick, the TENMS field must be programmed to a value corresponding to the processor clock frequency (in kHz) divided by 8. For example, for devices running the processor clock at 48 MHz, the TENMS field value must be 0x0001770 (48000 kHz/8). SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 261 11.11 Memory Protection Unit (MPU) The MPU divides the memory map into a number of regions, and defines the location, size, access permissions, and memory attributes of each region. It supports:  Independent attribute settings for each region  Overlapping regions  Export of memory attributes to the system. The memory attributes affect the behavior of memory accesses to the region. The Cortex-M4 MPU defines:  Eight separate memory regions, 0–7  A background region. When memory regions overlap, a memory access is affected by the attributes of the region with the highest number. For example, the attributes for region 7 take precedence over the attributes of any region that overlaps region 7. The background region has the same memory access attributes as the default memory map, but is accessible from privileged software only. The Cortex-M4 MPU memory map is unified. This means that instruction accesses and data accesses have the same region settings. If a program accesses a memory location that is prohibited by the MPU, the processor generates a memory management fault. This causes a fault exception, and might cause the termination of the process in an OS environment. In an OS environment, the kernel can update the MPU region setting dynamically based on the process to be executed. Typically, an embedded OS uses the MPU for memory protection. The configuration of MPU regions is based on memory types (see “Memory Regions, Types and Attributes” ). Table 11-36 shows the possible MPU region attributes. These include Share ability and cache behavior attributes that are not relevant to most microcontroller implementations. See “MPU Configuration for a Microcontroller” for guidelines for programming such an implementation. Table 11-36. Memory Attributes Summary Memory Type Shareability Other Attributes Description Strongly-ordered – – All accesses to Strongly-ordered memory occur in program order. All Strongly-ordered regions are assumed to be shared. Shared – Memory-mapped peripherals that several processors share. Non-shared – Memory-mapped peripherals that only a single processor uses. Shared Non-cacheable Writethrough Cacheable Write-back Cacheable Normal memory that is shared between several processors. Non-shared Non-cacheable Writethrough Cacheable Write-back Cacheable Normal memory that only a single processor uses. Device Normal 11.11.1 MPU Access Permission Attributes This section describes the MPU access permission attributes. The access permission bits (TEX, C, B, S, AP, and XN) of the MPU_RASR control the access to the corresponding memory region. If an access is made to an area of memory without the required permissions, then the MPU generates a permission fault. 262 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 The table below shows the encodings for the TEX, C, B, and S access permission bits. Table 11-37. TEX C 0 TEX, C, B, and S Encoding B 0 1 S Memory Type Shareability Other Attributes x (1) Strongly-ordered Shareable – x (1) Device Shareable – Normal Not shareable 0 0 b000 1 Outer and inner write-through. No write allocate. Shareable 1 Not shareable 0 1 0 Normal 1 Shareable 0 Not shareable 0 Normal 1 x (1) Reserved encoding – 0 x (1) Implementation defined attributes. – 1 Not shareable 0 1 Normal 1 Not shareable 0 x (1) Device 1 x (1) Reserved encoding – (1) Reserved encoding – x (1) x 0 b1BB A A Normal 1 Note: 1. Outer and inner write-back. Write and read allocate. Shareable 0 1 Outer and inner noncacheable. Shareable 1 b001 b010 Outer and inner write-back. No write allocate. Not shareable Nonshared Device. Cached memory BB = outer policy, AA = inner policy. Shareable The MPU ignores the value of this bit. Table 11-38 shows the cache policy for memory attribute encodings with a TEX value is in the range 4–7. Table 11-38. Cache Policy for Memory Attribute Encoding Encoding, AA or BB Corresponding Cache Policy 00 Non-cacheable 01 Write back, write and read allocate 10 Write through, no write allocate 11 Write back, no write allocate SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 263 Table 11-39 shows the AP encodings that define the access permissions for privileged and unprivileged software. Table 11-39. 11.11.1.1 AP Encoding AP[2:0] Privileged Permissions Unprivileged Permissions Description 000 No access No access All accesses generate a permission fault 001 RW No access Access from privileged software only 010 RW RO Writes by unprivileged software generate a permission fault 011 RW RW Full access 100 Unpredictable Unpredictable Reserved 101 RO No access Reads by privileged software only 110 RO RO Read only, by privileged or unprivileged software 111 RO RO Read only, by privileged or unprivileged software MPU Mismatch When an access violates the MPU permissions, the processor generates a memory management fault, see “Exceptions and Interrupts” . The MMFSR indicates the cause of the fault. See “MMFSR: Memory Management Fault Status Subregister” for more information. 11.11.1.2 Updating an MPU Region To update the attributes for an MPU region, update the MPU_RNR, MPU_RBAR and MPU_RASRs. Each register can be programed separately, or a multiple-word write can be used to program all of these registers. MPU_RBAR and MPU_RASR aliases can be used to program up to four regions simultaneously using an STM instruction. 11.11.1.3 Updating an MPU Region Using Separate Words Simple code to configure one region: ; R1 = region number ; R2 = size/enable ; R3 = attributes ; R4 = address LDR R0,=MPU_RNR STR R1, [R0, #0x0] STR R4, [R0, #0x4] STRH R2, [R0, #0x8] STRH R3, [R0, #0xA] ; ; ; ; ; 0xE000ED98, MPU region number register Region Number Region Base Address Region Size and Enable Region Attribute Disable a region before writing new region settings to the MPU, if the region being changed was previously enabled. For example: ; R1 = region number ; R2 = size/enable ; R3 = attributes ; R4 = address LDR R0,=MPU_RNR ; 0xE000ED98, MPU region number register STR R1, [R0, #0x0] ; Region Number BIC R2, R2, #1 ; Disable STRH R2, [R0, #0x8] ; Region Size and Enable STR R4, [R0, #0x4] ; Region Base Address STRH R3, [R0, #0xA] ; Region Attribute ORR R2, #1 ; Enable 264 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 STRH R2, [R0, #0x8] ; Region Size and Enable The software must use memory barrier instructions:  Before the MPU setup, if there might be outstanding memory transfers, such as buffered writes, that might be affected by the change in MPU settings  After the MPU setup, if it includes memory transfers that must use the new MPU settings. However, memory barrier instructions are not required if the MPU setup process starts by entering an exception handler, or is followed by an exception return, because the exception entry and exception return mechanisms cause memory barrier behavior. The software does not need any memory barrier instructions during an MPU setup, because it accesses the MPU through the PPB, which is a Strongly-Ordered memory region. For example, if the user wants all of the memory access behavior to take effect immediately after the programming sequence, a DSB instruction and an ISB instruction must be used. A DSB is required after changing MPU settings, such as at the end of a context switch. An ISB is required if the code that programs the MPU region or regions is entered using a branch or call. If the programming sequence is entered using a return from exception, or by taking an exception, then an ISB is not required. 11.11.1.4 Updating an MPU Region Using Multi-word Writes The user can program directly using multi-word writes, depending on how the information is divided. Consider the following reprogramming: ; R1 = region number ; R2 = address ; R3 = size, attributes in one LDR R0, =MPU_RNR ; 0xE000ED98, MPU region number register STR R1, [R0, #0x0] ; Region Number STR R2, [R0, #0x4] ; Region Base Address STR R3, [R0, #0x8] ; Region Attribute, Size and Enable Use an STM instruction to optimize this: ; R1 = region number ; R2 = address ; R3 = size, attributes in one LDR R0, =MPU_RNR ; 0xE000ED98, MPU region number register STM R0, {R1-R3} ; Region Number, address, attribute, size and enable This can be done in two words for pre-packed information. This means that the MPU_RBAR contains the required region number and had the VALID bit set to 1. See “MPU Region Base Address Register” . Use this when the data is statically packed, for example in a boot loader: ; R1 = address and region number in one ; R2 = size and attributes in one LDR R0, =MPU_RBAR ; 0xE000ED9C, MPU Region Base register STR R1, [R0, #0x0] ; Region base address and ; region number combined with VALID (bit 4) set to 1 STR R2, [R0, #0x4] ; Region Attribute, Size and Enable Use an STM instruction to optimize this: ; R1 = address and region number in one ; R2 = size and attributes in one LDR R0,=MPU_RBAR ; 0xE000ED9C, MPU Region Base register SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 265 STM R0, {R1-R2} 11.11.1.5 ; Region base address, region number and VALID bit, ; and Region Attribute, Size and Enable Subregions Regions of 256 bytes or more are divided into eight equal-sized subregions. Set the corresponding bit in the SRD field of the MPU_RASR field to disable a subregion. See “MPU Region Attribute and Size Register” . The least significant bit of SRD controls the first subregion, and the most significant bit controls the last subregion. Disabling a subregion means another region overlapping the disabled range matches instead. If no other enabled region overlaps the disabled subregion, the MPU issues a fault. Regions of 32, 64, and 128 bytes do not support subregions. With regions of these sizes, the SRD field must be set to 0x00, otherwise the MPU behavior is unpredictable. 11.11.1.6 Example of SRD Use Two regions with the same base address overlap. Region 1 is 128 KB, and region 2 is 512 KB. To ensure the attributes from region 1 apply to the first 128 KB region, set the SRD field for region 2 to b00000011 to disable the first two subregions, as in Figure 11-13 below: Figure 11-13. SRD Use Region 2, with subregions Region 1 Base address of both regions 11.11.1.7 Offset from base address 512KB 448KB 384KB 320KB 256KB 192KB 128KB Disabled subregion 64KB Disabled subregion 0 MPU Design Hints And Tips To avoid unexpected behavior, disable the interrupts before updating the attributes of a region that the interrupt handlers might access. Ensure the software uses aligned accesses of the correct size to access MPU registers:  Except for the MPU_RASR, it must use aligned word accesses  For the MPU_RASR, it can use byte or aligned halfword or word accesses. The processor does not support unaligned accesses to MPU registers. When setting up the MPU, and if the MPU has previously been programmed, disable unused regions to prevent any previous region settings from affecting the new MPU setup. MPU Configuration for a Microcontroller Usually, a microcontroller system has only a single processor and no caches. In such a system, program the MPU as follows: Table 11-40. 266 Memory Region Attributes for a Microcontroller Memory Region TEX C B S Memory Type and Attributes Flash memory b000 1 0 0 Normal memory, non-shareable, write-through Internal SRAM b000 1 0 1 Normal memory, shareable, write-through External SRAM b000 1 1 1 Normal memory, shareable, write-back, write-allocate Peripherals b000 0 1 1 Device memory, shareable SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 In most microcontroller implementations, the shareability and cache policy attributes do not affect the system behavior. However, using these settings for the MPU regions can make the application code more portable. The values given are for typical situations. In special systems, such as multiprocessor designs or designs with a separate DMA engine, the shareability attribute might be important. In these cases, refer to the recommendations of the memory device manufacturer. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 267 11.11.2 Memory Protection Unit (MPU) User Interface Table 11-41. Memory Protection Unit (MPU) Register Mapping Offset Register Name Access Reset 0xE000ED90 MPU Type Register MPU_TYPE Read-only 0x00000800 0xE000ED94 MPU Control Register MPU_CTRL Read/Write 0x00000000 0xE000ED98 MPU Region Number Register MPU_RNR Read/Write 0x00000000 0xE000ED9C MPU Region Base Address Register MPU_RBAR Read/Write 0x00000000 0xE000EDA0 MPU Region Attribute and Size Register MPU_RASR Read/Write 0x00000000 0xE000EDA4 MPU Region Base Address Register Alias 1 MPU_RBAR_A1 Read/Write 0x00000000 0xE000EDA8 MPU Region Attribute and Size Register Alias 1 MPU_RASR_A1 Read/Write 0x00000000 0xE000EDAC MPU Region Base Address Register Alias 2 MPU_RBAR_A2 Read/Write 0x00000000 0xE000EDB0 MPU Region Attribute and Size Register Alias 2 MPU_RASR_A2 Read/Write 0x00000000 0xE000EDB4 MPU Region Base Address Register Alias 3 MPU_RBAR_A3 Read/Write 0x00000000 0xE000EDB8 MPU Region Attribute and Size Register Alias 3 MPU_RASR_A3 Read/Write 0x00000000 268 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.11.2.1 MPU Type Register Name: MPU_TYPE Access: Read/Write 31 – 30 – 29 – 28 – 23 22 21 20 27 – 26 – 25 – 24 – 19 18 17 16 11 10 9 8 3 – 2 – 1 – 0 SEPARATE IREGION 15 14 13 12 DREGION 7 – 6 – 5 – 4 – The MPU_TYPE register indicates whether the MPU is present, and if so, how many regions it supports. • IREGION: Instruction Region Indicates the number of supported MPU instruction regions. Always contains 0x00. The MPU memory map is unified and is described by the DREGION field. • DREGION: Data Region Indicates the number of supported MPU data regions: 0x08 = Eight MPU regions. • SEPARATE: Separate Instruction Indicates support for unified or separate instruction and date memory maps: 0: Unified. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 269 11.11.2.2 MPU Control Register Name: MPU_CTRL Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 – 2 PRIVDEFENA 1 HFNMIENA 0 ENABLE The MPU CTRL register enables the MPU, enables the default memory map background region, and enables the use of the MPU when in the hard fault, Non-maskable Interrupt (NMI), and FAULTMASK escalated handlers. • PRIVDEFENA: Privileged Default Memory Map Enable Enables privileged software access to the default memory map: 0: If the MPU is enabled, disables the use of the default memory map. Any memory access to a location not covered by any enabled region causes a fault. 1: If the MPU is enabled, enables the use of the default memory map as a background region for privileged software accesses. When enabled, the background region acts as a region number -1. Any region that is defined and enabled has priority over this default map. If the MPU is disabled, the processor ignores this bit. • HFNMIENA: Hard Fault and NMI Enable Enables the operation of MPU during hard fault, NMI, and FAULTMASK handlers. When the MPU is enabled: 0: MPU is disabled during hard fault, NMI, and FAULTMASK handlers, regardless of the value of the ENABLE bit. 1: The MPU is enabled during hard fault, NMI, and FAULTMASK handlers. When the MPU is disabled, if this bit is set to 1, the behavior is unpredictable. • ENABLE: MPU Enable Enables the MPU: 0: MPU disabled. 1: MPU enabled. When ENABLE and PRIVDEFENA are both set to 1: • For privileged accesses, the default memory map is as described in “Memory Model” . Any access by privileged software that does not address an enabled memory region behaves as defined by the default memory map. • Any access by unprivileged software that does not address an enabled memory region causes a memory management fault. 270 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 XN and Strongly-ordered rules always apply to the System Control Space regardless of the value of the ENABLE bit. When the ENABLE bit is set to 1, at least one region of the memory map must be enabled for the system to function unless the PRIVDEFENA bit is set to 1. If the PRIVDEFENA bit is set to 1 and no regions are enabled, then only privileged software can operate. When the ENABLE bit is set to 0, the system uses the default memory map. This has the same memory attributes as if the MPU is not implemented. The default memory map applies to accesses from both privileged and unprivileged software. When the MPU is enabled, accesses to the System Control Space and vector table are always permitted. Other areas are accessible based on regions and whether PRIVDEFENA is set to 1. Unless HFNMIENA is set to 1, the MPU is not enabled when the processor is executing the handler for an exception with priority –1 or –2. These priorities are only possible when handling a hard fault or NMI exception, or when FAULTMASK is enabled. Setting the HFNMIENA bit to 1 enables the MPU when operating with these two priorities. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 271 11.11.2.3 MPU Region Number Register Name: MPU_RNR Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 6 5 4 3 2 1 0 REGION The MPU_RNR selects which memory region is referenced by the MPU_RBAR and MPU_RASRs. • REGION: MPU Region Referenced by the MPU_RBAR and MPU_RASRs Indicates the MPU region referenced by the MPU_RBAR and MPU_RASRs. The MPU supports 8 memory regions, so the permitted values of this field are 0–7. Normally, the required region number is written to this register before accessing the MPU_RBAR or MPU_RASR. However, the region number can be changed by writing to the MPU_RBAR with the VALID bit set to 1; see “MPU Region Base Address Register” . This write updates the value of the REGION field. 272 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.11.2.4 MPU Region Base Address Register Name: MPU_RBAR Access: Read/Write 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 ADDR 23 22 21 20 ADDR 15 14 13 12 ADDR 7 6 ADDR 5 4 VALID REGION The MPU_RBAR defines the base address of the MPU region selected by the MPU_RNR, and can update the value of the MPU_RNR. Write MPU_RBAR with the VALID bit set to 1 to change the current region number and update the MPU_RNR. • ADDR: Region Base Address Software must ensure that the value written to the ADDR field aligns with the size of the selected region (SIZE field in the MPU_RASR). If the region size is configured to 4 GB, in the MPU_RASR, there is no valid ADDR field. In this case, the region occupies the complete memory map, and the base address is 0x00000000. The base address is aligned to the size of the region. For example, a 64 KB region must be aligned on a multiple of 64 KB, for example, at 0x00010000 or 0x00020000. • VALID: MPU Region Number Valid Write: 0: MPU_RNR not changed, and the processor updates the base address for the region specified in the MPU_RNR, and ignores the value of the REGION field. 1: The processor updates the value of the MPU_RNR to the value of the REGION field, and updates the base address for the region specified in the REGION field. Always reads as zero. • REGION: MPU Region For the behavior on writes, see the description of the VALID field. On reads, returns the current region number, as specified by the MPU_RNR. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 273 11.11.2.5 MPU Region Attribute and Size Register Name: MPU_RASR Access: Read/Write 31 – 30 – 29 – 28 XN 27 – 26 25 AP 24 23 – 22 – 21 20 TEX 19 18 S 17 C 16 B 15 14 13 12 11 10 9 8 3 SIZE 2 1 0 ENABLE SRD 7 – 6 – 5 4 The MPU_RASR defines the region size and memory attributes of the MPU region specified by the MPU_RNR, and enables that region and any subregions. MPU_RASR is accessible using word or halfword accesses: • The most significant halfword holds the region attributes. • The least significant halfword holds the region size, and the region and subregion enable bits. • XN: Instruction Access Disable 0: Instruction fetches enabled. 1: Instruction fetches disabled. • AP: Access Permission See Table 11-39. • TEX, C, B: Memory Access Attributes See Table 11-37. • S: Shareable See Table 11-37. • SRD: Subregion Disable For each bit in this field: 0: Corresponding subregion is enabled. 1: Corresponding subregion is disabled. See “Subregions” for more information. Region sizes of 128 bytes and less do not support subregions. When writing the attributes for such a region, write the SRD field as 0x00. 274 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 • SIZE: Size of the MPU Protection Region The minimum permitted value is 3 (b00010). The SIZE field defines the size of the MPU memory region specified by the MPU_RNR. as follows: (Region size in bytes) = 2(SIZE+1) The smallest permitted region size is 32B, corresponding to a SIZE value of 4. The table below gives an example of SIZE values, with the corresponding region size and value of N in the MPU_RBAR. SIZE Value Region Size Value of N (1) Note b00100 (4) 32 B 5 Minimum permitted size b01001 (9) 1 KB 10 – b10011 (19) 1 MB 20 – b11101 (29) 1 GB 30 – b11111 (31) 4 GB b01100 Maximum possible size Note: 1. In the MPU_RBAR; see “MPU Region Base Address Register” • ENABLE: Region Enable Note: For information about access permission, see “MPU Access Permission Attributes” . SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 275 11.11.2.6 MPU Region Base Address Register Alias 1 Name: MPU_RBAR_A1 Access: Read/Write 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 ADDR 23 22 21 20 ADDR 15 14 13 12 ADDR 7 6 ADDR 5 4 VALID REGION The MPU_RBAR defines the base address of the MPU region selected by the MPU_RNR, and can update the value of the MPU_RNR. Write MPU_RBAR with the VALID bit set to 1 to change the current region number and update the MPU_RNR. • ADDR: Region Base Address Software must ensure that the value written to the ADDR field aligns with the size of the selected region. The value of N depends on the region size. The ADDR field is bits[31:N] of the MPU_RBAR. The region size, as specified by the SIZE field in the MPU_RASR, defines the value of N: N = Log2(Region size in bytes), If the region size is configured to 4 GB, in the MPU_RASR, there is no valid ADDR field. In this case, the region occupies the complete memory map, and the base address is 0x00000000. The base address is aligned to the size of the region. For example, a 64 KB region must be aligned on a multiple of 64 KB, for example, at 0x00010000 or 0x00020000. • VALID: MPU Region Number Valid Write: 0: MPU_RNR not changed, and the processor updates the base address for the region specified in the MPU_RNR, and ignores the value of the REGION field. 1: The processor updates the value of the MPU_RNR to the value of the REGION field, and updates the base address for the region specified in the REGION field. Always reads as zero. • REGION: MPU Region For the behavior on writes, see the description of the VALID field. On reads, returns the current region number, as specified by the MPU_RNR. 276 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.11.2.7 MPU Region Attribute and Size Register Alias 1 Name: MPU_RASR_A1 Access: Read/Write 31 – 23 30 – 29 – 28 XN 27 – 26 25 AP 24 22 21 20 TEX 19 18 S 17 C 16 B 14 13 12 11 10 9 8 3 SIZE 2 1 0 ENABLE – 15 SRD 7 – 6 – 5 4 The MPU_RASR defines the region size and memory attributes of the MPU region specified by the MPU_RNR, and enables that region and any subregions. MPU_RASR is accessible using word or halfword accesses: • The most significant halfword holds the region attributes. • The least significant halfword holds the region size, and the region and subregion enable bits. • XN: Instruction Access Disable 0: Instruction fetches enabled. 1: Instruction fetches disabled. • AP: Access Permission See Table 11-39. • TEX, C, B: Memory Access Attributes See Table 11-37. • S: Shareable See Table 11-37. • SRD: Subregion Disable For each bit in this field: 0: Corresponding subregion is enabled. 1: Corresponding subregion is disabled. See “Subregions” for more information. Region sizes of 128 bytes and less do not support subregions. When writing the attributes for such a region, write the SRD field as 0x00. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 277 • SIZE: Size of the MPU Protection Region The minimum permitted value is 3 (b00010). The SIZE field defines the size of the MPU memory region specified by the MPU_RNR. as follows: (Region size in bytes) = 2(SIZE+1) The smallest permitted region size is 32B, corresponding to a SIZE value of 4. The table below gives an example of SIZE values, with the corresponding region size and value of N in the MPU_RBAR. SIZE Value Region Size Value of N (1) Note b00100 (4) 32 B 5 Minimum permitted size b01001 (9) 1 KB 10 – b10011 (19) 1 MB 20 – b11101 (29) 1 GB 30 – b11111 (31) 4 GB b01100 Maximum possible size Note: 1. In the MPU_RBAR; see “MPU Region Base Address Register” • ENABLE: Region Enable Note: For information about access permission, see “MPU Access Permission Attributes” . 278 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.11.2.8 MPU Region Base Address Register Alias 2 Name: MPU_RBAR_A2 Access: Read/Write 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 ADDR 23 22 21 20 ADDR 15 14 13 12 ADDR 7 6 ADDR 5 4 VALID REGION The MPU_RBAR defines the base address of the MPU region selected by the MPU_RNR, and can update the value of the MPU_RNR. Write MPU_RBAR with the VALID bit set to 1 to change the current region number and update the MPU_RNR. • ADDR: Region Base Address Software must ensure that the value written to the ADDR field aligns with the size of the selected region. The value of N depends on the region size. The ADDR field is bits[31:N] of the MPU_RBAR. The region size, as specified by the SIZE field in the MPU_RASR, defines the value of N: N = Log2(Region size in bytes), If the region size is configured to 4 GB, in the MPU_RASR, there is no valid ADDR field. In this case, the region occupies the complete memory map, and the base address is 0x00000000. The base address is aligned to the size of the region. For example, a 64 KB region must be aligned on a multiple of 64 KB, for example, at 0x00010000 or 0x00020000. • VALID: MPU Region Number Valid Write: 0: MPU_RNR not changed, and the processor updates the base address for the region specified in the MPU_RNR, and ignores the value of the REGION field. 1: The processor updates the value of the MPU_RNR to the value of the REGION field, and updates the base address for the region specified in the REGION field. Always reads as zero. • REGION: MPU Region For the behavior on writes, see the description of the VALID field. On reads, returns the current region number, as specified by the MPU_RNR. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 279 11.11.2.9 MPU Region Attribute and Size Register Alias 2 Name: MPU_RASR_A2 Access: Read/Write 31 – 30 – 29 – 28 XN 27 – 26 25 AP 24 23 – 22 – 21 20 TEX 19 18 S 17 C 16 B 15 14 13 12 11 10 9 8 3 SIZE 2 1 0 ENABLE SRD 7 – 6 – 5 4 The MPU_RASR defines the region size and memory attributes of the MPU region specified by the MPU_RNR, and enables that region and any subregions. MPU_RASR is accessible using word or halfword accesses: • The most significant halfword holds the region attributes. • The least significant halfword holds the region size, and the region and subregion enable bits. • XN: Instruction Access Disable 0: Instruction fetches enabled. 1: Instruction fetches disabled. • AP: Access Permission See Table 11-39. • TEX, C, B: Memory Access Attributes See Table 11-37. • S: Shareable See Table 11-37. • SRD: Subregion Disable For each bit in this field: 0: Corresponding subregion is enabled. 1: Corresponding subregion is disabled. See “Subregions” for more information. Region sizes of 128 bytes and less do not support subregions. When writing the attributes for such a region, write the SRD field as 0x00. 280 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 • SIZE: Size of the MPU Protection Region The minimum permitted value is 3 (b00010). The SIZE field defines the size of the MPU memory region specified by the MPU_RNR. as follows: (Region size in bytes) = 2(SIZE+1) The smallest permitted region size is 32B, corresponding to a SIZE value of 4. The table below gives an example of SIZE values, with the corresponding region size and value of N in the MPU_RBAR. SIZE Value Region Size Value of N (1) Note b00100 (4) 32 B 5 Minimum permitted size b01001 (9) 1 KB 10 – b10011 (19) 1 MB 20 – b11101 (29) 1 GB 30 – b11111 (31) 4 GB b01100 Maximum possible size Note: 1. In the MPU_RBAR; see “MPU Region Base Address Register” • ENABLE: Region Enable Note: For information about access permission, see “MPU Access Permission Attributes” . SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 281 11.11.2.10 MPU Region Base Address Register Alias 3 Name: MPU_RBAR_A3 Access: Read/Write 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 ADDR 23 22 21 20 ADDR 15 14 13 12 ADDR 7 6 ADDR 5 4 VALID REGION The MPU_RBAR defines the base address of the MPU region selected by the MPU_RNR, and can update the value of the MPU_RNR. Write MPU_RBAR with the VALID bit set to 1 to change the current region number and update the MPU_RNR. • ADDR: Region Base Address Software must ensure that the value written to the ADDR field aligns with the size of the selected region. The value of N depends on the region size. The ADDR field is bits[31:N] of the MPU_RBAR. The region size, as specified by the SIZE field in the MPU_RASR, defines the value of N: N = Log2(Region size in bytes), If the region size is configured to 4 GB, in the MPU_RASR, there is no valid ADDR field. In this case, the region occupies the complete memory map, and the base address is 0x00000000. The base address is aligned to the size of the region. For example, a 64 KB region must be aligned on a multiple of 64 KB, for example, at 0x00010000 or 0x00020000. • VALID: MPU Region Number Valid Write: 0: MPU_RNR not changed, and the processor updates the base address for the region specified in the MPU_RNR, and ignores the value of the REGION field. 1: The processor updates the value of the MPU_RNR to the value of the REGION field, and updates the base address for the region specified in the REGION field. Always reads as zero. • REGION: MPU Region For the behavior on writes, see the description of the VALID field. On reads, returns the current region number, as specified by the MPU_RNR. 282 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.11.2.11 MPU Region Attribute and Size Register Alias 3 Name: MPU_RASR_A3 Access: Read/Write 31 – 30 – 29 – 28 XN 27 – 26 25 AP 24 23 – 22 – 21 20 TEX 19 18 S 17 C 16 B 15 14 13 12 11 10 9 8 3 SIZE 2 1 0 ENABLE SRD 7 – 6 – 5 4 The MPU_RASR defines the region size and memory attributes of the MPU region specified by the MPU_RNR, and enables that region and any subregions. MPU_RASR is accessible using word or halfword accesses: • The most significant halfword holds the region attributes. • The least significant halfword holds the region size, and the region and subregion enable bits. • XN: Instruction Access Disable 0: Instruction fetches enabled. 1: Instruction fetches disabled. • AP: Access Permission See Table 11-39. • TEX, C, B: Memory Access Attributes See Table 11-37. • S: Shareable See Table 11-37. • SRD: Subregion Disable For each bit in this field: 0: Corresponding subregion is enabled. 1: Corresponding subregion is disabled. See “Subregions” for more information. Region sizes of 128 bytes and less do not support subregions. When writing the attributes for such a region, write the SRD field as 0x00. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 283 • SIZE: Size of the MPU Protection Region The minimum permitted value is 3 (b00010). The SIZE field defines the size of the MPU memory region specified by the MPU_RNR. as follows: (Region size in bytes) = 2(SIZE+1) The smallest permitted region size is 32B, corresponding to a SIZE value of 4. The table below gives an example of SIZE values, with the corresponding region size and value of N in the MPU_RBAR. SIZE Value Region Size Value of N (1) Note b00100 (4) 32 B 5 Minimum permitted size b01001 (9) 1 KB 10 – b10011 (19) 1 MB 20 – b11101 (29) 1 GB 30 – b11111 (31) 4 GB b01100 Maximum possible size Note: 1. In the MPU_RBAR; see “MPU Region Base Address Register” • ENABLE: Region Enable Note: For information about access permission, see “MPU Access Permission Attributes” . 284 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 11.12 Floating Point Unit (FPU) The Cortex-M4F FPU implements the FPv4-SP floating-point extension. The FPU fully supports single-precision add, subtract, multiply, divide, multiply and accumulate, and square root operations. It also provides conversions between fixed-point and floating-point data formats, and floating-point constant instructions. The FPU provides floating-point computation functionality that is compliant with the ANSI/IEEE Std 754-2008, IEEE Standard for Binary Floating-Point Arithmetic, referred to as the IEEE 754 standard. The FPU contains 32 single-precision extension registers, which can also be accessed as 16 doubleword registers for load, store, and move operations. 11.12.1 Enabling the FPU The FPU is disabled from reset. It must be enabled before any floating-point instructions can be used. Example 41 shows an example code sequence for enabling the FPU in both privileged and user modes. The processor must be in privileged mode to read from and write to the CPACR. Example of Enabling the FPU: ; CPACR is located at address 0xE000ED88 LDR.W R0, =0xE000ED88 ; Read CPACR LDR R1, [R0] ; Set bits 20-23 to enable CP10 and CP11 coprocessors ORR R1, R1, #(0xF = EXTERNAL RESET LENGTH 13.4.4 Reset State Priorities The reset state manager manages the priorities among the different reset sources. The resets are listed in order of priority as follows: 1. General reset 2. Backup reset 3. Watchdog reset 4. Software reset 5. User reset Particular cases are listed below:  When in user reset: ̶ ̶ A watchdog event is impossible because the Watchdog Timer is being reset by the proc_nreset signal.   314 A software reset is impossible, since the processor reset is being activated. When in software reset: ̶ A watchdog event has priority over the current state. ̶ The NRST has no effect. When in watchdog reset: ̶ The processor reset is active and so a software reset cannot be programmed. ̶ A user reset cannot be entered. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 13.5 Reset Controller (RSTC) User Interface Table 13-1. Offset Note: Register Mapping Register Name Access Reset 0x00 Control Register RSTC_CR Write-only – 0x04 Status Register RSTC_SR Read-only 0x0000_0000(1) 0x08 Mode Register RSTC_MR Read/Write 0x0000 0001 1. This value assumes that a general reset has been performed, subject to change if other types of reset are generated. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 315 13.5.1 Reset Controller Control Register Name: RSTC_CR Address: 0x400E1800 Access: Write-only 31 30 29 28 27 26 25 24 KEY 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 EXTRST 2 PERRST 1 – 0 PROCRST • PROCRST: Processor Reset 0: No effect 1: If KEY is correct, resets the processor • PERRST: Peripheral Reset 0: No effect 1: If KEY is correct, resets the peripherals • EXTRST: External Reset 0: No effect 1: If KEY is correct, asserts the NRST pin • KEY: System Reset Key 316 Value Name Description 0xA5 PASSWD Writing any other value in this field aborts the write operation. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 13.5.2 Reset Controller Status Register Name: RSTC_SR Address: 0x400E1804 Access: Read-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 SRCMP 16 NRSTL 15 – 14 – 13 – 12 – 11 – 10 9 RSTTYP 8 7 – 6 – 5 – 4 – 3 – 2 – 1 – 0 URSTS • URSTS: User Reset Status A high-to-low transition of the NRST pin sets the URSTS bit. This transition is also detected on the MCK rising edge. If the user reset is disabled (URSTEN = 0 in RSTC_MR) and if the interruption is enabled by the URSTIEN bit in the RSTC_MR, the URSTS bit triggers an interrupt. Reading the RSTC_SR resets the URSTS bit and clears the interrupt. 0: No high-to-low edge on NRST happened since the last read of RSTC_SR. 1: At least one high-to-low transition of NRST has been detected since the last read of RSTC_SR. • RSTTYP: Reset Type This field reports the cause of the last processor reset. Reading this RSTC_SR does not reset this field. Value Name Description 0 GENERAL_RST First power-up reset 1 BACKUP_RST Return from Backup Mode 2 WDT_RST Watchdog fault occurred 3 SOFT_RST Processor reset required by the software 4 USER_RST NRST pin detected low 5 – Reserved 6 – Reserved 7 – Reserved • NRSTL: NRST Pin Level This bit registers the NRST pin level sampled on each Master Clock (MCK) rising edge. • SRCMP: Software Reset Command in Progress When set, this bit indicates that a software reset command is in progress and that no further software reset should be performed until the end of the current one. This bit is automatically cleared at the end of the current software reset. 0: No software command is being performed by the Reset Controller. The Reset Controller is ready for a software command. 1: A software reset command is being performed by the Reset Controller. The Reset Controller is busy. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 317 13.5.3 Reset Controller Mode Register Name: RSTC_MR Address: 0x400E1808 Access: Read/Write 31 30 29 28 27 26 25 24 17 – 16 – 9 8 1 – 0 URSTEN KEY 23 – 22 – 21 – 20 – 19 – 18 – 15 – 14 – 13 – 12 – 11 10 7 – 6 – 5 – 4 URSTIEN 3 – ERSTL 2 – This register can only be written if the WPEN bit is cleared in the System Controller Write Protection Mode Register (SYSC_WPMR). • URSTEN: User Reset Enable 0: The detection of a low level on the NRST pin does not generate a user reset. 1: The detection of a low level on the NRST pin triggers a user reset. • URSTIEN: User Reset Interrupt Enable 0: USRTS bit in RSTC_SR at 1 has no effect on rstc_irq. 1: USRTS bit in RSTC_SR at 1 asserts rstc_irq if URSTEN = 0. • ERSTL: External Reset Length This field defines the external reset length. The external reset is asserted during a time of 2(ERSTL+1) slow clock cycles. This allows assertion duration to be programmed between 60 µs and 2 seconds. Note that synchronization cycles must also be considered when calculating the actual reset length as previously described. • KEY: Write Access Password 318 Value Name 0xA5 PASSWD SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Description Writing any other value in this field aborts the write operation. Always reads as 0. 14. Real-time Timer (RTT) 14.1 Description The Real-time Timer (RTT) is built around a 32-bit counter used to count roll-over events of the programmable 16bit prescaler driven from the 32-kHz slow clock source. It generates a periodic interrupt and/or triggers an alarm on a programmed value. The RTT can also be configured to be driven by the 1Hz RTC signal, thus taking advantage of a calibrated 1Hz clock. The slow clock source can be fully disabled to reduce power consumption when only an elapsed seconds count is required. 14.2 14.3 Embedded Characteristics  32-bit Free-running Counter on prescaled slow clock or RTC calibrated 1Hz clock  16-bit Configurable Prescaler  Interrupt on Alarm or Counter Increment Block Diagram Figure 14-1. RTT_MR RTTDIS Real-time Timer RTT_MR RTT_MR RTTRST RTPRES RTT_MR reload 16-bit Prescaler SLCK RTTINCIEN set 0 RTT_MR RTC 1Hz RTTRST RTT_MR RTC1HZ 1 RTTINC RTT_SR 1 reset 0 rtt_int 0 32-bit Counter read RTT_SR RTT_MR ALMIEN RTT_VR reset CRTV RTT_SR ALMS set rtt_alarm = RTT_AR 14.4 ALMV Functional Description The programmable 16-bit prescaler value can be configured through the RTPRES field in the “Real-time Timer Mode Register” (RTT_MR). SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 319 Configuring the RTPRES field value to 0x8000 (default value) corresponds to feeding the real-time counter with a 1Hz signal (if the slow clock is 32.768 kHz). The 32-bit counter can count up to 232 seconds, corresponding to more than 136 years, then roll over to 0. Bit RTTINC in the “Real-time Timer Status Register” (RTT_SR) is set each time there is a prescaler roll-over (see Figure 14-2) The real-time 32-bit counter can also be supplied by the 1Hz RTC clock. This mode is interesting when the RTC 1Hz is calibrated (CORRECTION field ≠ 0 in RTC_MR) in order to guaranty the synchronism between RTC and RTT counters. Setting the RTC1HZ bit in the RTT_MR drives the 32-bit RTT counter from the 1Hz RTC clock. In this mode, the RTPRES field has no effect on the 32-bit counter. The prescaler roll-over generates an increment of the real-time timer counter if RTC1HZ = 0. Otherwise, if RTC1HZ = 1, the real-time timer counter is incremented every second. The RTTINC bit is set independently from the 32-bit counter increment. The real-time timer can also be used as a free-running timer with a lower time-base. The best accuracy is achieved by writing RTPRES to 3 in RTT_MR. Programming RTPRES to 1 or 2 is forbidden. If the RTT is configured to trigger an interrupt, the interrupt occurs two slow clock cycles after reading the RTT_SR. To prevent several executions of the interrupt handler, the interrupt must be disabled in the interrupt handler and re-enabled when the RTT_SR is cleared. The CRTV field can be read at any time in the “Real-time Timer Value Register” (RTT_VR). As this value can be updated asynchronously with the Master Clock, the CRTV field must be read twice at the same value to read a correct value. The current value of the counter is compared with the value written in the “Real-time Timer Alarm Register” (RTT_AR). If the counter value matches the alarm, the ALMS bit in the RTT_SR is set. The RTT_AR is set to its maximum value (0xFFFF_FFFF) after a reset. The ALMS flag is always a source of the RTT alarm signal that may be used to exit the system from low power modes (see Figure 14-1). The alarm interrupt must be disabled (ALMIEN must be cleared in RTT_MR) when writing a new ALMV value in the RTT_AR. The RTTINC bit can be used to start a periodic interrupt, the period being one second when the RTPRES field value = 0x8000 and the slow clock = 32.768 kHz. The RTTINCIEN bit must be cleared prior to writing a new RTPRES value in the RTT_MR. Reading the RTT_SR automatically clears the RTTINC and ALMS bits. Writing the RTTRST bit in the RTT_MR immediately reloads and restarts the clock divider with the new programmed value. This also resets the 32-bit counter. When not used, the Real-time Timer can be disabled in order to suppress dynamic power consumption in this module. This can be achieved by setting the RTTDIS bit in the RTT_MR. 320 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 14-2. RTT Counting SLCK RTPRES - 1 Prescaler 0 CRTV 0 ... ALMV-1 ALMV ALMV+1 ALMV+2 ALMV+3 RTTINC (RTT_SR) ALMS (RTT_SR) APB Interface APB cycle read RTT_SR APB cycle SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 321 14.5 Real-time Timer (RTT) User Interface Table 14-1. Register Mapping Offset Register Name Access Reset 0x00 Mode Register RTT_MR Read/Write 0x0000_8000 0x04 Alarm Register RTT_AR Read/Write 0xFFFF_FFFF 0x08 Value Register RTT_VR Read-only 0x0000_0000 0x0C Status Register RTT_SR Read-only 0x0000_0000 322 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 14.5.1 Real-time Timer Mode Register Name: RTT_MR Address: 0x400E1830 Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 RTC1HZ 23 – 22 – 21 – 20 RTTDIS 19 – 18 RTTRST 17 RTTINCIEN 16 ALMIEN 15 14 13 12 11 10 9 8 3 2 1 0 RTPRES 7 6 5 4 RTPRES • RTPRES: Real-time Timer Prescaler Value Defines the number of SLCK periods required to increment the Real-time timer. RTPRES is defined as follows: RTPRES = 0: The prescaler period is equal to 216 * SLCK periods. RTPRES = 1 or 2: forbidden. RTPRES ≠ 0,1 or 2: The prescaler period is equal to RTPRES * SLCK periods. Note: The RTTINCIEN bit must be cleared prior to writing a new RTPRES value. • ALMIEN: Alarm Interrupt Enable 0: The bit ALMS in RTT_SR has no effect on interrupt. 1: The bit ALMS in RTT_SR asserts interrupt. • RTTINCIEN: Real-time Timer Increment Interrupt Enable 0: The bit RTTINC in RTT_SR has no effect on interrupt. 1: The bit RTTINC in RTT_SR asserts interrupt. • RTTRST: Real-time Timer Restart 0: No effect. 1: Reloads and restarts the clock divider with the new programmed value. This also resets the 32-bit counter. • RTTDIS: Real-time Timer Disable 0: The real-time timer is enabled. 1: The real-time timer is disabled (no dynamic power consumption). Note: RTTDIS is write only. • RTC1HZ: Real-Time Clock 1Hz Clock Selection 0: The RTT 32-bit counter is driven by the 16-bit prescaler roll-over events. 1: The RTT 32-bit counter is driven by the 1Hz RTC clock. Note: RTC1HZ is write only. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 323 14.5.2 Real-time Timer Alarm Register Name: RTT_AR Address: 0x400E1834 Access: Read/Write 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 ALMV 23 22 21 20 ALMV 15 14 13 12 ALMV 7 6 5 4 ALMV • ALMV: Alarm Value When the CRTV value in RTT_VR equals the ALMV field, the ALMS flag is set in RTT_SR. As soon as the ALMS flag rises, the CRTV value equals ALMV+1 (refer to Figure 14-2). Note: 324 The alarm interrupt must be disabled (ALMIEN must be cleared in RTT_MR) when writing a new ALMV value. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 14.5.3 Real-time Timer Value Register Name: RTT_VR Address: 0x400E1838 Access: Read-only 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 CRTV 23 22 21 20 CRTV 15 14 13 12 CRTV 7 6 5 4 CRTV • CRTV: Current Real-time Value Returns the current value of the Real-time Timer. Note: As CRTV can be updated asynchronously, it must be read twice at the same value. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 325 14.5.4 Real-time Timer Status Register Name: RTT_SR Address: 0x400E183C Access: Read-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 – 2 – 1 RTTINC 0 ALMS • ALMS: Real-time Alarm Status (cleared on read) 0: The Real-time Alarm has not occurred since the last read of RTT_SR. 1: The Real-time Alarm occurred since the last read of RTT_SR. • RTTINC: Prescaler Roll-over Status (cleared on read) 0: No prescaler roll-over occurred since the last read of the RTT_SR. 1: Prescaler roll-over occurred since the last read of the RTT_SR. 326 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 15. Real-time Clock (RTC) 15.1 Description The Real-time Clock (RTC) peripheral is designed for very low power consumption. For optimal functionality, the RTC requires an accurate external 32.768 kHz clock, which can be provided by a crystal oscillator. It combines a complete time-of-day clock with alarm and a Gregorian or Persian calendar, complemented by a programmable periodic interrupt. The alarm and calendar registers are accessed by a 32-bit data bus. The time and calendar values are coded in binary-coded decimal (BCD) format. The time format can be 24-hour mode or 12-hour mode with an AM/PM indicator. Updating time and calendar fields and configuring the alarm fields are performed by a parallel capture on the 32-bit data bus. An entry control is performed to avoid loading registers with incompatible BCD format data or with an incompatible date according to the current month/year/century. A clock divider calibration circuitry can be used to compensate for crystal oscillator frequency variations. An RTC output can be programmed to generate several waveforms, including a prescaled clock derived from 32.768 kHz. 15.2 15.3 Embedded Characteristics  Full Asynchronous Design for Ultra Low Power Consumption  Gregorian and Persian Modes Supported  Programmable Periodic Interrupt  Safety/security Features: ̶ Valid Time and Date Programming Check ̶ On-The-Fly Time and Date Validity Check  Counters Calibration Circuitry to Compensate for Crystal Oscillator Variations  Waveform Generation  Register Write Protection Block Diagram Figure 15-1. Real-time Clock Block Diagram Slow Clock: SLCK 32768 Divider Time Wave Generator Date RTCOUT0 RTCOUT1 Clock Calibration System Bus User Interface Entry Control Alarm Interrupt Control RTC Interrupt SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 327 15.4 Product Dependencies 15.4.1 Power Management The Real-time Clock is continuously clocked at 32.768 kHz. The Power Management Controller has no effect on RTC behavior. 15.4.2 Interrupt RTC interrupt line is connected on one of the internal sources of the interrupt controller. RTC interrupt requires the interrupt controller to be programmed first. Table 15-1. 15.5 Peripheral IDs Instance ID RTC 2 Functional Description The RTC provides a full binary-coded decimal (BCD) clock that includes century (19/20), year (with leap years), month, date, day, hours, minutes and seconds reported in RTC Time Register (RTC_TIMR) and RTC Calendar Register (RTC_CALR). The valid year range is up to 2099 in Gregorian mode (or 1300 to 1499 in Persian mode). The RTC can operate in 24-hour mode or in 12-hour mode with an AM/PM indicator. Corrections for leap years are included (all years divisible by 4 being leap years except 1900). This is correct up to the year 2099. The RTC can generate configurable waveforms on RTCOUT0/1 outputs. 15.5.1 Reference Clock The reference clock is the Slow Clock (SLCK). It can be driven internally or by an external 32.768 kHz crystal. During low power modes of the processor, the oscillator runs and power consumption is critical. The crystal selection has to take into account the current consumption for power saving and the frequency drift due to temperature effect on the circuit for time accuracy. 15.5.2 Timing The RTC is updated in real time at one-second intervals in Normal mode for the counters of seconds, at oneminute intervals for the counter of minutes and so on. Due to the asynchronous operation of the RTC with respect to the rest of the chip, to be certain that the value read in the RTC registers (century, year, month, date, day, hours, minutes, seconds) are valid and stable, it is necessary to read these registers twice. If the data is the same both times, then it is valid. Therefore, a minimum of two and a maximum of three accesses are required. 15.5.3 Alarm The RTC has five programmable fields: month, date, hours, minutes and seconds. Each of these fields can be enabled or disabled to match the alarm condition: 328  If all the fields are enabled, an alarm flag is generated (the corresponding flag is asserted and an interrupt generated if enabled) at a given month, date, hour/minute/second.  If only the “seconds” field is enabled, then an alarm is generated every minute. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Depending on the combination of fields enabled, a large number of possibilities are available to the user ranging from minutes to 365/366 days. Hour, minute and second matching alarm (SECEN, MINEN, HOUREN) can be enabled independently of SEC, MIN, HOUR fields. Note: 15.5.4 To change one of the SEC, MIN, HOUR, DATE, MONTH fields, it is recommended to disable the field before changing the value and then re-enable it after the change has been made. This requires up to three accesses to the RTC_TIMALR or RTC_CALALR. The first access clears the enable corresponding to the field to change (SECEN, MINEN, HOUREN, DATEEN, MTHEN). If the field is already cleared, this access is not required. The second access performs the change of the value (SEC, MIN, HOUR, DATE, MONTH). The third access is required to re-enable the field by writing 1 in SECEN, MINEN, HOUREn, DATEEN, MTHEN fields. Error Checking when Programming Verification on user interface data is performed when accessing the century, year, month, date, day, hours, minutes, seconds and alarms. A check is performed on illegal BCD entries such as illegal date of the month with regard to the year and century configured. If one of the time fields is not correct, the data is not loaded into the register/counter and a flag is set in the validity register. The user can not reset this flag. It is reset as soon as an acceptable value is programmed. This avoids any further side effects in the hardware. The same procedure is followed for the alarm. The following checks are performed: 1. Century (check if it is in range 19–20 or 13–14 in Persian mode) 2. Year (BCD entry check) 3. Date (check range 01–31) 4. Month (check if it is in BCD range 01–12, check validity regarding “date”) 5. Day (check range 1–7) 6. Hour (BCD checks: in 24-hour mode, check range 00–23 and check that AM/PM flag is not set if RTC is set in 24-hour mode; in 12-hour mode check range 01–12) 7. Minute (check BCD and range 00–59) 8. Second (check BCD and range 00–59) Note: 15.5.5 If the 12-hour mode is selected by means of the RTC Mode Register (RTC_MR), a 12-hour value can be programmed and the returned value on RTC_TIMR will be the corresponding 24-hour value. The entry control checks the value of the AM/PM indicator (bit 22 of RTC_TIMR) to determine the range to be checked. RTC Internal Free Running Counter Error Checking To improve the reliability and security of the RTC, a permanent check is performed on the internal free running counters to report non-BCD or invalid date/time values. An error is reported by TDERR bit in the status register (RTC_SR) if an incorrect value has been detected. The flag can be cleared by setting the TDERRCLR bit in the Status Clear Command Register (RTC_SCCR). Anyway the TDERR error flag will be set again if the source of the error has not been cleared before clearing the TDERR flag. The clearing of the source of such error can be done by reprogramming a correct value on RTC_CALR and/or RTC_TIMR. The RTC internal free running counters may automatically clear the source of TDERR due to their roll-over (i.e., every 10 seconds for SECONDS[3:0] field in RTC_TIMR). In this case the TDERR is held high until a clear command is asserted by TDERRCLR bit in RTC_SCCR. 15.5.6 Updating Time/Calendar The update of the time/calendar must be synchronized on a second periodic event by either polling the RTC_SR.SEC status bit or by enabling the SECEN interrupt in the RTC_IER register. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 329 Once the second event occurs, the user must stop the RTC by setting the corresponding field in the Control Register (RTC_CR). Bit UPDTIM must be set to update time fields (hour, minute, second) and bit UPDCAL must be set to update calendar fields (century, year, month, date, day). The ACKUPD bit must then be read to 1 by either polling the RTC_SR or by enabling the ACKUPD interrupt in the RTC_IER. Once ACKUPD is read to 1, it is mandatory to clear this flag by writing the corresponding bit in the RTC_SCCR, after which the user can write to the Time Register, the Calendar Register, or both. Once the update is finished, the user must write UPDTIM and/or UPDCAL to 0 in the RTC_CR. The timing sequence of the time/calendar update is described in Figure 15-2. When entering the Programming mode of the calendar fields, the time fields remain enabled. When entering the Programming mode of the time fields, both the time and the calendar fields are stopped. This is due to the location of the calendar logical circuity (downstream for low-power considerations). It is highly recommended to prepare all the fields to be updated before entering Programming mode. In successive update operations, the user must wait for at least one second after resetting the UPDTIM/UPDCAL bit in the RTC_CR before setting these bits again. This is done by waiting for the SEC flag in the RTC_SR before setting the UPDTIM/UPDCAL bit. After resetting UPDTIM/UPDCAL, the SEC flag must also be cleared. Figure 15-2. Time/Calendar Update Timing Diagram // 1Hz RTC Clock RTC_TIMR.SEC Sofware Time Line // 20 Update request from SW 1 Clear ACKUPD bit 2 // // // // 15 (counter stopped) 16 Clear UPDTIM bit 3 RTC BACK TO NORMAL MODE 4 Update RTC_TIMR.SEC to 15 RTC_CR.UPDTIM SEC Event Flag RTC_SR.ACKUPD 330 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 // // // // // // Figure 15-3. Gregorian and Persian Modes Update Sequence Begin Prepare Time or Calendar Fields Wait for second periodic event Set UPDTIM and/or UPDCAL bit(s) in RTC_CR Read RTC_SR Polling or IRQ (if enabled) ACKUPD = 1? No Yes Clear ACKUPD bit in RTC_SCCR Update Time and/or Calendar values in RTC_TIMR/RTC_CALR Clear UPDTIM and/or UPDCAL bit in RTC_CR End SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 331 15.5.7 RTC Accurate Clock Calibration The crystal oscillator that drives the RTC may not be as accurate as expected mainly due to temperature variation. The RTC is equipped with circuitry able to correct slow clock crystal drift. To compensate for possible temperature variations over time, this accurate clock calibration circuitry can be programmed on-the-fly and also programmed during application manufacturing, in order to correct the crystal frequency accuracy at room temperature (20–25°C). The typical clock drift range at room temperature is ±20 ppm. In the device operating temperature range, the 32.768 kHz crystal oscillator clock inaccuracy can be up to 200 ppm. The RTC clock calibration circuitry allows positive or negative correction in a range of 1.5 ppm to 1950 ppm. The calibration circuitry is fully digital. Thus, the configured correction is independent of temperature, voltage, process, etc., and no additional measurement is required to check that the correction is effective. If the correction value configured in the calibration circuitry results from an accurate crystal frequency measure, the remaining accuracy is bounded by the values listed below:  Below 1 ppm, for an initial crystal drift between 1.5 ppm up to 20 ppm, and from 30 ppm to 90 ppm  Below 2 ppm, for an initial crystal drift between 20 ppm up to 30 ppm, and from 90 ppm to 130 ppm  Below 5 ppm, for an initial crystal drift between 130 ppm up to 200 ppm The calibration circuitry does not modify the 32.768 kHz crystal oscillator clock frequency but it acts by slightly modifying the 1 Hz clock period from time to time. The correction event occurs every 1 + [(20 (19 x HIGHPPM)) x CORRECTION] seconds. When the period is modified, depending on the sign of the correction, the 1 Hz clock period increases or reduces by around 4 ms. Depending on the CORRECTION, NEGPPM and HIGHPPM values configured in RTC_MR, the period interval between two correction events differs. Figure 15-4. Calibration Circuitry RTC Divider by 32768 32.768 kHz Oscillator Add 32.768 kHz Integrator Comparator Other Logic 332 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1Hz Time/Calendar Suppress CORRECTION, HIGHPPM NEGPPM Figure 15-5. Calibration Circuitry Waveforms Monotonic 1 Hz Counter value 32.768 kHz +50 ppm Phase adjustment (~4 ms) Nominal 32.768 kHz 32.768 kHz -50 ppm -25 ppm Crystal frequency remains unadjusted -50 ppm Internal 1 Hz clock is adjusted Time User configurable period (integer multiple of 1s or 20s) Time -50 ppm correction period -25 ppm correction period NEGATIVE CORRECTION Crystal clock Internally divided clock (256 Hz) Clock pulse periodically suppressed when correction period elapses Internally divided clock (128 Hz) 1.000 second 128 Hz clock edge delayed by 3.906 ms when correction period elapses POSITIVE CORRECTION 1.003906 second Internally divided clock (256 Hz) Internally divided clock (128 Hz) Clock edge periodically added when correction period elapses Internally divided clock (64 Hz) 0.996094 second 1.000 second 128 Hz clock edge delayed by 3.906 ms when correction period elapses dashed lines = no correction The inaccuracy of a crystal oscillator at typical room temperature (±20 ppm at 20–25 °C) can be compensated if a reference clock/signal is used to measure such inaccuracy. This kind of calibration operation can be set up during the final product manufacturing by means of measurement equipment embedding such a reference clock. The correction of value must be programmed into the (RTC_MR), and this value is kept as long as the circuitry is powered (backup area). Removing the backup power supply cancels this calibration. This room temperature calibration can be further processed by means of the networking capability of the target application. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 333 To ease the comparison of the inherent crystal accuracy with the reference clock/signal during manufacturing, an internal prescaled 32.768 kHz clock derivative signal can be assigned to drive RTC output. To accommodate the measure, several clock frequencies can be selected among 1 Hz, 32 Hz, 64 Hz, 512 Hz. The clock calibration correction drives the internal RTC counters but can also be observed in the RTC output when one of the following three frequencies 1 Hz, 32 Hz or 64 Hz is configured. The correction is not visible in the RTC output if 512 Hz frequency is configured. In any event, this adjustment does not take into account the temperature variation. The frequency drift (up to -200 ppm) due to temperature variation can be compensated using a reference time if the application can access such a reference. If a reference time cannot be used, a temperature sensor can be placed close to the crystal oscillator in order to get the operating temperature of the crystal oscillator. Once obtained, the temperature may be converted using a lookup table (describing the accuracy/temperature curve of the crystal oscillator used) and RTC_MR configured accordingly. The calibration can be performed on-the-fly. This adjustment method is not based on a measurement of the crystal frequency/drift and therefore can be improved by means of the networking capability of the target application. If no crystal frequency adjustment has been done during manufacturing, it is still possible to do it. In the case where a reference time of the day can be obtained through LAN/WAN network, it is possible to calculate the drift of the application crystal oscillator by comparing the values read on RTC Time Register (RTC_TIMR) and programming the HIGHPPM and CORRECTION fields on RTC_MR according to the difference measured between the reference time and those of RTC_TIMR. 15.5.8 Waveform Generation Waveforms can be generated by the RTC in order to take advantage of the RTC inherent prescalers while the RTC is the only powered circuitry (Low-power mode of operation, Backup mode) or in any active mode. Going into Backup or Low-power operating modes does not affect the waveform generation outputs. The RTC outputs (RTCOUT0 and RTCOUT1) have a source driver selected among seven possibilities. The first selection choice sticks the associated output at 0 (This is the reset value and it can be used at any time to disable the waveform generation). Selection choices 1 to 4 respectively select 1 Hz, 32 Hz, 64 Hz and 512 Hz. 32 Hz or 64 Hz can drive, for example, a TN LCD backplane signal while 1 Hz can be used to drive a blinking character like “:” for basic time display (hour, minute) on TN LCDs. Selection choice 5 provides a toggling signal when the RTC alarm is reached. Selection choice 6 provides a copy of the alarm flag, so the associated output is set high (logical 1) when an alarm occurs and immediately cleared when software clears the alarm interrupt source. Selection choice 7 provides a 1 Hz periodic high pulse of 15 µs duration that can be used to drive external devices for power consumption reduction or any other purpose. PIO lines associated to RTC outputs are automatically selecting these waveforms as soon as RTC_MR corresponding fields OUT0 and OUT1 differ from 0. 334 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 15-6. Waveform Generation ‘0’ 0 ‘0’ 0 1 Hz 1 1 Hz 1 32 Hz 2 32 Hz 2 64 Hz 3 64 Hz 3 512 Hz 4 512 Hz 4 toggle_alarm 5 toggle_alarm 5 flag_alarm 6 flag_alarm 6 pulse 7 pulse 7 RTCOUT0 RTC_MR(OUT0) RTCOUT1 RTC_MR(OUT1) alarm match event 2 alarm match event 1 flag_alarm RTC_SCCR(ALRCLR) RTC_SCCR(ALRCLR) toggle_alarm pulse Thigh Tperiod Tperiod SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 335 15.6 Real-time Clock (RTC) User Interface Table 15-2. Offset Register Mapping Register Name Access Reset 0x00 Control Register RTC_CR Read/Write 0x00000000 0x04 Mode Register RTC_MR Read/Write 0x00000000 0x08 Time Register RTC_TIMR Read/Write 0x00000000 0x0C Calendar Register RTC_CALR Read/Write 0x01a11020 0x10 Time Alarm Register RTC_TIMALR Read/Write 0x00000000 0x14 Calendar Alarm Register RTC_CALALR Read/Write 0x01010000 0x18 Status Register RTC_SR Read-only 0x00000000 0x1C Status Clear Command Register RTC_SCCR Write-only – 0x20 Interrupt Enable Register RTC_IER Write-only – 0x24 Interrupt Disable Register RTC_IDR Write-only – 0x28 Interrupt Mask Register RTC_IMR Read-only 0x00000000 0x2C Valid Entry Register RTC_VER Read-only 0x00000000 0x30–0xC8 Reserved – – – 0xCC Reserved – – – 0xD0 Reserved – – – 0xD4–0xF8 Reserved – – – 0xFC Reserved – – – Note: If an offset is not listed in the table it must be considered as reserved. 336 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 15.6.1 RTC Control Register Name: RTC_CR Address: 0x400E1860 Access: Read/Write 31 30 29 28 27 26 25 24 – – – – – – – – 23 22 21 20 19 18 17 – – – – – – 15 14 13 12 11 10 – – – – – – 16 CALEVSEL 9 8 TIMEVSEL 7 6 5 4 3 2 1 0 – – – – – – UPDCAL UPDTIM This register can only be written if the WPEN bit is cleared in the System Controller Write Protection Mode Register (SYSC_WPMR). • UPDTIM: Update Request Time Register 0: No effect or, if UPDTIM has been previously written to 1, stops the update procedure. 1: Stops the RTC time counting. Time counting consists of second, minute and hour counters. Time counters can be programmed once this bit is set and acknowledged by the bit ACKUPD of the RTC_SR. • UPDCAL: Update Request Calendar Register 0: No effect or, if UPDCAL has been previously written to 1, stops the update procedure. 1: Stops the RTC calendar counting. Calendar counting consists of day, date, month, year and century counters. Calendar counters can be programmed once this bit is set and acknowledged by the bit ACKUPD of the RTC_SR. • TIMEVSEL: Time Event Selection The event that generates the flag TIMEV in RTC_SR depends on the value of TIMEVSEL. Value Name Description 0 MINUTE Minute change 1 HOUR Hour change 2 MIDNIGHT Every day at midnight 3 NOON Every day at noon SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 337 • CALEVSEL: Calendar Event Selection The event that generates the flag CALEV in RTC_SR depends on the value of CALEVSEL Value Name Description 0 WEEK Week change (every Monday at time 00:00:00) 1 MONTH Month change (every 01 of each month at time 00:00:00) 2 YEAR Year change (every January 1 at time 00:00:00) 3 – Reserved 338 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 15.6.2 RTC Mode Register Name: RTC_MR Address: 0x400E1864 Access: Read/Write 31 30 – – 23 22 – 15 29 28 27 TPERIOD 21 20 13 25 18 17 – 12 HIGHPPM 24 THIGH 19 OUT1 14 26 – 16 OUT0 11 10 9 8 CORRECTION 7 6 5 4 3 2 1 0 – – – NEGPPM – – PERSIAN HRMOD This register can only be written if the WPEN bit is cleared in the System Controller Write Protection Mode Register (SYSC_WPMR). • HRMOD: 12-/24-hour Mode 0: 24-hour mode is selected. 1: 12-hour mode is selected. • PERSIAN: PERSIAN Calendar 0: Gregorian calendar. 1: Persian calendar. • NEGPPM: NEGative PPM Correction 0: Positive correction (the divider will be slightly higher than 32768). 1: Negative correction (the divider will be slightly lower than 32768). Refer to CORRECTION and HIGHPPM field descriptions. Note: NEGPPM must be cleared to correct a crystal slower than 32.768 kHz. • CORRECTION: Slow Clock Correction 0: No correction 1–127: The slow clock will be corrected according to the formula given in HIGHPPM description. • HIGHPPM: HIGH PPM Correction 0: Lower range ppm correction with accurate correction. 1: Higher range ppm correction with accurate correction. If the absolute value of the correction to be applied is lower than 30 ppm, it is recommended to clear HIGHPPM. HIGHPPM set to 1 is recommended for 30 ppm correction and above. Formula: If HIGHPPM = 0, then the clock frequency correction range is from 1.5 ppm up to 98 ppm. The RTC accuracy is less than 1 ppm for a range correction from 1.5 ppm up to 30 ppm. The correction field must be programmed according to the required correction in ppm; the formula is as follows: SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 339 3906 CORRECTION = ----------------------- – 1 20 × ppm The value obtained must be rounded to the nearest integer prior to being programmed into CORRECTION field. If HIGHPPM = 1, then the clock frequency correction range is from 30.5 ppm up to 1950 ppm. The RTC accuracy is less than 1 ppm for a range correction from 30.5 ppm up to 90 ppm. The correction field must be programmed according to the required correction in ppm; the formula is as follows: 3906 CORRECTION = ------------ – 1 ppm The value obtained must be rounded to the nearest integer prior to be programmed into CORRECTION field. If NEGPPM is set to 1, the ppm correction is negative (used to correct crystals that are faster than the nominal 32.768 kHz). • OUT0: RTCOUT0 OutputSource Selection Value Name Description 0 NO_WAVE No waveform, stuck at ‘0’ 1 FREQ1HZ 1 Hz square wave 2 FREQ32HZ 32 Hz square wave 3 FREQ64HZ 64 Hz square wave 4 FREQ512HZ 512 Hz square wave 5 ALARM_TOGGLE Output toggles when alarm flag rises 6 ALARM_FLAG Output is a copy of the alarm flag 7 PROG_PULSE Duty cycle programmable pulse • OUT1: RTCOUT1 Output Source Selection Value Name Description 0 NO_WAVE No waveform, stuck at ‘0’ 1 FREQ1HZ 1 Hz square wave 2 FREQ32HZ 32 Hz square wave 3 FREQ64HZ 64 Hz square wave 4 FREQ512HZ 512 Hz square wave 5 ALARM_TOGGLE Output toggles when alarm flag rises 6 ALARM_FLAG Output is a copy of the alarm flag 7 PROG_PULSE Duty cycle programmable pulse • THIGH: High Duration of the Output Pulse Value 340 Name Description 0 H_31MS 31.2 ms 1 H_16MS 15.6 ms 2 H_4MS 3.91 ms 3 H_976US 976 µs SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Value Name Description 4 H_488US 488 µs 5 H_122US 122 µs 6 H_30US 30.5 µs 7 H_15US 15.2 µs • TPERIOD: Period of the Output Pulse Value Name Description 0 P_1S 1 second 1 P_500MS 500 ms 2 P_250MS 250 ms 3 P_125MS 125 ms SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 341 15.6.3 RTC Time Register Name: RTC_TIMR Address: 0x400E1868 Access: Read/Write 31 30 29 28 27 26 25 24 – – – – – – – – 23 22 21 20 19 18 17 16 – AMPM 15 14 10 9 8 2 1 0 HOUR 13 12 – 7 11 MIN 6 5 – 4 3 SEC • SEC: Current Second The range that can be set is 0–59 (BCD). The lowest four bits encode the units. The higher bits encode the tens. • MIN: Current Minute The range that can be set is 0–59 (BCD). The lowest four bits encode the units. The higher bits encode the tens. • HOUR: Current Hour The range that can be set is 1–12 (BCD) in 12-hour mode or 0–23 (BCD) in 24-hour mode. • AMPM: Ante Meridiem Post Meridiem Indicator This bit is the AM/PM indicator in 12-hour mode. 0: AM. 1: PM. 342 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 15.6.4 RTC Calendar Register Name: RTC_CALR Address: 0x400E186C Access: Read/Write 31 30 – – 23 22 29 28 27 21 20 19 DAY 15 14 26 25 24 18 17 16 DATE MONTH 13 12 11 10 9 8 3 2 1 0 YEAR 7 6 5 – 4 CENT • CENT: Current Century The range that can be set is 19–20 (Gregorian) or 13–14 (Persian) (BCD). The lowest four bits encode the units. The higher bits encode the tens. • YEAR: Current Year The range that can be set is 00–99 (BCD). The lowest four bits encode the units. The higher bits encode the tens. • MONTH: Current Month The range that can be set is 01–12 (BCD). The lowest four bits encode the units. The higher bits encode the tens. • DAY: Current Day in Current Week The range that can be set is 1–7 (BCD). The coding of the number (which number represents which day) is user-defined as it has no effect on the date counter. • DATE: Current Day in Current Month The range that can be set is 01–31 (BCD). The lowest four bits encode the units. The higher bits encode the tens. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 343 15.6.5 RTC Time Alarm Register Name: RTC_TIMALR Address: 0x400E1870 Access: Read/Write 31 30 29 28 27 26 25 24 – – – – – – – – 21 20 19 18 17 16 10 9 8 2 1 0 23 22 HOUREN AMPM 15 14 HOUR 13 12 MINEN 7 11 MIN 6 5 SECEN 4 3 SEC This register can only be written if the WPEN bit is cleared in the System Controller Write Protection Mode Register (SYSC_WPMR). Note: To change one of the SEC, MIN, HOUR fields, it is recommended to disable the field before changing the value and then reenable it after the change has been made. This requires up to three accesses to the RTC_TIMALR. The first access clears the enable corresponding to the field to change (SECEN, MINEN, HOUREN). If the field is already cleared, this access is not required. The second access performs the change of the value (SEC, MIN, HOUR). The third access is required to re-enable the field by writing 1 in SECEN, MINEN, HOUREN fields. • SEC: Second Alarm This field is the alarm field corresponding to the BCD-coded second counter. • SECEN: Second Alarm Enable 0: The second-matching alarm is disabled. 1: The second-matching alarm is enabled. • MIN: Minute Alarm This field is the alarm field corresponding to the BCD-coded minute counter. • MINEN: Minute Alarm Enable 0: The minute-matching alarm is disabled. 1: The minute-matching alarm is enabled. • HOUR: Hour Alarm This field is the alarm field corresponding to the BCD-coded hour counter. • AMPM: AM/PM Indicator This field is the alarm field corresponding to the BCD-coded hour counter. • HOUREN: Hour Alarm Enable 0: The hour-matching alarm is disabled. 1: The hour-matching alarm is enabled. 344 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 15.6.6 RTC Calendar Alarm Register Name: RTC_CALALR Address: 0x400E1874 Access: Read/Write 31 30 DATEEN – 29 28 27 26 25 24 18 17 16 DATE 23 22 21 MTHEN – – 20 19 15 14 13 12 11 10 9 8 – – – – – – – – MONTH 7 6 5 4 3 2 1 0 – – – – – – – – This register can only be written if the WPEN bit is cleared in the System Controller Write Protection Mode Register (SYSC_WPMR). Note: To change one of the DATE, MONTH fields, it is recommended to disable the field before changing the value and then re-enable it after the change has been made. This requires up to three accesses to the RTC_CALALR. The first access clears the enable corresponding to the field to change (DATEEN, MTHEN). If the field is already cleared, this access is not required. The second access performs the change of the value (DATE, MONTH). The third access is required to re-enable the field by writing 1 in DATEEN, MTHEN fields. • MONTH: Month Alarm This field is the alarm field corresponding to the BCD-coded month counter. • MTHEN: Month Alarm Enable 0: The month-matching alarm is disabled. 1: The month-matching alarm is enabled. • DATE: Date Alarm This field is the alarm field corresponding to the BCD-coded date counter. • DATEEN: Date Alarm Enable 0: The date-matching alarm is disabled. 1: The date-matching alarm is enabled. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 345 15.6.7 RTC Status Register Name: RTC_SR Address: 0x400E1878 Access: Read-only 31 30 29 28 27 26 25 24 – – – – – – – – 23 22 21 20 19 18 17 16 – – – – – – – – 15 14 13 12 11 10 9 8 – – – – – – – – 7 6 5 4 3 2 1 0 – – TDERR CALEV TIMEV SEC ALARM ACKUPD • ACKUPD: Acknowledge for Update Value Name Description 0 FREERUN Time and calendar registers cannot be updated. 1 UPDATE Time and calendar registers can be updated. • ALARM: Alarm Flag Value Name Description 0 NO_ALARMEVENT No alarm matching condition occurred. 1 ALARMEVENT An alarm matching condition has occurred. • SEC: Second Event Value Name Description 0 NO_SECEVENT No second event has occurred since the last clear. 1 SECEVENT At least one second event has occurred since the last clear. • TIMEV: Time Event Value Name Description 0 NO_TIMEVENT No time event has occurred since the last clear. 1 TIMEVENT At least one time event has occurred since the last clear. Note: The time event is selected in the TIMEVSEL field in the Control Register (RTC_CR) and can be any one of the following events: minute change, hour change, noon, midnight (day change). • CALEV: Calendar Event Value Name Description 0 NO_CALEVENT No calendar event has occurred since the last clear. 1 CALEVENT At least one calendar event has occurred since the last clear. Note: The calendar event is selected in the CALEVSEL field in the Control Register (RTC_CR) and can be any one of the following events: week change, month change and year change. 346 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 • TDERR: Time and/or Date Free Running Error Value Name Description 0 CORRECT The internal free running counters are carrying valid values since the last read of the Status Register (RTC_SR). 1 ERR_TIMEDATE The internal free running counters have been corrupted (invalid date or time, non-BCD values) since the last read and/or they are still invalid. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 347 15.6.8 RTC Status Clear Command Register Name: RTC_SCCR Address: 0x400E187C Access: Write-only 31 30 29 28 27 26 25 24 – – – – – – – – 23 22 21 20 19 18 17 16 – – – – – – – – 15 14 13 12 11 10 9 8 – – – – – – – – 7 6 5 4 3 2 1 0 – – TDERRCLR CALCLR TIMCLR SECCLR ALRCLR ACKCLR • ACKCLR: Acknowledge Clear 0: No effect. 1: Clears corresponding status flag in the Status Register (RTC_SR). • ALRCLR: Alarm Clear 0: No effect. 1: Clears corresponding status flag in the Status Register (RTC_SR). • SECCLR: Second Clear 0: No effect. 1: Clears corresponding status flag in the Status Register (RTC_SR). • TIMCLR: Time Clear 0: No effect. 1: Clears corresponding status flag in the Status Register (RTC_SR). • CALCLR: Calendar Clear 0: No effect. 1: Clears corresponding status flag in the Status Register (RTC_SR). • TDERRCLR: Time and/or Date Free Running Error Clear 0: No effect. 1: Clears corresponding status flag in the Status Register (RTC_SR). 348 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 15.6.9 RTC Interrupt Enable Register Name: RTC_IER Address: 0x400E1880 Access: Write-only 31 30 29 28 27 26 25 24 – – – – – – – – 23 22 21 20 19 18 17 16 – – – – – – – – 15 14 13 12 11 10 9 8 – – – – – – – – 7 6 5 4 3 2 1 0 – – TDERREN CALEN TIMEN SECEN ALREN ACKEN • ACKEN: Acknowledge Update Interrupt Enable 0: No effect. 1: The acknowledge for update interrupt is enabled. • ALREN: Alarm Interrupt Enable 0: No effect. 1: The alarm interrupt is enabled. • SECEN: Second Event Interrupt Enable 0: No effect. 1: The second periodic interrupt is enabled. • TIMEN: Time Event Interrupt Enable 0: No effect. 1: The selected time event interrupt is enabled. • CALEN: Calendar Event Interrupt Enable 0: No effect. 1: The selected calendar event interrupt is enabled. • TDERREN: Time and/or Date Error Interrupt Enable 0: No effect. 1: The time and date error interrupt is enabled. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 349 15.6.10 RTC Interrupt Disable Register Name: RTC_IDR Address: 0x400E1884 Access: Write-only 31 30 29 28 27 26 25 24 – – – – – – – – 23 22 21 20 19 18 17 16 – – – – – – – – 15 14 13 12 11 10 9 8 – – – – – – – – 7 6 5 4 3 2 1 0 – – TDERRDIS CALDIS TIMDIS SECDIS ALRDIS ACKDIS • ACKDIS: Acknowledge Update Interrupt Disable 0: No effect. 1: The acknowledge for update interrupt is disabled. • ALRDIS: Alarm Interrupt Disable 0: No effect. 1: The alarm interrupt is disabled. • SECDIS: Second Event Interrupt Disable 0: No effect. 1: The second periodic interrupt is disabled. • TIMDIS: Time Event Interrupt Disable 0: No effect. 1: The selected time event interrupt is disabled. • CALDIS: Calendar Event Interrupt Disable 0: No effect. 1: The selected calendar event interrupt is disabled. • TDERRDIS: Time and/or Date Error Interrupt Disable 0: No effect. 1: The time and date error interrupt is disabled. 350 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 15.6.11 RTC Interrupt Mask Register Name: RTC_IMR Address: 0x400E1888 Access: Read-only 31 30 29 28 27 26 25 24 – – – – – – – – 23 22 21 20 19 18 17 16 – – – – – – – – 15 14 13 12 11 10 9 8 – – – – – – – – 7 6 5 4 3 2 1 0 – – TDERR CAL TIM SEC ALR ACK • ACK: Acknowledge Update Interrupt Mask 0: The acknowledge for update interrupt is disabled. 1: The acknowledge for update interrupt is enabled. • ALR: Alarm Interrupt Mask 0: The alarm interrupt is disabled. 1: The alarm interrupt is enabled. • SEC: Second Event Interrupt Mask 0: The second periodic interrupt is disabled. 1: The second periodic interrupt is enabled. • TIM: Time Event Interrupt Mask 0: The selected time event interrupt is disabled. 1: The selected time event interrupt is enabled. • CAL: Calendar Event Interrupt Mask 0: The selected calendar event interrupt is disabled. 1: The selected calendar event interrupt is enabled. • TDERR: Time and/or Date Error Mask 0: The time and/or date error event is disabled. 1: The time and/or date error event is enabled. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 351 15.6.12 RTC Valid Entry Register Name: RTC_VER Address: 0x400E188C Access: Read-only 31 30 29 28 27 26 25 24 – – – – – – – – 23 22 21 20 19 18 17 16 – – – – – – – – 15 14 13 12 11 10 9 8 – – – – – – – – 7 6 5 4 3 2 1 0 – – – – NVCALALR NVTIMALR NVCAL NVTIM • NVTIM: Non-valid Time 0: No invalid data has been detected in RTC_TIMR (Time Register). 1: RTC_TIMR has contained invalid data since it was last programmed. • NVCAL: Non-valid Calendar 0: No invalid data has been detected in RTC_CALR (Calendar Register). 1: RTC_CALR has contained invalid data since it was last programmed. • NVTIMALR: Non-valid Time Alarm 0: No invalid data has been detected in RTC_TIMALR (Time Alarm Register). 1: RTC_TIMALR has contained invalid data since it was last programmed. • NVCALALR: Non-valid Calendar Alarm 0: No invalid data has been detected in RTC_CALALR (Calendar Alarm Register). 1: RTC_CALALR has contained invalid data since it was last programmed. 352 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 15.6.13 RTC TimeStamp Time Register 0 (UTC_MODE) Name: RTC_TSTR0 (UTC_MODE) Access: Read-only 31 30 29 28 BACKUP – – – 27 26 25 24 23 22 21 20 19 – – – – – 18 17 16 – – – 15 14 13 12 11 10 9 8 – – – – – – – – 7 6 5 4 3 2 1 0 – – – – – – – – TEVCNT RTC_TSTR0 reports the timestamp of the first tamper event. • TEVCNT: Tamper Events Counter (cleared by reading RTC_TSSR0) Each time a tamper event occurs, this counter is incremented. This counter saturates at 15. Once this value is reached, it is no more possible to know the exact number of tamper events. If this field is not null, this implies that at least one tamper event occurs since last register reset and that the values stored in timestamping registers are valid. • BACKUP: System Mode of the Tamper (cleared by reading RTC_TSSR0) 0: The state of the system is different from Backup mode when the tamper event occurs. 1: The system is in Backup mode when the tamper event occurs. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 353 15.6.14 RTC TimeStamp Time Register 1 (UTC_MODE) Name: RTC_TSTR1 (UTC_MODE) Access: Read-only 31 30 29 28 27 26 25 24 BACKUP – – – – – – – 23 22 21 20 19 18 17 16 – – – – – – – – 15 14 13 12 11 10 9 8 – – – – – – – – 7 6 5 4 3 2 1 0 – – – – – – – – RTC_TSTR1 reports the timestamp of the last tamper event. • BACKUP: System Mode of the Tamper 0: The state of the system is different from Backup mode when the tamper event occurs. 1: The system is in Backup mode when the tamper event occurs. 354 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 15.6.15 RTC TimeStamp Date Register (UTC_MODE) Name: RTC_TSDRx (UTC_MODE) Access: Read-only 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 UTC_TIME 23 22 21 20 UTC_TIME 15 14 13 12 UTC_TIME 7 6 5 4 UTC_TIME • UTC_TIME: Time of the Tamper (UTC format) This configuration is relevant only if UTC = 1 in RTC_MR. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 355 16. Watchdog Timer (WDT) 16.1 Description The Watchdog Timer (WDT) is used to prevent system lock-up if the software becomes trapped in a deadlock. It features a 12-bit down counter that allows a watchdog period of up to 16 seconds (slow clock around 32 kHz). It can generate a general reset or a processor reset only. In addition, it can be stopped while the processor is in Debug mode or Sleep mode (Idle mode). 16.2 356 Embedded Characteristics  12-bit Key-protected Programmable Counter  Watchdog Clock is Independent from Processor Clock  Provides Reset or Interrupt Signals to the System  Counter May Be Stopped while the Processor is in Debug State or in Idle Mode SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 16.3 Block Diagram Figure 16-1. Watchdog Timer Block Diagram write WDT_MR WDT_MR WDV WDT_CR WDRSTT reload 1 0 12-bit Down Counter WDT_MR WDD reload Current Value 1/128 SLCK 0 WKUPTx=0 Edge detect + debounce time WKUPx Edge detect + debounce time VROFF=1 VROFF=1 System Active BACKUP Active BACKUP active runtime Active active runtime BACKUP check WKUPx status check WKUPx status 18.4.7.3 Low-power Tamper Detection and Anti-Tampering Low-power debouncer inputs (WKUP0, WKUP1) can be used for tamper detection. If the tamper sensor is biased through a resistor and constantly driven by the power supply, this leads to power consumption as long as the tamper detection switch is in its active state. To prevent power consumption when the switch is in active state, the tamper sensor circuitry must be intermittently powered, and thus a specific waveform must be applied to the sensor circuitry. The waveform is generated using RTCOUTx in all modes including Backup mode. Refer to the section “Real-Time Clock (RTC)” for waveform generation. Separate debouncers are embedded, one for WKUP0 input, one for WKUP1 input. The WKUP0 and/or WKUP1 inputs perform a system wake-up upon tamper detection. This is enabled by setting the LPDBCEN0/1 bit in the SUPC_WUMR. WKUP0 and/or WKUP1 inputs can also be used when VDDCORE is powered to detect a tamper. 380 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 When the bit LPDBCENx is written to 1, WKUPx pins must not be configured to act as a debouncing source for the WKUPDBC counter (WKUPENx must be cleared in SUPC_WUIR). Low-power tamper detection or debounce requires RTC output (RTCOUTx) to be configured to generate a duty cycle programmable pulse (i.e., OUT0 = 0x7 in RTC_MR) in order to create the sampling points of both debouncers. The sampling point is the falling edge of the RTCOUTx waveform. Figure 18-6 shows an example of an application where two tamper switches are used. RTCOUTx powers the external pull-up used by the tamper sensor circuitry. Figure 18-6. Low-power Debouncer (Push-to-Make Switch, Pull-up Resistors) MCU RTCOUTx Pull-up Resistor WKUP0 Pull-up Resistor GND WKUP1 GND GND Figure 18-7. Low-power Debouncer (Push-to-Break Switch, Pull-down Resistors) MCU RTCOUTx WKUP0 WKUP1 Pull-down Resistors GND GND GND The debouncing period duration is configurable. The period is set for all debouncers (i.e., the duration cannot be adjusted for each debouncer). The number of successive identical samples to wake up the system can be configured from 2 up to 8 in the LPDBC field of SUPC_WUMR. The period of time between two samples can be configured by programming the TPERIOD field in the RTC_MR. Power parameters can be adjusted by modifying the period of time in the THIGH field in RTC_MR. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 381 The wake-up polarity of the inputs can be independently configured by writing WKUPT0 and/ or WKUPT1 fields in SUPC_WUMR. In order to determine which wake-up/tamper pin triggers the system wake-up, a status flag is associated for each low-power debouncer. These flags are read in SUPC_SR. A debounce event (tamper detection) can perform an immediate clear (0 delay) on the first half the generalpurpose backup registers (GPBR). The LPDBCCLR bit must be set in SUPC_WUMR. Note that it is not mandatory to use the RTCOUTx pin when using the WKUP0/WKUP1 pins as tampering inputs in any mode. Using the RTCOUTx pin provides a “sampling mode” to further reduce the power consumption of the tamper detection circuitry. If RTCOUTx is not used, the RTC must be configured to create an internal sampling point for the debouncer logic. The period of time between two samples can be configured by programming the TPERIOD field in RTC_MR. Figure 18-8 illustrates the use of WKUPx without the RTCOUTx pin. Figure 18-8. Using WKUP Pins Without RTCOUTx Pins VDDIO MCU Pull-up Resistor WKUP0 Pull-up Resistor GND WKUP1 GND GND 18.4.7.4 Clock Alarms The RTC and the RTT alarms can generate a wake-up of the core power supply. This can be enabled by setting, respectively, the bits RTCEN and RTTEN in SUPC_WUMR. The Supply Controller does not provide any status as the information is available in the user interface of either the Real-Time Timer or the Real-Time Clock. 18.4.7.5 Supply Monitor Detection The supply monitor can generate a wake-up of the core power supply. See Section 18.4.4 ”Supply Monitor”. 382 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 18.4.8 Register Write Protection To prevent any single software error from corrupting SYSC behavior, certain registers in the address space can be write-protected by setting the WPEN bit in the ”System Controller Write Protection Mode Register” (SYSC_WPMR). The following registers can be write-protected:  RSTC Mode Register  RTT Mode Register  RTT Alarm Register  RTC Control Register  RTC Mode Register  RTC Time Alarm Register  RTC Calendar Alarm Register  General Purpose Backup Registers  Supply Controller Control Register  Supply Controller Supply Monitor Mode Register  Supply Controller Mode Register  Supply Controller Wake-up Mode Register 18.4.9 Register Bits in Backup Domain (VDDIO) The following configuration registers, or certain bits of the registers, are physically located in the product backup domain:  RSTC Mode Register (all bits)  RTT Mode Register (all bits)  RTT Alarm Register (all bits)  RTC Control Register (all bits)  RTC Mode Register (all bits)  RTC Time Alarm Register (all bits)  RTC Calendar Alarm Register (all bits)  General Purpose Backup Registers (all bits)  Supply Controller Control Register (see register description for details)  Supply Controller Supply Monitor Mode Register (all bits)  Supply Controller Mode Register (see register description for details)  Supply Controller Wake-up Mode Register (all bits)  Supply Controller Wake-up Inputs Register (all bits)  Supply Controller Status Register (all bits) SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 383 18.5 Supply Controller (SUPC) User Interface The user interface of the Supply Controller is part of the System Controller user interface. 18.5.1 System Controller (SYSC) User Interface Table 18-1. System Controller Registers Offset System Controller Peripheral Name 0x00-0x0c Reset Controller RSTC 0x10-0x2C Supply Controller SUPC 0x30-0x3C Real Time Timer RTT 0x50-0x5C Watchdog Timer WDT 0x60-0x8C Real Time Clock RTC 0x90-0xDC General Purpose Backup Register GPBR 0xE0 Reserved – 0xE4 Write Protection Mode Register SYSC_WPMR 0xE8-0xF8 Reserved – 0x100-0x10C Reinforced Safety Watchdog Timer RSWDT 18.5.2 Supply Controller (SUPC) User Interface Table 18-2. Register Mapping Offset Register Name Access Reset 0x00 Supply Controller Control Register SUPC_CR Write-only – 0x04 Supply Controller Supply Monitor Mode Register SUPC_SMMR Read/Write 0x0000_0000 0x08 Supply Controller Mode Register SUPC_MR Read/Write 0x0000_5A00 0x0C Supply Controller Wake-up Mode Register SUPC_WUMR Read/Write 0x0000_0000 0x10 Supply Controller Wake-up Inputs Register SUPC_WUIR Read/Write 0x0000_0000 0x14 Supply Controller Status Register SUPC_SR Read-only 0x0000_0000 0x18 Reserved – – – 0xD4 Write Protection Mode Register SYSC_WPMR Read/Write 0x0000_0000 384 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 18.5.3 Supply Controller Control Register Name: SUPC_CR Address: 0x400E1810 Access: Write-only 31 30 29 28 27 26 25 24 KEY 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 XTALSEL 2 VROFF 1 – 0 – This register can only be written if the WPEN bit is cleared in the System Controller Write Protection Mode Register (SYSC_MR). • VROFF: Voltage Regulator Off 0 (NO_EFFECT): No effect. 1 (STOP_VREG): If KEY is correct, VROFF asserts the vddcore_nreset and stops the voltage regulator. Note: This bit is located in the VDDIO domain. • XTALSEL: Crystal Oscillator Select 0 (NO_EFFECT): No effect. 1 (CRYSTAL_SEL): If KEY is correct, XTALSEL switches the slow clock on the crystal oscillator output. Note: This bit is located in the VDDIO domain. • KEY: Password Value Name 0xA5 PASSWD Description Writing any other value in this field aborts the write operation. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 385 18.5.4 Supply Controller Supply Monitor Mode Register Name: SUPC_SMMR Address: 0x400E1814 Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 SMIEN 12 SMRSTEN 11 – 10 9 SMSMPL 8 7 – 6 – 5 – 4 – 3 2 1 0 SMTH This register is located in the VDDIO domain. This register can only be written if the WPEN bit is cleared in the System Controller Write Protection Mode Register (SYSC_MR). • SMTH: Supply Monitor Threshold Selects the threshold voltage of the supply monitor. Refer to the section “Electrical Characteristics” for voltage values. • SMSMPL: Supply Monitor Sampling Period Value Name Description 0x0 SMD Supply Monitor disabled 0x1 CSM Continuous Supply Monitor 0x2 32SLCK Supply Monitor enabled one SLCK period every 32 SLCK periods 0x3 256SLCK Supply Monitor enabled one SLCK period every 256 SLCK periods 0x4 2048SLCK Supply Monitor enabled one SLCK period every 2,048 SLCK periods • SMRSTEN: Supply Monitor Reset Enable 0 (NOT_ENABLE): The core reset signal vddcore_nreset is not affected when a supply monitor detection occurs. 1 (ENABLE): The core reset signal, vddcore_nreset is asserted when a supply monitor detection occurs. • SMIEN: Supply Monitor Interrupt Enable 0 (NOT_ENABLE): The SUPC interrupt signal is not affected when a supply monitor detection occurs. 1 (ENABLE): The SUPC interrupt signal is asserted when a supply monitor detection occurs. 386 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 18.5.5 Supply Controller Mode Register Name: SUPC_MR Address: 0x400E1818 Access: Read/Write 31 30 29 28 27 26 25 24 KEY 23 – 22 – 21 – 20 OSCBYPASS 19 – 18 – 17 – 16 – 15 – 14 ONREG 13 BODDIS 12 BODRSTEN 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 – 2 – 1 – 0 – This register can only be written if the WPEN bit is cleared in the System Controller Write Protection Mode Register (SYSC_MR). • BODRSTEN: Brownout Detector Reset Enable 0 (NOT_ENABLE): The core reset signal vddcore_nreset is not affected when a brownout detection occurs. 1 (ENABLE): The core reset signal, vddcore_nreset is asserted when a brownout detection occurs. Note: This bit is located in the VDDIO domain. • BODDIS: Brownout Detector Disable 0 (ENABLE): The core brownout detector is enabled. 1 (DISABLE): The core brownout detector is disabled. Note: This bit is located in the VDDIO domain. • ONREG: Voltage Regulator Enable 0 (ONREG_UNUSED): Internal voltage regulator is not used (external power supply is used). 1 (ONREG_USED): Internal voltage regulator is used. Note: This bit is located in the VDDIO domain. • OSCBYPASS: Oscillator Bypass 0 (NO_EFFECT): No effect. Clock selection depends on the value of XTALSEL (SUPC_CR). 1 (BYPASS): The 32 kHz crystal oscillator is bypassed if XTALSEL (SUPC_CR) is set. OSCBYPASS must be set prior to setting XTALSEL. Note: This bit is located in the VDDIO domain. • KEY: Password Key Value Name 0xA5 PASSWD Description Writing any other value in this field aborts the write operation. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 387 18.5.6 Supply Controller Wake-up Mode Register Name: SUPC_WUMR Address: 0x400E181C Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 17 LPDBC 16 15 – 14 13 WKUPDBC 12 11 – 10 9 FWUPDBC 8 7 LPDBCCLR 6 LPDBCEN1 5 LPDBCEN0 4 – 3 RTCEN 2 RTTEN 1 SMEN 0 FWUPEN This register is located in the VDDIO domain. This register can only be written if the WPEN bit is cleared in the System Controller Write Protection Mode Register (SYSC_MR). • FWUPEN: Force Wake-up Enable 0 (NOT_ENABLE): The force wake-up pin has no wake-up effect. 1 (ENABLE): The force wake-up pin low forces the wake-up of the core power supply. • SMEN: Supply Monitor Wake-up Enable 0 (NOT_ENABLE): The supply monitor detection has no wake-up effect. 1 (ENABLE): The supply monitor detection forces the wake-up of the core power supply. • RTTEN: Real-time Timer Wake-up Enable 0 (NOT_ENABLE): The RTT alarm signal has no wake-up effect. 1 (ENABLE): The RTT alarm signal forces the wake-up of the core power supply. • RTCEN: Real-time Clock Wake-up Enable 0 (NOT_ENABLE): The RTC alarm signal has no wake-up effect. 1 (ENABLE): The RTC alarm signal forces the wake-up of the core power supply. • LPDBCEN0: Low-power Debouncer Enable WKUP0 0 (NOT_ENABLE): The WKUP0 input pin is not connected to the low-power debouncer. 1 (ENABLE): The WKUP0 input pin is connected to the low-power debouncer and forces a system wake-up. • LPDBCEN1: Low-power Debouncer Enable WKUP1 0 (NOT_ENABLE): The WKUP1 input pin is not connected to the low-power debouncer. 1 (ENABLE): The WKUP1 input pin is connected to the low-power debouncer and forces a system wake-up. 388 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 • LPDBCCLR: Low-power Debouncer Clear 0 (NOT_ENABLE): A low-power debounce event does not create an immediate clear on the first half of GPBR registers. 1 (ENABLE): A low-power debounce event on WKUP0 or WKUP1 generates an immediate clear on the first half of GPBR registers. • FWUPDBC: Force Wake-up Debouncer Period Value Name Description 0 IMMEDIATE 1 3_SCLK FWUP shall be low for at least 3 SLCK periods 2 32_SCLK FWUP shall be low for at least 32 SLCK periods 3 512_SCLK FWUP shall be low for at least 512 SLCK periods 4 4096_SCLK FWUP shall be low for at least 4,096 SLCK periods 5 32768_SCLK FWUP shall be low for at least 32,768 SLCK periods Immediate, no debouncing, detected active at least on one Slow Clock edge. • WKUPDBC: Wake-up Inputs Debouncer Period Value Name Description 0 IMMEDIATE 1 3_SCLK WKUPx shall be in its active state for at least 3 SLCK periods 2 32_SCLK WKUPx shall be in its active state for at least 32 SLCK periods 3 512_SCLK WKUPx shall be in its active state for at least 512 SLCK periods 4 4096_SCLK WKUPx shall be in its active state for at least 4,096 SLCK periods 5 32768_SCLK WKUPx shall be in its active state for at least 32,768 SLCK periods Immediate, no debouncing, detected active at least on one Slow Clock edge. • LPDBC: Low-power Debouncer Period Value Name Description 0 DISABLE 1 2_RTCOUT0 WKUP0/1 in active state for at least 2 RTCOUTx clock periods 2 3_RTCOUT0 WKUP0/1 in active state for at least 3 RTCOUTx clock periods 3 4_RTCOUT0 WKUP0/1 in active state for at least 4 RTCOUTx clock periods 4 5_RTCOUT0 WKUP0/1 in active state for at least 5 RTCOUTx clock periods 5 6_RTCOUT0 WKUP0/1 in active state for at least 6 RTCOUTx clock periods 6 7_RTCOUT0 WKUP0/1 in active state for at least 7 RTCOUTx clock periods 7 8_RTCOUT0 WKUP0/1 in active state for at least 8 RTCOUTx clock periods Disables the low-power debouncers. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 389 18.5.7 Supply Controller Wake-up Inputs Register Name: SUPC_WUIR Address: 0x400E1820 Access: Read/Write 31 WKUPT15 30 WKUPT14 29 WKUPT13 28 WKUPT12 27 WKUPT11 26 WKUPT10 25 WKUPT9 24 WKUPT8 23 WKUPT7 22 WKUPT6 21 WKUPT5 20 WKUPT4 19 WKUPT3 18 WKUPT2 17 WKUPT1 16 WKUPT0 15 WKUPEN15 14 WKUPEN14 13 WKUPEN13 12 WKUPEN12 11 WKUPEN11 10 WKUPEN10 9 WKUPEN9 8 WKUPEN8 7 WKUPEN7 6 WKUPEN6 5 WKUPEN5 4 WKUPEN4 3 WKUPEN3 2 WKUPEN2 1 WKUPEN1 0 WKUPEN0 This register is located in the VDDIO domain. • WKUPEN0 - WKUPENx: Wake-up Input Enable 0 to x 0 (DISABLE): The corresponding wake-up input has no wake-up effect. 1 (ENABLE): The corresponding wake-up input is enabled for a wake-up of the core power supply. • WKUPT0 - WKUPTx: Wake-up Input Type 0 to x 0 (LOW): A falling edge followed by a low level for a period defined by WKUPDBC on the corresponding wake-up input forces the wake-up of the core power supply. 1 (HIGH): A rising edge followed by a high level for a period defined by WKUPDBC on the corresponding wake-up input forces the wake-up of the core power supply. 390 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 18.5.8 Supply Controller Status Register Name: SUPC_SR Address: 0x400E1824 Access: Read-only 31 WKUPIS15 30 WKUPIS14 29 WKUPIS13 28 WKUPIS12 27 WKUPIS11 26 WKUPIS10 25 WKUPIS9 24 WKUPIS8 23 WKUPIS7 22 WKUPIS6 21 WKUPIS5 20 WKUPIS4 19 WKUPIS3 18 WKUPIS2 17 WKUPIS1 16 WKUPIS0 15 – 14 LPDBCS1 13 LPDBCS0 12 FWUPIS 11 – 10 – 9 – 8 – 7 OSCSEL 6 SMOS 5 SMS 4 SMRSTS 3 BODRSTS 2 SMWS 1 WKUPS 0 FWUPS Note: Because of the asynchronism between the Slow Clock (SLCK) and the System Clock (MCK), the status register flag reset is taken into account only 2 slow clock cycles after the read of the SUPC_SR. This register is located in the VDDIO domain. • FWUPS: FWUP Wake-up Status (cleared on read) 0 (NO): No wake-up due to the assertion of the FWUP pin has occurred since the last read of SUPC_SR. 1 (PRESENT): At least one wake-up due to the assertion of the FWUP pin has occurred since the last read of SUPC_SR. • WKUPS: WKUP Wake-up Status (cleared on read) 0 (NO): No wake-up due to the assertion of the WKUP pins has occurred since the last read of SUPC_SR. 1 (PRESENT): At least one wake-up due to the assertion of the WKUP pins has occurred since the last read of SUPC_SR. • SMWS: Supply Monitor Detection Wake-up Status (cleared on read) 0 (NO): No wake-up due to a supply monitor detection has occurred since the last read of SUPC_SR. 1 (PRESENT): At least one wake-up due to a supply monitor detection has occurred since the last read of SUPC_SR. • BODRSTS: Brownout Detector Reset Status (cleared on read) 0 (NO): No core brownout rising edge event has been detected since the last read of the SUPC_SR. 1 (PRESENT): At least one brownout output rising edge event has been detected since the last read of the SUPC_SR. When the voltage remains below the defined threshold, there is no rising edge event at the output of the brownout detection cell. The rising edge event occurs only when there is a voltage transition below the threshold. • SMRSTS: Supply Monitor Reset Status (cleared on read) 0 (NO): No supply monitor detection has generated a core reset since the last read of the SUPC_SR. 1 (PRESENT): At least one supply monitor detection has generated a core reset since the last read of the SUPC_SR. • SMS: Supply Monitor Status (cleared on read) 0 (NO): No supply monitor detection since the last read of SUPC_SR. 1 (PRESENT): At least one supply monitor detection since the last read of SUPC_SR. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 391 • SMOS: Supply Monitor Output Status 0 (HIGH): The supply monitor detected VDDIO higher than its threshold at its last measurement. 1 (LOW): The supply monitor detected VDDIO lower than its threshold at its last measurement. • OSCSEL: 32-kHz Oscillator Selection Status 0 (RC): The slow clock, SLCK, is generated by the embedded 32 kHz RC oscillator. 1 (CRYST): The slow clock, SLCK, is generated by the 32 kHz crystal oscillator. • FWUPIS: FWUP Input Status 0 (LOW): FWUP input is tied low. 1 (HIGH): FWUP input is tied high. • LPDBCS0: Low-power Debouncer Wake-up Status on WKUP0 (cleared on read) 0 (NO): No wake-up due to the assertion of the WKUP0 pin has occurred since the last read of SUPC_SR. 1 (PRESENT): At least one wake-up due to the assertion of the WKUP0 pin has occurred since the last read of SUPC_SR. • LPDBCS1: Low-power Debouncer Wake-up Status on WKUP1 (cleared on read) 0 (NO): No wake-up due to the assertion of the WKUP1 pin has occurred since the last read of SUPC_SR. 1 (PRESENT): At least one wake-up due to the assertion of the WKUP1 pin has occurred since the last read of SUPC_SR. • WKUPISx: WKUPx Input Status (cleared on read) 0 (DIS): The corresponding wake-up input is disabled, or was inactive at the time the debouncer triggered a wake-up event. 1 (EN): The corresponding wake-up input was active at the time the debouncer triggered a wake-up event since the last read of SUPC_SR. 392 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 18.5.9 System Controller Write Protection Mode Register Name: SYSC_WPMR Address: 0x400E18E4 Access: Read/Write 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 – 2 – 1 – 0 WPEN WPKEY 23 22 21 20 WPKEY 15 14 13 12 WPKEY 7 – 6 – 5 – 4 – • WPEN: Write Protection Enable 0: Disables the write protection if WPKEY corresponds to 0x525443 (“RTC” in ASCII). 1: Enables the write protection if WPKEY corresponds to 0x525443 (“RTC” in ASCII). See Section 18.4.8 ”Register Write Protection” for the list of registers that can be write-protected. • WPKEY: Write Protection Key. Value Name 0x525443 PASSWD Description Writing any other value in this field aborts the write operation of the WPEN bit. Always reads as 0. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 393 19. General Purpose Backup Registers (GPBR) 19.1 Description The System Controller embeds 640 bits of General Purpose Backup registers organized as 20 32-bit registers. It is possible to generate an immediate clear of the content of General Purpose Backup registers 0 to 9 (first half) if a Low-power Debounce event is detected on one of the wakeup pins, WKUP0 or WKUP1. The content of the other General Purpose Backup registers (second half) remains unchanged. The Supply Controller module must be programmed accordingly. In the register SUPC_WUMR in the Supply Controller module, LPDBCCLR, LPDBCEN0 and/or LPDBCEN1 bit must be configured to 1 and LPDBC must be other than 0. If a Tamper event has been detected, it is not possible to write to the General Purpose Backup registers while the LPDBCS0 or LPDBCS1 flags are not cleared in the Supply Controller Status Register (SUPC_SR). 19.2 Embedded Characteristics  394 640 bits of General Purpose Backup Registers SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 19.3 General Purpose Backup Registers (GPBR) User Interface Table 19-1. Offset 0x0 ... 0x64 Register Mapping Register Name General Purpose Backup Register 0 SYS_GPBR0 ... ... General Purpose Backup Register 19 SYS_GPBR19 Access Reset Read/Write 0x00000000 ... ... Read/Write 0x00000000 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 395 19.3.1 General Purpose Backup Register x Name: SYS_GPBRx Address: 0x400E1890 Access: Read/Write 31 30 29 28 27 26 25 24 18 17 16 10 9 8 2 1 0 GPBR_VALUE 23 22 21 20 19 GPBR_VALUE 15 14 13 12 11 GPBR_VALUE 7 6 5 4 3 GPBR_VALUE These registers are reset at first power-up and on each loss of VDDIO. • GPBR_VALUE: Value of GPBR x If a Tamper event has been detected, it is not possible to write GPBR_VALUE as long as the LPDBCS0 or LPDBCS1 flag has not been cleared in the Supply Controller Status Register (SUPC_SR). 396 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 20. Enhanced Embedded Flash Controller (EEFC) 20.1 Description The Enhanced Embedded Flash Controller (EEFC) provides the interface of the Flash block with the 32-bit internal bus. Its 128-bit or 64-bit wide memory interface increases performance. It also manages the programming, erasing, locking and unlocking sequences of the Flash using a full set of commands. One of the commands returns the embedded Flash descriptor definition that informs the system about the Flash organization, thus making the software generic. 20.2 Embedded Characteristics  Increases Performance in Thumb-2 Mode with 128-bit or 64-bit-wide Memory Interface up to 120 MHz  Code Loop Optimization  128 Lock Bits, Each Protecting a Lock Region  GPNVMx General-purpose GPNVM Bits  One-by-one Lock Bit Programming  Commands Protected by a Keyword  Erase the Entire Flash  Erase by Plane  Erase by Sector  Erase by Page  Provides Unique Identifier  Provides 512-byte User Signature Area  Supports Erasing before Programming  Locking and Unlocking Operations  Supports Read of the Calibration Bits 20.3 Product Dependencies 20.3.1 Power Management The Enhanced Embedded Flash Controller (EEFC) is continuously clocked. The Power Management Controller has no effect on its behavior. 20.3.2 Interrupt Sources The EEFC interrupt line is connected to the interrupt controller. Using the EEFC interrupt requires the interrupt controller to be programmed first. The EEFC interrupt is generated only if the value of EEFC_FMR.FRDY is ‘1’. Table 20-1. 20.4 20.4.1 Peripheral IDs Instance ID EFC 6 Functional Description Embedded Flash Organization The embedded Flash interfaces directly with the internal bus. The embedded Flash is composed of: SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 397  One memory plane organized in several pages of the same size for the code  A separate 2 x 512-byte memory area which includes the unique chip identifier  A separate 512-byte memory area for the user signature  Two 128-bit or 64-bit read buffers used for code read optimization  One 128-bit or 64-bit read buffer used for data read optimization  One write buffer that manages page programming. The write buffer size is equal to the page size. This buffer is write-only and accessible all along the 1 Mbyte address space, so that each word can be written to its final address.  Several lock bits used to protect write/erase operation on several pages (lock region). A lock bit is associated with a lock region composed of several pages in the memory plane.  Several bits that may be set and cleared through the EEFC interface, called general-purpose non-volatile memory bits (GPNVM bits) The embedded Flash size, the page size, the organization of lock regions and the definition of GPNVM bits are specific to the device. The EEFC returns a descriptor of the Flash controller after a ‘Get Flash Descriptor’ command has been issued by the application (see Section 20.4.3.1 ”Get Flash Descriptor Command”). Flash Memory Areas C od e Ar ea Figure 20-1. @FBA+0x010 @FBA+0x000 Write “Stop Unique Identifier” (Flash Command SPUI) U ni qu e Id en tif ie rA re a @FBA+0x3FF Write “Start Unique Identifier” (Flash Command STUI) @FBA+0x010 Unique Identifier @FBA+0x000 Write “Stop User signature” (Flash Command SPUS) Write “Start User Signature” (Flash Command STUS) U se rS ig na tu re Ar ea @FBA+0x1FF 398 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 @FBA+0x000 FBA = Flash Base Address Figure 20-2. Organization of Embedded Flash for Code Memory Plane Start Address Page 0 Lock Region 0 Lock Bit 0 Lock Region 1 Lock Bit 1 Lock Region (n-1) Lock Bit (n-1) Page (m-1) Start Address + Flash size -1 Page (n*m-1) SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 399 20.4.2 Read Operations An optimized controller manages embedded Flash reads, thus increasing performance when the processor is running in Thumb-2 mode by means of the 128- or 64-bit-wide memory interface. The Flash memory is accessible through 8-, 16- and 32-bit reads. As the Flash block size is smaller than the address space reserved for the internal memory area, the embedded Flash wraps around the address space and appears to be repeated within it. The read operations can be performed with or without wait states. Wait states must be programmed in the field FWS in the Flash Mode register (EEFC_FMR). Defining FWS as 0 enables the single-cycle access of the embedded Flash. For more details, refer to the section “Electrical Characteristics” of this datasheet. 20.4.2.1 128- or 64-bit Access Mode By default, the read accesses of the Flash are performed through a 128-bit wide memory interface. It improves system performance especially when two or three wait states are needed. For systems requiring only 1 wait state, or to focus on current consumption rather than performance, the user can select a 64-bit wide memory access via the bit EEFC_FMR.FAM. For more details, refer to the section “Electrical Characteristics” of this datasheet. 20.4.2.2 Code Read Optimization Code read optimization is enabled if the bit EEFC_FMR.SCOD is cleared. A system of 2 x 128-bit or 2 x 64-bit buffers is added in order to optimize sequential code fetch. Note: Immediate consecutive code read accesses are not mandatory to benefit from this optimization. The sequential code read optimization is enabled by default. If the bit EEFC_FMR.SCOD is set, these buffers are disabled and the sequential code read is no longer optimized. Another system of 2 x 128-bit or 2 x 64-bit buffers is added in order to optimize loop code fetch. Refer to Section 20.4.2.3 ”Code Loop Optimization” for more details. Figure 20-3. Code Read Optimization for FWS = 0 Master Clock ARM Request (32-bit) @0 @+4 @ +8 @+12 @+16 @+20 @+24 @+28 @+32 anticipation of @16-31 Flash Access Buffer 0 (128 bits) Buffer 1 (128 bits) Data to ARM XXX Bytes 0–15 Bytes 16–31 XXX Bytes 32–47 Bytes 32–47 Bytes 0–15 XXX Bytes 0–3 Bytes 16–31 Bytes 4–7 Bytes 8–11 Bytes 12–15 Bytes 16–19 Note: When FWS is equal to 0, all the accesses are performed in a single-cycle access. 400 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Bytes 20–23 Bytes 24–27 Bytes 28–31 Figure 20-4. Code Read Optimization for FWS = 3 Master Clock ARM Request (32-bit) @+4 @+8 @+12 @+16 @+20 @+24 @+28 @+32 @+36 @+40 @+44 @+48 @+52 @0 wait 3 cycles before 128-bit data is stable @0/4/8/12 are ready Flash Access Bytes 0–15 Buffer 0 (128 bits) anticipation of @32-47 anticipation of @16-31 @16/20/24/28 are ready Bytes 16–31 Bytes 32–47 Bytes 0–15 Buffer 1 (128 bits) Bytes 32–47 Bytes 16–31 XXX XXX Data to ARM 0–3 Bytes 48–6 4–7 8–11 12–15 16–19 20–23 24–27 28–31 32–35 36–39 40–43 44–47 48–51 Note: When FWS is between 1 and 3, in case of sequential reads, the first access takes (FWS + 1) cycles. The following accesses take only one cycle. 20.4.2.3 Code Loop Optimization Code loop optimization is enabled when the bit EEFC_FMR.CLOE is set. When a backward jump is inserted in the code, the pipeline of the sequential optimization is broken and becomes inefficient. In this case, the loop code read optimization takes over from the sequential code read optimization to prevent the insertion of wait states. The loop code read optimization is enabled by default. In EEFC_FMR, if the bit CLOE is reset to 0 or the bit SCOD is set, these buffers are disabled and the loop code read is not optimized. When code loop optimization is enabled, if inner loop body instructions L0 to Ln are positioned from the 128-bit Flash memory cell Mb0 to the memory cell Mp1, after recognition of a first backward branch, the first two Flash memory cells Mb0 and Mb1 targeted by this branch are cached for fast access from the processor at the next loop iteration. Then by combining the sequential prefetch (described in Section 20.4.2.2 ”Code Read Optimization”) through the loop body with the fast read access to the loop entry cache, the entire loop can be iterated with no wait state. Figure 20-5 illustrates code loop optimization. Figure 20-5. Code Loop Optimization Backward address jump Flash Memory 128-bit words Mb0 B0 B1 Mb1 Mp0 Mp1 L0 L1 L2 L3 L4 L5 Ln-5 Ln-4 Ln-3 Ln-2 Ln-1 Ln B2 B3 B4 B5 B6 B7 P0 P1 P2 P3 P4 P5 2×128-bit loop entry cache P6 P7 2×128-bit prefetch buffer Mb0 Branch Cache 0 L0 Loop Entry instruction Mp0 Prefetch Buffer 0 Mb1 Branch Cache 1 Ln Loop End instruction Mp1 Prefetch Buffer 1 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 401 20.4.2.4 Data Read Optimization The organization of the Flash in 128 bits or 64 bits is associated with two 128-bit or 64-bit prefetch buffers and one 128-bit or 64-bit data read buffer, thus providing maximum system performance. This buffer is added in order to store the requested data plus all the data contained in the 128-bit or 64-bit aligned data. This speeds up sequential data reads if, for example, FWS is equal to 1 (see Figure 20-6). The data read optimization is enabled by default. If the bit EEFC_FMR.SCOD is set, this buffer is disabled and the data read is no longer optimized. Note: Figure 20-6. No consecutive data read accesses are mandatory to benefit from this optimization. Data Read Optimization for FWS = 1 Master Clock ARM Request (32-bit) @Byte 0 @4 Flash Access XXX 20.4.3 @ 12 @ 16 Bytes 0–15 XXX @ 20 @ 24 @ 28 4–7 8–11 @ 36 Bytes 32–47 Bytes 0–15 Bytes 0–3 @ 32 Bytes 16–31 XXX Buffer (128 bits) Data to ARM @8 Bytes 16–31 12–15 16–19 20–23 24–27 28–31 32–35 Flash Commands The EEFC offers a set of commands to manage programming the Flash memory, locking and unlocking lock regions, consecutive programming, locking and full Flash erasing, etc. The commands are listed in the following table. Table 20-2. 402 Set of Commands Command Value Mnemonic Get Flash descriptor 0x00 GETD Write page 0x01 WP Write page and lock 0x02 WPL Erase page and write page 0x03 EWP Erase page and write page then lock 0x04 EWPL Erase all 0x05 EA Erase pages 0x07 EPA Set lock bit 0x08 SLB Clear lock bit 0x09 CLB Get lock bit 0x0A GLB Set GPNVM bit 0x0B SGPB Clear GPNVM bit 0x0C CGPB SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 20-2. Set of Commands (Continued) Command Value Mnemonic Get GPNVM bit 0x0D GGPB Start read unique identifier 0x0E STUI Stop read unique identifier 0x0F SPUI Get CALIB bit 0x10 GCALB Erase sector 0x11 ES Write user signature 0x12 WUS Erase user signature 0x13 EUS Start read user signature 0x14 STUS Stop read user signature 0x15 SPUS In order to execute one of these commands, select the required command using the FCMD field in the Flash Command register (EEFC_FCR). As soon as EEFC_FCR is written, the FRDY flag and the FVALUE field in the Flash Result register (EEFC_FRR) are automatically cleared. Once the current command has completed, the FRDY flag is automatically set. If an interrupt has been enabled by setting the bit EEFC_FMR.FRDY, the corresponding interrupt line of the interrupt controller is activated. (Note that this is true for all commands except for the STUI command. The FRDY flag is not set when the STUI command has completed.) All the commands are protected by the same keyword, which must be written in the eight highest bits of EEFC_FCR. Writing EEFC_FCR with data that does not contain the correct key and/or with an invalid command has no effect on the whole memory plane, but the FCMDE flag is set in the Flash Status register (EEFC_FSR). This flag is automatically cleared by a read access to EEFC_FSR. When the current command writes or erases a page in a locked region, the command has no effect on the whole memory plane, but the FLOCKE flag is set in EEFC_FSR. This flag is automatically cleared by a read access to EEFC_FSR. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 403 Figure 20-7. Command State Chart Read Status: EEFC_FSR No Check if FRDY flag Set Yes Write FCMD and PAGENB in Flash Command Register Read Status: EEFC_FSR No Check if FRDY flag Set Yes Check if FLOCKE flag Set Yes Locking region violation No Check if FCMDE flag Set Yes Bad keyword violation No Command Successful 20.4.3.1 Get Flash Descriptor Command This command provides the system with information on the Flash organization. The system can take full advantage of this information. For instance, a device could be replaced by one with more Flash capacity, and so the software is able to adapt itself to the new configuration. To get the embedded Flash descriptor, the application writes the GETD command in EEFC_FCR. The first word of the descriptor can be read by the software application in EEFC_FRR as soon as the FRDY flag in EEFC_FSR rises. The next reads of EEFC_FRR provide the following word of the descriptor. If extra read operations to EEFC_FRR are done after the last word of the descriptor has been returned, the EEFC_FRR value is 0 until the next valid command. 404 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 20-3. Flash Descriptor Definition Symbol Word Index Description FL_ID 0 Flash interface description FL_SIZE 1 Flash size in bytes FL_PAGE_SIZE 2 Page size in bytes FL_NB_PLANE 3 Number of planes FL_PLANE[0] 4 Number of bytes in the plane FL_NB_LOCK 4 + FL_NB_PLANE Number of lock bits. A bit is associated with a lock region. A lock bit is used to prevent write or erase operations in the lock region. FL_LOCK[0] 4 + FL_NB_PLANE + 1 Number of bytes in the first lock region 20.4.3.2 Write Commands Several commands are used to program the Flash. Only 0 values can be programmed using Flash technology; 1 is the erased value. In order to program words in a page, the page must first be erased. Commands are available to erase the full memory plane or a given number of pages. With the EWP and EWPL commands, a page erase is done automatically before a page programming. After programming, the page (the entire lock region) can be locked to prevent miscellaneous write or erase sequences. The lock bit can be automatically set after page programming using WPL or EWPL commands. Data to be programmed in the Flash must be written in an internal latch buffer before writing the programming command in EEFC_FCR. Data can be written at their final destination address, as the latch buffer is mapped into the Flash memory address space and wraps around within this Flash address space. Byte and half-word AHB accesses to the latch buffer are not allowed. Only 32-bit word accesses are supported. 32-bit words must be written continuously, in either ascending or descending order. Writing the latch buffer in a random order is not permitted. This prevents mapping a C-code structure to the latch buffer and accessing the data of the structure in any order. It is instead recommended to fill in a C-code structure in SRAM and copy it in the latch buffer in a continuous order. Write operations in the latch buffer are performed with the number of wait states programmed for reading the Flash. The latch buffer is automatically re-initialized, i.e., written with logical ‘1’, after execution of each programming command. However, after power-up, the latch buffer is not initialized. If only part of the page is to be written with user data, the remaining part must be erased (written with ‘1’). The programming sequence is the following: 1. Write the data to be programmed in the latch buffer. 2. Write the programming command in EEFC_FCR. This automatically clears the bit EEFC_FSR.FRDY. 3. When Flash programming is completed, the bit EEFC_FSR.FRDY rises. If an interrupt has been enabled by setting the bit EEFC_FMR.FRDY, the interrupt line of the EEFC is activated. Three errors can be detected in EEFC_FSR after a programming sequence:  Command Error: A bad keyword has been written in EEFC_FCR.  Lock Error: The page to be programmed belongs to a locked region. A command must be run previously to unlock the corresponding region.  Flash Error: When programming is completed, the WriteVerify test of the Flash memory has failed. Only one page can be programmed at a time. It is possible to program all the bits of a page (full page programming) or only some of the bits of the page (partial page programming). SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 405 Depending on the number of bits to be programmed within the page, the EEFC adapts the write operations required to program the Flash. When a ‘Write Page’ (WP) command is issued, the EEFC starts the programming sequence and all the bits written at 0 in the latch buffer are cleared in the Flash memory array. During programming, i.e., until EEFC_FSR.FDRY rises, access to the Flash is not allowed. Full Page Programming To program a full page, all the bits of the page must be erased before writing the latch buffer and issuing the WP command. The latch buffer must be written in ascending order, starting from the first address of the page. See Figure 20-8 "Full Page Programming". Partial Page Programming To program only part of a page using the WP command, the following constraints must be respected:  Data to be programmed must be contained in integer multiples of 64-bit address-aligned words.  64-bit words can be programmed only if all the corresponding bits in the Flash array are erased (at logical value ‘1’). See Figure 20-9 "Partial Page Programming". Programming Bytes Individual bytes can be programmed using the Partial page programming mode. In this case, an area of 64 bits must be reserved for each byte. Refer to Figure 20-10 "Programming Bytes in the Flash". 406 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 20-8. Full Page Programming 32 bits wide 32 bits wide FF FF FF FF FF FF FF FF FF FF FF FF 0xX1C FF FF FF FF 0xX14 FF FF FF FF 0xX10 FF FF FF FF FF FF 0xX0C 0xX08 FF FF FE 0xX04 FF FF FF FF 0xX04 FE 0xX00 FF FF FF FF 0xX00 CA FE CA FE CA FE CA FE 0xX1C CA FE CA FE 0xX18 CA FE CA FE 0xX14 CA FE CA FE 0xX10 CA FE CA FE 0xX0C CA FE CA FE CA FE CA CA FE CA address space for Page N Before programming: Unerased page in Flash array 0xX18 0xX08 Step 1: Flash array after page erase DE CA DE CA DE CA DE CA 0xX1C DE CA DE CA 0xX18 DE DE CA CA DE DE CA CA 0xX14 0xX0C DE CA DE CA 0xX0C CA 0xX08 DE CA DE CA 0xX08 DE CA 0xX04 DE CA DE CA 0xX04 DE CA 0xX00 DE CA DE CA 0xX00 DE CA DE CA DE CA DE CA 0xX1C DE CA DE CA 0xX18 DE DE CA CA DE DE CA CA 0xX14 DE CA DE CA DE CA DE DE CA DE CA 0xX10 address space for latch buffer Step 2: Writing a page in the latch buffer 0xX10 address space for Page N Step 3: Page in Flash array after issuing WP command and FRDY=1 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 407 Figure 20-9. Partial Page Programming 32 bits wide 32 bits wide FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF 0xX1C FF FF FF FF FF FF FF FF 0xX14 FF FF FF FF FF FF FF FF 0xX10 FF FF FF FF FF FF FF FF CA CA FE FE CA CA FE FE 0xX0C FF FF FF FF FF FF FF FF 0xX04 FF FF FF FF FF FF FF FF 0xX00 address space for Page N Step 1: Flash array after page erase 0xX18 0xX08 Step 2: Flash array after programming 64-bit at address 0xX08 (write latch buffer + WP) 32 bits wide FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF CA CA FE FE CA CA 408 32 bits wide FE FE FF FF FF FF 0xX1C CA FE CA FE 0xX1C 0xX18 CA FE CA FE 0xX18 FF 0xX14 CA FE CA FE 0xX14 FF FF 0xX10 CA FE CA FE 0xX10 CA CA FE FE 0xX0C CA FE CA FE 0xX0C 0xX08 CA FE CA FE 0xX08 FE FE 0xX04 CA FE CA FE 0xX04 0xX00 CA FE CA FE 0xX00 CA CA Step 3: Flash array after programming Step 4: Flash array after programming a second 64-bit data at address 0xX00 a 128-bit data word at address 0xX10 (write latch buffer + WP) (write latch buffer + WP) SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 20-10. Programming Bytes in the Flash 32 bits wide 4 x 32 bits = 1 Flash word 4 x 32 bits = 1 Flash word 32 bits wide FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF 0xX1C FF FF FF FF FF FF FF FF address space 0xX14 for Page N 0xX10 FF FF FF FF 0xX14 FF FF FF FF 0xX10 FF FF FF FF 0xX0C xx xx xx xx 0xX0C FF FF FF FF 0xX08 xx xx xx 55 0xX08 xx xx xx xx 0xX04 xx xx xx xx 0xX04 xx xx xx AA 0xX00 xx xx xx AA 0xX00 0xX1C 0xX18 0xX18 Step 1: Flash array after programming first byte (0xAA) Step 2: Flash array after programming second byte (0x55) 64-bit used at address 0xX00 (write latch buffer + WP) 64-bit used at address 0xX08 (write latch buffer + WP) Note: The byte location shown here is for example only, it can be any byte location within a 64-bit word. 20.4.3.3 Erase Commands Erase commands are allowed only on unlocked regions. Depending on the Flash memory, several commands can be used to erase the Flash:  Erase All Memory (EA): All memory is erased. The processor must not fetch code from the Flash memory.  Erase Pages (EPA): 8 or 16 pages are erased in the Flash sector selected. The first page to be erased is specified in the FARG[15:2] field of the EEFC_FCR. The first page number must be a multiple of 8, 16 or 32 depending on the number of pages to erase at the same time.  Erase Sector (ES): A full memory sector is erased. Sector size depends on the Flash memory. EEFC_FCR.FARG must be set with a page number that is in the sector to be erased. If the processor is fetching code from the Flash memory while the EPA or ES command is being executed, the processor accesses are stalled until the EPA command is completed. To avoid stalling the processor, the code can be run out of internal SRAM. The erase sequence is the following: SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 409 1. Erase starts as soon as one of the erase commands and the FARG field are written in EEFC_FCR. ̶ For the EPA command, the two lowest bits of the FARG field define the number of pages to be erased (FARG[1:0]): Table 20-4. EEFC_FCR.FARG Field for EPA Command FARG[1:0] 2. Number of pages to be erased with EPA command 0 4 pages (only valid for small 8 KB sectors) 1 8 pages 2 16 pages 3 32 pages (not valid for small 8 KB sectors) When erasing is completed, the bit EEFC_FSR.FRDY rises. If an interrupt has been enabled by setting the bit EEFC_FMR.FRDY, the interrupt line of the interrupt controller is activated. Three errors can be detected in EEFC_FSR after an erasing sequence:  Command Error: A bad keyword has been written in EEFC_FCR.  Lock Error: At least one page to be erased belongs to a locked region. The erase command has been refused, no page has been erased. A command must be run previously to unlock the corresponding region.  Flash Error: At the end of the erase period, the EraseVerify test of the Flash memory has failed. 20.4.3.4 Lock Bit Protection Lock bits are associated with several pages in the embedded Flash memory plane. This defines lock regions in the embedded Flash memory plane. They prevent writing/erasing protected pages. The lock sequence is the following: 1. Execute the ‘Set Lock Bit’ command by writing EEFC_FCR.FCMD with the SLB command and EEFC_FCR.FARG with a page number to be protected. 2. When the locking completes, the bit EEFC_FSR.FRDY rises. If an interrupt has been enabled by setting the bit EEFC_FMR.FRDY, the interrupt line of the interrupt controller is activated. 3. The result of the SLB command can be checked running a ‘Get Lock Bit’ (GLB) command. Note: The value of the FARG argument passed together with SLB command must not exceed the higher lock bit index available in the product. Two errors can be detected in EEFC_FSR after a programming sequence:  Command Error: A bad keyword has been written in EEFC_FCR.  Flash Error: At the end of the programming, the EraseVerify or WriteVerify test of the Flash memory has failed. It is possible to clear lock bits previously set. After the lock bits are cleared, the locked region can be erased or programmed. The unlock sequence is the following: 1. Execute the ‘Clear Lock Bit’ command by writing EEFC_FCR.FCMD with the CLB command and EEFC_FCR.FARG with a page number to be unprotected. 2. Note: When the unlock completes, the bit EEFC_FSR.FRDY rises. If an interrupt has been enabled by setting the bit EEFC_FMR.FRDY, the interrupt line of the interrupt controller is activated. The value of the FARG argument passed together with CLB command must not exceed the higher lock bit index available in the product. Two errors can be detected in EEFC_FSR after a programming sequence: 410  Command Error: A bad keyword has been written in EEFC_FCR.  Flash Error: At the end of the programming, the EraseVerify or WriteVerify test of the Flash memory has failed. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 The status of lock bits can be returned by the EEFC. The ‘Get Lock Bit’ sequence is the following: 1. Execute the ‘Get Lock Bit’ command by writing EEFC_FCR.FCMD with the GLB command. Field EEFC_FCR.FARG is meaningless. 2. Lock bits can be read by the software application in EEFC_FRR. The first word read corresponds to the 32 first lock bits, next reads providing the next 32 lock bits as long as it is meaningful. Extra reads to EEFC_FRR return 0. For example, if the third bit of the first word read in EEFC_FRR is set, the third lock region is locked. Two errors can be detected in EEFC_FSR after a programming sequence:  Command Error: A bad keyword has been written in EEFC_FCR.  Flash Error: At the end of the programming, the EraseVerify or WriteVerify test of the Flash memory has failed. Note: 20.4.3.5 Access to the Flash in read is permitted when a ‘Set Lock Bit’, ‘Clear Lock Bit’ or ‘Get Lock Bit’ command is executed. GPNVM Bit GPNVM bits do not interfere with the embedded Flash memory plane. For more details, refer to the section “Memories” of this datasheet. The ‘Set GPNVM Bit’ sequence is the following: 1. Execute the ‘Set GPNVM Bit’ command by writing EEFC_FCR.FCMD with the SGPB command and EEFC_FCR.FARG with the number of GPNVM bits to be set. 2. When the GPNVM bit is set, the bit EEFC_FSR.FRDY rises. If an interrupt was enabled by setting the bit EEFC_FMR.FRDY, the interrupt line of the interrupt controller is activated. 3. The result of the SGPB command can be checked by running a ‘Get GPNVM Bit’ (GGPB) command. Note: The value of the FARG argument passed together with SGPB command must not exceed the higher GPNVM index available in the product. Flash data content is not altered if FARG exceeds the limit. Command Error is detected only if FARG is greater than 8. Two errors can be detected in EEFC_FSR after a programming sequence:  Command Error: A bad keyword has been written in EEFC_FCR.  Flash Error: At the end of the programming, the EraseVerify or WriteVerify test of the Flash memory has failed. It is possible to clear GPNVM bits previously set. The ‘Clear GPNVM Bit’ sequence is the following: 1. Execute the ‘Clear GPNVM Bit’ command by writing EEFC_FCR.FCMD with the CGPB command and EEFC_FCR.FARG with the number of GPNVM bits to be cleared. 2. Note: When the clear completes, the bit EEFC_FSR.FRDY rises. If an interrupt has been enabled by setting the bit EEFC_FMR.FRDY, the interrupt line of the interrupt controller is activated. The value of the FARG argument passed together with CGPB command must not exceed the higher GPNVM index available in the product. Flash data content is not altered if FARG exceeds the limit. Command Error is detected only if FARG is greater than 8. Two errors can be detected in EEFC_FSR after a programming sequence:  Command Error: A bad keyword has been written in EEFC_FCR.  Flash Error: At the end of the programming, the EraseVerify or WriteVerify test of the Flash memory has failed. The status of GPNVM bits can be returned by the EEFC. The sequence is the following: 1. Execute the ‘Get GPNVM Bit’ command by writing EEFC_FCR.FCMD with the GGPB command. Field EEFC_FCR.FARG is meaningless. 2. GPNVM bits can be read by the software application in EEFC_FRR. The first word read corresponds to the 32 first GPNVM bits, following reads provide the next 32 GPNVM bits as long as it is meaningful. Extra reads to EEFC_FRR return 0. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 411 For example, if the third bit of the first word read in EEFC_FRR is set, the third GPNVM bit is active. One error can be detected in EEFC_FSR after a programming sequence:  Command Error: A bad keyword has been written in EEFC_FCR. Note: 20.4.3.6 Access to the Flash in read is permitted when a ‘Set GPNVM Bit’, ‘Clear GPNVM Bit’ or ‘Get GPNVM Bit’ command is executed. Calibration Bit Calibration bits do not interfere with the embedded Flash memory plane. The calibration bits cannot be modified. The status of calibration bits are returned by the EEFC. The sequence is the following: 1. Execute the ‘Get CALIB Bit’ command by writing EEFC_FCR.FCMD with the GCALB command. Field EEFC_FCR.FARG is meaningless. 2. Calibration bits can be read by the software application in EEFC_FRR. The first word read corresponds to the first 32 calibration bits. The following reads provide the next 32 calibration bits as long as it is meaningful. Extra reads to EEFC_FRR return 0. The 8/12 MHz internal RC oscillator is calibrated in production. This calibration can be read through the GCALB command. Table 20-5 shows the bit implementation. The RC calibration for the 4 MHz is set to ‘1000000’. Table 20-5. Calibration Bit Indexes Description EEFC_FRR Bits 8 MHz RC calibration output [28–22] 12 MHz RC calibration output [38–32] 20.4.3.7 Security Bit Protection When the security bit is enabled, access to the Flash through the SWD interface or through the Fast Flash Programming interface is forbidden. This ensures the confidentiality of the code programmed in the Flash. The security bit is GPNVM0. Disabling the security bit can only be achieved by asserting the ERASE pin at ‘1’, and after a full Flash erase is performed. When the security bit is deactivated, all accesses to the Flash are permitted. 20.4.3.8 Unique Identifier Area Each device is programmed with a 128-bit unique identifier area . See Figure 20-1 "Flash Memory Areas". The sequence to read the unique identifier area is the following: 1. Execute the ‘Start Read Unique Identifier’ command by writing EEFC_FCR.FCMD with the STUI command. Field EEFC_FCR.FARG is meaningless. 2. Wait until the bit EEFC_FSR.FRDY falls to read the unique identifier area. The unique identifier field is located in the first 128 bits of the Flash memory mapping. The ‘Start Read Unique Identifier’ command reuses some addresses of the memory plane for code, but the unique identifier area is physically different from the memory plane for code. 3. To stop reading the unique identifier area, execute the ‘Stop Read Unique Identifier’ command by writing EEFC_FCR.FCMD with the SPUI command. Field EEFC_FCR.FARG is meaningless. 4. When the SPUI command has been executed, the bit EEFC_FSR.FRDY rises. If an interrupt was enabled by setting the bit EEFC_FMR.FRDY, the interrupt line of the interrupt controller is activated. Note that during the sequence, the software cannot be fetched from the Flash. 412 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 20.4.3.9 User Signature Area Each product contains a user signature area of 512-bytes. It can be used for storage. Read, write and erase of this area is allowed. See Figure 20-1 "Flash Memory Areas". The sequence to read the user signature area is the following: 1. Execute the ‘Start Read User Signature’ command by writing EEFC_FCR.FCMD with the STUS command. Field EEFC_FCR.FARG is meaningless. 2. Wait until the bit EEFC_FSR.FRDY falls to read the user signature area. The user signature area is located in the first 512 bytes of the Flash memory mapping. The ‘Start Read User Signature’ command reuses some addresses of the memory plane but the user signature area is physically different from the memory plane 3. To stop reading the user signature area, execute the ‘Stop Read User Signature’ command by writing EEFC_FCR.FCMD with the SPUS command. Field EEFC_FCR.FARG is meaningless. 4. When the SPUI command has been executed, the bit EEFC_FSR.FRDY rises. If an interrupt was enabled by setting the bit EEFC_FMR.FRDY, the interrupt line of the interrupt controller is activated. Note that during the sequence, the software cannot be fetched from the Flash or from the second plane in case of dual plane. One error can be detected in EEFC_FSR after this sequence:  Command Error: A bad keyword has been written in EEFC_FCR. The sequence to write the user signature area is the following: 1. Write the full page, at any page address, within the internal memory area address space. 2. Execute the ‘Write User Signature’ command by writing EEFC_FCR.FCMD with the WUS command. Field EEFC_FCR.FARG is meaningless. 3. When programming is completed, the bit EEFC_FSR.FRDY rises. If an interrupt has been enabled by setting the bit EEFC_FMR.FRDY, the corresponding interrupt line of the interrupt controller is activated. Two errors can be detected in EEFC_FSR after this sequence:  Command Error: A bad keyword has been written in EEFC_FCR.  Flash Error: At the end of the programming, the WriteVerify test of the Flash memory has failed. The sequence to erase the user signature area is the following: 1. Execute the ‘Erase User Signature’ command by writing EEFC_FCR.FCMD with the EUS command. Field EEFC_FCR.FARG is meaningless. 2. When programming is completed, the bit EEFC_FSR.FRDY rises. If an interrupt has been enabled by setting the bit EEFC_FMR.FRDY, the corresponding interrupt line of the interrupt controller is activated. Two errors can be detected in EEFC_FSR after this sequence:  Command Error: A bad keyword has been written in EEFC_FCR.  Flash Error: At the end of the programming, the EraseVerify test of the Flash memory has failed. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 413 20.5 Enhanced Embedded Flash Controller (EEFC) User Interface The User Interface of the Embedded Flash Controller (EEFC) is integrated within the System Controller with base address 0x400E0A00. Table 20-6. Register Mapping Offset Register Name Access Reset State 0x00 EEFC Flash Mode Register EEFC_FMR Read/Write 0x0400_0000 0x04 EEFC Flash Command Register EEFC_FCR Write-only – 0x08 EEFC Flash Status Register EEFC_FSR Read-only 0x0000_0001 0x0C EEFC Flash Result Register EEFC_FRR Read-only 0x0 0x10–0x14 Reserved – – – 0x18–0xE4 Reserved – – – 414 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 20.5.1 EEFC Flash Mode Register Name: EEFC_FMR Address: 0x400E0A00 Access: Read/Write 31 30 29 28 27 26 25 24 – – – – – CLOE – FAM 23 22 21 20 19 18 17 16 – – – – – – – SCOD 15 14 13 12 11 10 9 8 – – – – FWS 7 6 5 4 3 2 1 0 – – – – – – – FRDY • FRDY: Flash Ready Interrupt Enable 0: Flash ready does not generate an interrupt. 1: Flash ready (to accept a new command) generates an interrupt. • FWS: Flash Wait State This field defines the number of wait states for read and write operations: FWS = Number of cycles for Read/Write operations - 1 • SCOD: Sequential Code Optimization Disable 0: The sequential code optimization is enabled. 1: The sequential code optimization is disabled. No Flash read should be done during change of this field. • FAM: Flash Access Mode 0: 128-bit access in Read mode only to enhance access speed. 1: 64-bit access in Read mode only to enhance power consumption. No Flash read should be done during change of this field. • CLOE: Code Loop Optimization Enable 0: The opcode loop optimization is disabled. 1: The opcode loop optimization is enabled. No Flash read should be done during change of this field. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 415 20.5.2 EEFC Flash Command Register Name: EEFC_FCR Address: 0x400E0A04 Access: Write-only 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 FKEY 23 22 21 20 FARG 15 14 13 12 FARG 7 6 5 4 FCMD • FCMD: Flash Command Value Name Description 0x00 GETD Get Flash descriptor 0x01 WP Write page 0x02 WPL Write page and lock 0x03 EWP Erase page and write page 0x04 EWPL Erase page and write page then lock 0x05 EA Erase all 0x07 EPA Erase pages 0x08 SLB Set lock bit 0x09 CLB Clear lock bit 0x0A GLB Get lock bit 0x0B SGPB Set GPNVM bit 0x0C CGPB Clear GPNVM bit 0x0D GGPB Get GPNVM bit 0x0E STUI Start read unique identifier 0x0F SPUI Stop read unique identifier 0x10 GCALB Get CALIB bit 0x11 ES Erase sector 0x12 WUS Write user signature 0x13 EUS Erase user signature 0x14 STUS Start read user signature 0x15 SPUS Stop read user signature 416 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 • FARG: Flash Command Argument GETD, GLB, GGPB, STUI, SPUI, GCALB, WUS, EUS, STUS, SPUS, EA Commands requiring no argument, including Erase all command FARG is meaningless, must be written with 0 ES Erase sector command FARG must be written with any page number within the sector to be erased FARG[1:0] defines the number of pages to be erased The start page must be written in FARG[15:2]. FARG[1:0] = 0: Four pages to be erased. FARG[15:2] = Page_Number / 4 EPA Erase pages command FARG[1:0] = 1: Eight pages to be erased. FARG[15:3] = Page_Number / 8, FARG[2]=0 FARG[1:0] = 2: Sixteen pages to be erased. FARG[15:4] = Page_Number / 16, FARG[3:2]=0 FARG[1:0] = 3: Thirty-two pages to be erased. FARG[15:5] = Page_Number / 32, FARG[4:2]=0 Refer to Table 20-4 “EEFC_FCR.FARG Field for EPA Command”. WP, WPL, EWP, EWPL Programming commands FARG must be written with the page number to be programmed SLB, CLB Lock bit commands FARG defines the page number to be locked or unlocked SGPB, CGPB GPNVM commands FARG defines the GPNVM number to be programmed • FKEY: Flash Writing Protection Key Value Name 0x5A PASSWD Description The 0x5A value enables the command defined by the bits of the register. If the field is written with a different value, the write is not performed and no action is started. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 417 20.5.3 EEFC Flash Status Register Name: EEFC_FSR Address: 0x400E0A08 Access: Read-only 31 30 29 28 27 26 25 24 – – – – – – – – 23 22 21 20 19 18 17 16 – – – – – – – – 15 14 13 12 11 10 9 8 – – – – – – – – 7 6 5 4 3 2 1 0 – – – – FLERR FLOCKE FCMDE FRDY • FRDY: Flash Ready Status (cleared when Flash is busy) 0: The EEFC is busy. 1: The EEFC is ready to start a new command. When set, this flag triggers an interrupt if the FRDY flag is set in EEFC_FMR. This flag is automatically cleared when the EEFC is busy. • FCMDE: Flash Command Error Status (cleared on read or by writing EEFC_FCR) 0: No invalid commands and no bad keywords were written in EEFC_FMR. 1: An invalid command and/or a bad keyword was/were written in EEFC_FMR. • FLOCKE: Flash Lock Error Status (cleared on read) 0: No programming/erase of at least one locked region has happened since the last read of EEFC_FSR. 1: Programming/erase of at least one locked region has happened since the last read of EEFC_FSR. This flag is automatically cleared when EEFC_FSR is read or EEFC_FCR is written. • FLERR: Flash Error Status (cleared when a programming operation starts) 0: No Flash memory error occurred at the end of programming (EraseVerify or WriteVerify test has passed). 1: A Flash memory error occurred at the end of programming (EraseVerify or WriteVerify test has failed). 418 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 20.5.4 EEFC Flash Result Register Name: EEFC_FRR Address: 0x400E0A0C Access: Read-only 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 FVALUE 23 22 21 20 FVALUE 15 14 13 12 FVALUE 7 6 5 4 FVALUE • FVALUE: Flash Result Value The result of a Flash command is returned in this register. If the size of the result is greater than 32 bits, the next resulting value is accessible at the next register read. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 419 21. Fast Flash Programming Interface (FFPI) 21.1 Description The Fast Flash Programming Interface (FFPI) provides parallel high-volume programming using a standard gang programmer. The parallel interface is fully handshaked and the device is considered to be a standard EEPROM. Additionally, the parallel protocol offers an optimized access to all the embedded Flash functionalities. Although the Fast Flash Programming mode is a dedicated mode for high volume programming, this mode is not designed for in-situ programming. 21.2 Embedded Characteristics   420 Programming Mode for High-volume Flash Programming Using Gang Programmer ̶ Offers Read and Write Access to the Flash Memory Plane ̶ Enables Control of Lock Bits and General-purpose NVM Bits ̶ Enables Security Bit Activation ̶ Disabled Once Security Bit is Set Parallel Fast Flash Programming Interface ̶ Provides an 16-bit Parallel Interface to Program the Embedded Flash ̶ Full Handshake Protocol SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 21.3 Parallel Fast Flash Programming 21.3.1 Device Configuration In Fast Flash Programming mode, the device is in a specific test mode. Only a certain set of pins is significant. The rest of the PIOs are used as inputs with a pull-up. The crystal oscillator is in bypass mode. Other pins must be left unconnected. Figure 21-1. 16-bit Parallel Programming Interface VDDIO VDDIO VDDIO TST PGMEN0 PGMEN1 VDDCORE NCMD RDY PGMNCMD PGMRDY NOE PGMNOE NVALID Table 21-1. Signal Name VDDPLL GND PGMNVALID MODE[3:0] PGMM[3:0] DATA[15:0] PGMD[15:0] External Clock VDDIO XIN Signal Description List Function Type Active Level Comments Power VDDIO I/O Lines Power Supply Power – – VDDCORE Core Power Supply Power – – VDDPLL PLL Power Supply Power – – GND Ground Ground – – Input – – Clocks XIN Main Clock Input Test TST Test Mode Select Input High Must be connected to VDDIO PGMEN0 Test Mode Select Input High Must be connected to VDDIO PGMEN1 Test Mode Select Input High Must be connected to VDDIO Input Low Pulled-up input at reset Output High Pulled-up input at reset Input Low Pulled-up input at reset PIO PGMNCMD PGMRDY PGMNOE Valid command available 0: Device is busy 1: Device is ready for a new command Output Enable (active high) SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 421 Table 21-1. Signal Description List (Continued) Signal Name PGMNVALID Active Level Output Low Pulled-up input at reset Input – Pulled-up input at reset Input/Output – Pulled-up input at reset 0: DATA[15:0] is in input mode 1: DATA[15:0] is in output mode PGMM[3:0] Specifies DATA type (see Table 21-2) PGMD[15:0] Bi-directional data bus 21.3.2 Type Function Comments Signal Names Depending on the MODE settings, DATA is latched in different internal registers. Table 21-2. Mode Coding MODE[3:0] Symbol Data 0000 CMDE Command Register 0001 ADDR0 Address Register LSBs 0010 ADDR1 – 0011 ADDR2 – 0100 ADDR3 Address Register MSBs 0101 DATA Data Register Default IDLE No register When MODE is equal to CMDE, then a new command (strobed on DATA[15:0] signals) is stored in the command register. Table 21-3. 422 Command Bit Coding DATA[15:0] Symbol Command Executed 0x0011 READ Read Flash 0x0012 WP Write Page Flash 0x0022 WPL Write Page and Lock Flash 0x0032 EWP Erase Page and Write Page 0x0042 EWPL Erase Page and Write Page then Lock 0x0013 EA Erase All 0x0014 SLB Set Lock Bit 0x0024 CLB Clear Lock Bit 0x0015 GLB Get Lock Bit 0x0034 SGPB Set General Purpose NVM bit 0x0044 CGPB Clear General Purpose NVM bit 0x0025 GGPB Get General Purpose NVM bit 0x0054 SSE Set Security Bit 0x0035 GSE Get Security Bit 0x001F WRAM Write Memory 0x001E GVE Get Version SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 21.3.3 Entering Parallel Programming Mode The following algorithm puts the device in Parallel Programming mode: 1. Apply the supplies as described in Table 21-1. 2. If an external clock is available, apply it to XIN within the VDDCORE POR reset time-out period, as defined in the section “Electrical Characteristics”. 3. Wait for the end of this reset period. 4. Start a read or write handshaking. 21.3.4 Programmer Handshaking A handshake is defined for read and write operations. When the device is ready to start a new operation (RDY signal set), the programmer starts the handshake by clearing the NCMD signal. The handshaking is completed once the NCMD signal is high and RDY is high. 21.3.4.1 Write Handshaking For details on the write handshaking sequence, refer to Figure 21-2 and Table 21-4. Figure 21-2. Parallel Programming Timing, Write Sequence NCMD 2 4 3 RDY 5 NOE NVALID DATA[15:0] 1 MODE[3:0] Table 21-4. Write Handshake Step Programmer Action Device Action Data I/O 1 Sets MODE and DATA signals Waits for NCMD low Input 2 Clears NCMD signal Latches MODE and DATA Input 3 Waits for RDY low Clears RDY signal Input 4 Releases MODE and DATA signals Executes command and polls NCMD high Input 5 Sets NCMD signal Executes command and polls NCMD high Input 6 Waits for RDY high Sets RDY Input 21.3.4.2 Read Handshaking For details on the read handshaking sequence, refer to Figure 21-3 and Table 21-5. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 423 Figure 21-3. Parallel Programming Timing, Read Sequence NCMD 12 2 3 RDY 13 NOE 9 5 NVALID 11 7 6 4 Adress IN DATA[15:0] Z 8 Data OUT 10 X IN 1 MODE[3:0] Table 21-5. ADDR Read Handshake Step Programmer Action Device Action DATA I/O 1 Sets MODE and DATA signals Waits for NCMD low Input 2 Clears NCMD signal Latch MODE and DATA Input 3 Waits for RDY low Clears RDY signal Input 4 Sets DATA signal in tristate Waits for NOE Low Input 5 Clears NOE signal – Tristate 6 Waits for NVALID low Sets DATA bus in output mode and outputs the flash contents. Output 7 – Clears NVALID signal Output 8 Reads value on DATA Bus Waits for NOE high Output 9 Sets NOE signal – Output 10 Waits for NVALID high Sets DATA bus in input mode X 11 Sets DATA in output mode Sets NVALID signal Input 12 Sets NCMD signal Waits for NCMD high Input 13 Waits for RDY high Sets RDY signal Input 21.3.5 Device Operations Several commands on the Flash memory are available. These commands are summarized in Table 21-3. Each command is driven by the programmer through the parallel interface running several read/write handshaking sequences. When a new command is executed, the previous one is automatically achieved. Thus, chaining a read command after a write automatically flushes the load buffer in the Flash. 424 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 21.3.5.1 Flash Read Command This command is used to read the contents of the Flash memory. The read command can start at any valid address in the memory plane and is optimized for consecutive reads. Read handshaking can be chained; an internal address buffer is automatically increased. Table 21-6. Read Command Step Handshake Sequence MODE[3:0] DATA[15:0] 1 Write handshaking CMDE READ 2 Write handshaking ADDR0 Memory Address LSB 3 Write handshaking ADDR1 Memory Address 4 Read handshaking DATA *Memory Address++ 5 Read handshaking DATA *Memory Address++ ... ... ... ... n Write handshaking ADDR0 Memory Address LSB n+1 Write handshaking ADDR1 Memory Address n+2 Read handshaking DATA *Memory Address++ n+3 Read handshaking DATA *Memory Address++ ... ... ... ... 21.3.5.2 Flash Write Command This command is used to write the Flash contents. The Flash memory plane is organized into several pages. Data to be written are stored in a load buffer that corresponds to a Flash memory page. The load buffer is automatically flushed to the Flash:  before access to any page other than the current one  when a new command is validated (MODE = CMDE) The Write Page command (WP) is optimized for consecutive writes. Write handshaking can be chained; an internal address buffer is automatically increased. Table 21-7. Write Command Step Handshake Sequence MODE[3:0] DATA[15:0] 1 Write handshaking CMDE WP or WPL or EWP or EWPL 2 Write handshaking ADDR0 Memory Address LSB 3 Write handshaking ADDR1 Memory Address 4 Write handshaking DATA *Memory Address++ 5 Write handshaking DATA *Memory Address++ ... ... ... ... n Write handshaking ADDR0 Memory Address LSB n+1 Write handshaking ADDR1 Memory Address n+2 Write handshaking DATA *Memory Address++ n+3 Write handshaking DATA *Memory Address++ ... ... ... ... SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 425 The Flash command Write Page and Lock (WPL) is equivalent to the Flash Write Command. However, the lock bit is automatically set at the end of the Flash write operation. As a lock region is composed of several pages, the programmer writes to the first pages of the lock region using Flash write commands and writes to the last page of the lock region using a Flash write and lock command. The Flash command Erase Page and Write (EWP) is equivalent to the Flash Write Command. However, before programming the load buffer, the page is erased. The Flash command Erase Page and Write the Lock (EWPL) combines EWP and WPL commands. 21.3.5.3 Flash Full Erase Command This command is used to erase the Flash memory planes. All lock regions must be unlocked before the Full Erase command by using the CLB command. Otherwise, the erase command is aborted and no page is erased. Table 21-8. Full Erase Command Step Handshake Sequence MODE[3:0] DATA[15:0] 1 Write handshaking CMDE EA 2 Write handshaking DATA 0 21.3.5.4 Flash Lock Commands Lock bits can be set using WPL or EWPL commands. They can also be set by using the Set Lock command (SLB). With this command, several lock bits can be activated. A Bit Mask is provided as argument to the command. When bit 0 of the bit mask is set, then the first lock bit is activated. In the same way, the Clear Lock command (CLB) is used to clear lock bits. Table 21-9. Set and Clear Lock Bit Command Step Handshake Sequence MODE[3:0] DATA[15:0] 1 Write handshaking CMDE SLB or CLB 2 Write handshaking DATA Bit Mask Lock bits can be read using Get Lock Bit command (GLB). The nth lock bit is active when the bit n of the bit mask is set. Table 21-10. Get Lock Bit Command Step Handshake Sequence MODE[3:0] DATA[15:0] 1 Write handshaking CMDE GLB Lock Bit Mask Status 2 Read handshaking DATA 0 = Lock bit is cleared 1 = Lock bit is set 21.3.5.5 Flash General-purpose NVM Commands General-purpose NVM bits (GP NVM bits) can be set using the Set GPNVM command (SGPB). This command also activates GP NVM bits. A bit mask is provided as argument to the command. When bit 0 of the bit mask is set, then the first GP NVM bit is activated. 426 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 In the same way, the Clear GPNVM command (CGPB) is used to clear general-purpose NVM bits. The generalpurpose NVM bit is deactivated when the corresponding bit in the pattern value is set to 1. Table 21-11. Set/Clear GP NVM Command Step Handshake Sequence MODE[3:0] DATA[15:0] 1 Write handshaking CMDE SGPB or CGPB 2 Write handshaking DATA GP NVM bit pattern value General-purpose NVM bits can be read using the Get GPNVM Bit command (GGPB). The nth GP NVM bit is active when bit n of the bit mask is set. Table 21-12. Get GP NVM Bit Command Step Handshake Sequence MODE[3:0] DATA[15:0] 1 Write handshaking CMDE GGPB 2 Read handshaking DATA GP NVM Bit Mask Status 0 = GP NVM bit is cleared 1 = GP NVM bit is set 21.3.5.6 Flash Security Bit Command A security bit can be set using the Set Security Bit command (SSE). Once the security bit is active, the Fast Flash programming is disabled. No other command can be run. An event on the Erase pin can erase the security bit once the contents of the Flash have been erased. Table 21-13. Set Security Bit Command Step Handshake Sequence MODE[3:0] DATA[15:0] 1 Write handshaking CMDE SSE 2 Write handshaking DATA 0 Once the security bit is set, it is not possible to access FFPI. The only way to erase the security bit is to erase the Flash. To erase the Flash, perform the following steps: 1. Power-off the chip. 2. Power-on the chip with TST = 0. 3. Assert the ERASE pin for at least the ERASE pin assertion time as defined in the section “Electrical Characteristics”. 4. Power-off the chip. Return to FFPI mode to check that the Flash is erased. 21.3.5.7 Memory Write Command This command is used to perform a write access to any memory location. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 427 The Memory Write command (WRAM) is optimized for consecutive writes. Write handshaking can be chained; an internal address buffer is automatically increased. Table 21-14. Write Command Step Handshake Sequence MODE[3:0] DATA[15:0] 1 Write handshaking CMDE WRAM 2 Write handshaking ADDR0 Memory Address LSB 3 Write handshaking ADDR1 Memory Address 4 Write handshaking DATA *Memory Address++ 5 Write handshaking DATA *Memory Address++ ... ... ... ... n Write handshaking ADDR0 Memory Address LSB n+1 Write handshaking ADDR1 Memory Address n+2 Write handshaking DATA *Memory Address++ n+3 Write handshaking DATA *Memory Address++ ... ... ... ... 21.3.5.8 Get Version Command The Get Version (GVE) command retrieves the version of the FFPI interface. Table 21-15. 428 Get Version Command Step Handshake Sequence MODE[3:0] DATA[15:0] 1 Write handshaking CMDE GVE 2 Read handshaking DATA Version SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 22. Cortex-M Cache Controller (CMCC) 22.1 Description The Cortex-M Cache Controller (CMCC) is a 4-Way set associative unified cache controller. It integrates a controller, a tag directory, data memory, metadata memory and a configuration interface. 22.2 22.3 Embedded Characteristics  Physically addressed and physically tagged  L1 data cache set to 2 Kbytes  L1 cache line size set to 16 Bytes  L1 cache integrates 32 bus master interface  Unified direct mapped cache architecture  Unified 4-Way set associative cache architecture  Write accesses forwarded, cache state not modified. Allocate on read.  Round Robin victim selection policy  Event Monitoring, with one programmable 32-bit counter  Configuration registers accessible through Cortex-M Private Peripheral Bus (PPB)  Cache interface includes cache maintenance operations registers Block Diagram Figure 22-1. Block Diagram Cortex-M Memory Interface Bus Cortex-M Interface Cache Controller META INFO RAM RAM Interface Cortex-M PPB Registers Interface DATA RAM TAG RAM Memory Interface System Memory Bus SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 429 22.4 Functional Description 22.4.1 Cache Operation On reset, the cache controller data entries are all invalidated and the cache is disabled. The cache is transparent to processor operations. The cache controller is activated with its configuration registers. The configuration interface is memory-mapped in the private peripheral bus. Use the following sequence to enable the cache controller: 1. Verify that the cache controller is disabled by reading the value of the CSTS (Cache Controller Status) bit of the Status register (CMCC_SR). 2. 22.4.2 Enable the cache controller by writing a one to the CEN (Cache Enable) bit of the Control register (CMCC_CTRL). Cache Maintenance If the contents seen by the cache have changed, the user must invalidate the cache entries. This can be done lineby-line or for all cache entries. 22.4.2.1 Cache Invalidate-by-Line Operation When an invalidate-by-line command is issued, the cache controller resets the valid bit information of the decoded cache line. As the line is no longer valid, the replacement counter points to that line. Use the following sequence to invalidate one line of cache: 1. Disable the cache controller by clearing the CEN bit of CMCC_CTRL. 2. Check the CSTS bit of CMCC_SR to verify that the cache is successfully disabled. 3. Perform an invalidate-by-line by configuring the bits INDEX and WAY in the Maintenance Register 1 (CMCC_MAINT1). 4. Enable the cache controller by writing a one the CEN bit of the CMCC_CTRL. 22.4.2.2 Cache Invalidate All Operation To invalidate all cache entries, write a one to the INVALL bit of the Maintenance Register 0 (CMCC_MAINT0). 22.4.3 Cache Performance Monitoring The Cortex-M cache controller includes a programmable 32-bit monitor counter. The monitor can be configured to count the number of clock cycles, the number of data hits or the number of instruction hits. Use the following sequence to activate the counter: 1. Configure the monitor counter by writing to the MODE field of the Monitor Configuration register (CMCC_MCFG). 430 2. Enable the counter by writing a one to the MENABLE bit of the Monitor Enable register (CMCC_MEN). 3. If required, clear the counter by writing a one to the SWRST bit of the Monitor Control register (CMCC_MCTRL). 4. Check the value of the monitor counter by reading the EVENT_CNT field of the CMCC_MSR. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 22.5 Cortex-M Cache Controller (CMCC) User Interface Table 22-1. Register Mapping Offset Register Name Access Reset 0x00 Cache Controller Type Register CMCC_TYPE Read-only – 0x04 Cache Controller Configuration Register CMCC_CFG Read/Write 0x00000000 0x08 Cache Controller Control Register CMCC_CTRL Write-only – 0x0C Cache Controller Status Register CMCC_SR Read-only 0x00000001 0x10–0x1C Reserved – – – 0x20 Cache Controller Maintenance Register 0 CMCC_MAINT0 Write-only – 0x24 Cache Controller Maintenance Register 1 CMCC_MAINT1 Write-only – 0x28 Cache Controller Monitor Configuration Register CMCC_MCFG Read/Write 0x00000000 0x2C Cache Controller Monitor Enable Register CMCC_MEN Read/Write 0x00000000 0x30 Cache Controller Monitor Control Register CMCC_MCTRL Write-only – 0x34 Cache Controller Monitor Status Register CMCC_MSR Read-only 0x00000000 0x38–0xFC Reserved – – – SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 431 22.5.1 Cache Controller Type Register Name: CMCC_TYPE Address: 0x400C4000 Access: Read-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 13 12 CLSIZE 11 10 9 CSIZE 8 7 LCKDOWN 6 5 4 RRP 3 LRUP 2 RANDP 1 GCLK 0 AP WAYNUM • AP: Access Port Access Allowed 0: Access Port Access is disabled. 1: Access Port Access is enabled. • GCLK: Dynamic Clock Gating Supported 0: Cache controller does not support clock gating. 1: Cache controller uses dynamic clock gating. • RANDP: Random Selection Policy Supported 0: Random victim selection is not supported. 1: Random victim selection is supported. • LRUP: Least Recently Used Policy Supported 0: Least Recently Used Policy is not supported. 1: Least Recently Used Policy is supported. • RRP: Random Selection Policy Supported 0: Random Selection Policy is not supported. 1: Random Selection Policy is supported. • WAYNUM: Number of Ways Value Name 0 DMAPPED Direct Mapped Cache 1 ARCH2WAY 2-way set associative 2 ARCH4WAY 4-way set associative 3 ARCH8WAY 8-way set associative 432 Description SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 • LCKDOWN: Lockdown Supported 0: Lockdown is not supported. 1: Lockdown is supported. • CSIZE: Data Cache Size Value Name Description 0 CSIZE_1KB Data cache size is 1 Kbyte 1 CSIZE_2KB Data cache size is 2 Kbytes 2 CSIZE_4KB Data cache size is 4 Kbytes 3 CSIZE_8KB Data cache size is 8 Kbytes • CLSIZE: Cache LIne Size Value Name Description 0 CLSIZE_1KB Cache line size is 4 bytes 1 CLSIZE_2KB Cache line size is 8 bytes 2 CLSIZE_4KB Cache line size is 16 bytes 3 CLSIZE_8KB Cache line size is 32 bytes SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 433 22.5.2 Cache Controller Configuration Register Name: CMCC_CFG Address: 0x400C4004 Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 – 2 – 1 – 0 GCLKDIS • GCLKDIS: Disable Clock Gating 0: Clock gating is activated. 1: Clock gating is disabled. 434 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 22.5.3 Cache Controller Control Register Name: CMCC_CTRL Address: 0x400C4008 Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 – 2 – 1 – 0 CEN • CEN: Cache Controller Enable 0: The cache controller is disabled. 1: The cache controller is enabled. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 435 22.5.4 Cache Controller Status Register Name: CMCC_SR Address: 0x400C400C Access: Read-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 – 2 – 1 – 0 CSTS • CSTS: Cache Controller Status 0: The cache controller is disabled. 1: The cache controller is enabled. 436 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 22.5.5 Cache Controller Maintenance Register 0 Name: CMCC_MAINT0 Address: 0x400C4020 Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 – 2 – 1 – 0 INVALL • INVALL: Cache Controller Invalidate All 0: No effect. 1: All cache entries are invalidated. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 437 22.5.6 Cache Controller Maintenance Register 1 Name: CMCC_MAINT1 Address: 0x400C4024 Access: Write-only 31 30 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 INDEX 7 6 5 4 3 – 2 – 1 – 0 – WAY INDEX • INDEX: Invalidate Index This field indicates the cache line that is being invalidated. The size of the INDEX field depends on the cache size: For example: – for 2 Kbytes: 5 bits – for 4 Kbytes: 6 bits – for 8 Kbytes: 7 bits • WAY: Invalidate Way Value Name Description 0 WAY0 Way 0 is selection for index invalidation 1 WAY1 Way 1 is selection for index invalidation 2 WAY2 Way 2 is selection for index invalidation 3 WAY3 Way 3 is selection for index invalidation 438 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 22.5.7 Cache Controller Monitor Configuration Register Name: CMCC_MCFG Address: 0x400C4028 Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 – 2 – 1 0 MODE • MODE: Cache Controller Monitor Counter Mode Value Name Description 0 CYCLE_COUNT 1 IHIT_COUNT Instruction hit counter 2 DHIT_COUNT Data hit counter Cycle counter SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 439 22.5.8 Cache Controller Monitor Enable Register Name: CMCC_MEN Address: 0x400C402C Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 – 2 – 1 – 0 MENABLE • MENABLE: Cache Controller Monitor Enable 0: The monitor counter is disabled. 1: The monitor counter is enabled. 440 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 22.5.9 Cache Controller Monitor Control Register Name: CMCC_MCTRL Address: 0x400C4030 Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 – 2 – 1 – 0 SWRST • SWRST: Monitor 0: No effect. 1: Resets the event counter register. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 441 22.5.10 Cache Controller Monitor Status Register Name: CMCC_MSR Address: 0x400C4034 Access: Read-only 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 EVENT_CNT 23 22 21 20 EVENT_CNT 15 14 13 12 EVENT_CNT 7 6 5 4 EVENT_CNT • EVENT_CNT: Monitor Event Counter 442 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 23. SAM-BA Boot Program for SAM4E Microcontrollers 23.1 Description The SAM-BA Boot Program integrates an array of programs permitting download and/or upload into the different memories of the product. 23.2 Embedded Characteristics  Default Boot Program Stored in SAM4E Series Products  Interface with SAM-BA Graphic User Interface  SAM-BA Boot ̶ Supports Several Communication Media  Serial Communication on UART0  USB Device Port Communication up to 1M Byte/s USB Requirements  External Crystal or Clock with the frequency of: 11.289 MHz 12.000 MHz 16.000 MHz 18.432 MHz ̶ 23.3 Hardware and Software Constraints  SAM-BA Boot uses the first 2048 bytes of the SRAM for variables and stacks. The remaining available size can be used for user's code.  USB Requirements:  External Crystal or External Clock(1) with frequency of:  11.289 MHz  12.000 MHz  16.000 MHz  18.432 MHz UART0 requirements: None ̶ Note: 1. must be 2500 ppm and 1.8V Square Wave Signal. Table 23-1. Pins Driven during Boot Program Execution Peripheral Pin PIO Line UART0 URXD0 PA9 UART0 UTXD0 PA10 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 443 23.4 Flow Diagram The Boot Program implements the algorithm illustrated in Figure 23-1. Figure 23-1. Boot Program Algorithm Flow Diagram No Device Setup No USB Enumeration Successful ? Character # received from UART0? Yes Run SAM-BA Monitor Yes Run SAM-BA Monitor The SAM-BA Boot program seeks to detect a source clock either from the embedded main oscillator with external crystal (main oscillator enabled) or from a supported frequency signal applied to the XIN pin (Main oscillator in bypass mode). If a clock is found from the two possible sources above, the boot program checks to verify that the frequency is one of the supported external frequencies. If the frequency is one of the supported external frequencies, USB activation is allowed, else (no clock or frequency other than one of the supported external frequencies), the internal 12 MHz RC oscillator is used as main clock and USB clock is not allowed due to frequency drift of the 12 MHz RC oscillator. 23.5 Device Initialization The initialization sequence is the following: 1. Stack setup 2. Set up the Embedded Flash Controller 3. External Clock detection (crystal or external clock on XIN) 4. If external crystal or clock with supported frequency, allow USB activation 5. Else, does not allow USB activation and use internal 12 MHz RC oscillator 6. Main oscillator frequency detection if no external clock detected 7. Switch Master Clock on Main Oscillator 8. C variable initialization 9. PLLA setup: PLLA is initialized to generate a 48 MHz clock 10. Disable of the Watchdog 11. Initialization of UART0 (115200 bauds, 8, N, 1) 12. Initialization of the USB Device Port (in case of USB activation allowed) 13. Wait for one of the following events: 1. Check if USB device enumeration has occurred 2. Check if characters have been received in UART0 14. Jump to SAM-BA Monitor (see Section 23.6 ”SAM-BA Monitor”) 444 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 23.6 SAM-BA Monitor Once the communication interface is identified, the monitor runs in an infinite loop waiting for different commands as shown in Table 23-2. Table 23-2. Commands Available through the SAM-BA Boot Command Action Argument(s) Example N Set Normal mode No argument N# T Set Terminal mode No argument T# O Write a byte Address, Value# O200001,CA# o Read a byte Address,# o200001,# H Write a half word Address, Value# H200002,CAFE# h Read a half word Address,# h200002,# W Write a word Address, Value# W200000,CAFEDECA# w Read a word Address,# w200000,# S Send a file Address,# S200000,# R Receive a file Address, NbOfBytes# R200000,1234# G Go Address# G200200# V Display version No argument V#   Mode commands: ̶ Normal mode configures SAM-BA Monitor to send/receive data in binary format ̶ Terminal mode configures SAM-BA Monitor to send/receive data in ASCII format Write commands: Write a byte (O), a halfword (H) or a word (W) to the target ̶ ̶    ̶ Output: The byte, halfword or word read in hexadecimal Address: Address in hexadecimal Receive a file (R): Receive data into a file from a specified address Address: Address in hexadecimal NbOfBytes: Number of bytes in hexadecimal to receive Go (G): Jump to a specified address and execute the code ̶ Note: Address: Address in hexadecimal There is a time-out on this command which is reached when the prompt ‘>’ appears before the end of the command execution. ̶  ̶ Send a file (S): Send a file to a specified address ̶  Value: Byte, halfword or word to write in hexadecimal Read commands: Read a byte (o), a halfword (h) or a word (w) from the target ̶ Note: Address: Address in hexadecimal Address: Address to jump in hexadecimal Get Version (V): Return the SAM-BA boot version In Terminal mode, when the requested command is performed, SAM-BA Monitor adds the following prompt sequence to its answer: ++'>'. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 445 23.6.1 UART0 Serial Port Communication is performed through the UART0 initialized to 115200 Baud, 8, n, 1. The Send and Receive File commands use the Xmodem protocol to communicate. Any terminal performing this protocol can be used to send the application file to the target. The size of the binary file to send depends on the SRAM size embedded in the product. In all cases, the size of the binary file must be lower than the SRAM size because the Xmodem protocol requires some SRAM memory to work. See Section 23.3 ”Hardware and Software Constraints”. 23.6.2 Xmodem Protocol The Xmodem protocol supported is the 128-byte length block. This protocol uses a two-character CRC-16 to guarantee detection of a maximum bit error. Xmodem protocol with CRC is accurate provided both sender and receiver report successful transmission. Each block of the transfer looks like: in which: ̶ = 01 hex ̶ = binary number, starts at 01, increments by 1, and wraps 0FFH to 00H (not to 01) ̶ = 1’s complement of the blk#. ̶ = 2 bytes CRC16 Figure 23-2 shows a transmission using this protocol. Figure 23-2. Xmodem Transfer Example Host Device C SOH 01 FE Data[128] CRC CRC ACK SOH 02 FD Data[128] CRC CRC ACK SOH 03 FC Data[100] CRC CRC ACK EOT ACK 23.6.3 USB Device Port The device uses the USB communication device class (CDC) drivers to take advantage of the installed PC RS-232 software to talk over the USB. The CDC class is implemented in all releases of Windows ® , beginning with Windows 98SE. The CDC document, available at www.usb.org, describes a way to implement devices such as ISDN modems and virtual COM ports. The Vendor ID (VID) is Atmel’s vendor ID 0x03EB. The product ID (PID) is 0x6124. These references are used by the host operating system to mount the correct driver. On Windows systems, the INF files contain the correspondence between vendor ID and product ID. 446 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 For more details about VID/PID for End Product/Systems, please refer to the Vendor ID form available from the USB Implementers Forum on www.usb.org. Atmel provides an INF example to see the device as a new serial port and also provides another custom driver used by the SAM-BA application: atm6124.sys. Refer to the application note “USB Basic Application”, Atmel literature number 6123, for more details. 23.6.3.1 Enumeration Process The USB protocol is a master/slave protocol. This is the host that starts the enumeration sending requests to the device through the control endpoint. The device handles standard requests as defined in the USB Specification. Table 23-3. Handled Standard Requests Request Definition GET_DESCRIPTOR Returns the current device configuration value. SET_ADDRESS Sets the device address for all future device access. SET_CONFIGURATION Sets the device configuration. GET_CONFIGURATION Returns the current device configuration value. GET_STATUS Returns status for the specified recipient. SET_FEATURE Set or Enable a specific feature. CLEAR_FEATURE Clear or Disable a specific feature. The device also handles some class requests defined in the CDC class. Table 23-4. Handled Class Requests Request Definition SET_LINE_CODING Configures DTE rate, stop bits, parity and number of character bits. GET_LINE_CODING Requests current DTE rate, stop bits, parity and number of character bits. SET_CONTROL_LINE_STATE RS-232 signal used to tell the DCE device the DTE device is now present. Unhandled requests are STALLed. 23.6.3.2 Communication Endpoints There are two communication endpoints and endpoint 0 is used for the enumeration process. Endpoint 1 is a 64byte Bulk OUT endpoint and endpoint 2 is a 64-byte Bulk IN endpoint. SAM-BA Boot commands are sent by the host through endpoint 1. If required, the message is split by the host into several data payloads by the host driver. If the command requires a response, the host can send IN transactions to pick up the response. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 447 23.6.4 In Application Programming (IAP) Feature The IAP feature is a function located in ROM that can be called by any software application. When called, this function sends the desired FLASH command to the EEFC and waits for the Flash to be ready (looping while the FRDY bit is not set in the EEFC_FSR). Since this function is executed from ROM, this allows Flash programming (such as sector write) to be done by code running in Flash. The IAP function entry point is retrieved by reading the NMI vector in ROM (0x00800008). This function takes one argument in parameter: the command to be sent to the EEFC. This function returns the value of the EEFC_FSR. IAP software code example: (unsigned int) (*IAP_Function)(unsigned long); void main (void){ unsigned unsigned unsigned unsigned long long long long FlashSectorNum = 200; // flash_cmd = 0; flash_status = 0; EFCIndex = 0; // 0:EEFC0, 1: EEFC1 /* Initialize the function pointer (retrieve function address from NMI vector) */ IAP_Function = ((unsigned long) (*)(unsigned long)) 0x00800008; /* Send your data to the sector here */ /* build the command to send to EEFC */ flash_cmd = (0x5A BRP = (tCSC x fperipheral clock) - 1 = 5 The propagation segment time is equal to twice the sum of the signal’s propagation time on the bus line, the receiver delay and the output driver delay: tPRS = 2 * (50+30+110) ns = 380 ns = 3 tCSC => PROPAG = tPRS/tCSC - 1 = 2 The remaining time for the two phase segments is: tPHS1 + tPHS2 = bit time - tCSC - tPRS = (16 - 1 - 3)tCSC tPHS1 + tPHS2 = 12 tCSC Because this number is even, we choose tPHS2 = tPHS1 (else we would choose tPHS2 = tPHS1 + tCSC). tPHS1 = tPHS2 = (12/2) tCSC = 6 tCSC => PHASE1 = PHASE2 = tPHS1/tCSC - 1 = 5 The resynchronization jump width must comprise between one tCSC and the minimum of four tCSC and tPHS1. We choose its maximum value: tSJW = Min(4 tCSC,tPHS1) = 4 tCSC => SJW = tSJW/tCSC - 1 = 3 Finally: CAN_BR = 0x00053255 CAN Bus Synchronization Two types of synchronization are distinguished: “hard synchronization” at the start of a frame and “resynchronization” inside a frame. After a hard synchronization, the bit time is restarted with the end of the SYNC_SEG segment, regardless of the phase error. Resynchronization causes a reduction or increase in the bit time so that the position of the sample point is shifted with respect to the detected edge. The effect of resynchronization is the same as that of hard synchronization when the magnitude of the phase error of the edge causing the resynchronization is less than or equal to the programmed value of the resynchronization jump width (tSJW). When the magnitude of the phase error is larger than the resynchronization jump width and  652 the phase error is positive, then PHASE_SEG1 is lengthened by an amount equal to the resynchronization jump width. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16  the phase error is negative, then PHASE_SEG2 is shortened by an amount equal to the resynchronization jump width. Figure 31-6. CAN Resynchronization THE PHASE ERROR IS POSITIVE (the transmitter is slower than the receiver) Nominal Sample point Sample point after resynchronization Received data bit Nominal bit time (before resynchronization) SYNC_ SEG PROP_SEG PHASE_SEG1 PHASE_SEG2 Phase error (max Tsjw) Phase error Bit time with resynchronization SYNC_ SEG SYNC_ SEG PROP_SEG PHASE_SEG1 THE PHASE ERROR IS NEGATIVE (the transmitter is faster than the receiver) PHASE_SEG2 Sample point after resynchronization SYNC_ SEG Nominal Sample point Received data bit Nominal bit time (before resynchronization) PHASE_SEG2 SYNC_ SEG PROP_SEG PHASE_SEG1 PHASE_SEG2 SYNC_ SEG Phase error Bit time with resynchronization PHASE_ SYNC_ SEG2 SEG PROP_SEG PHASE_SEG1 PHASE_SEG2 SYNC_ SEG Phase error (max Tsjw) Autobaud Mode The autobaud feature is enabled by setting the ABM field in the CAN_MR. In this mode, the CAN controller is only listening to the line without acknowledging the received messages. It can not send any message. The errors flags are updated. The bit timing can be adjusted until no error occurs (good configuration found). In this mode, the error counters are frozen. To go back to the standard mode, the ABM bit must be cleared in the CAN_MR. 31.7.4.2 Error Detection There are five different error types that are not mutually exclusive. Each error concerns only specific fields of the CAN data frame (refer to the Bosch CAN specification for their correspondence):  CRC error (CERR bit in the CAN_SR): With the CRC, the transmitter calculates a checksum for the CRC bit sequence from the Start of Frame bit until the end of the Data Field. This CRC sequence is transmitted in the CRC field of the Data or Remote Frame.  Bit-stuffing error (SERR bit in the CAN_SR): If a node detects a sixth consecutive equal bit level during the bit-stuffing area of a frame, it generates an Error Frame starting with the next bit-time.  Bit error (BERR bit in CAN_SR): A bit error occurs if a transmitter sends a dominant bit but detects a recessive bit on the bus line, or if it sends a recessive bit but detects a dominant bit on the bus line. An error frame is generated and starts with the next bit time.  Form Error (FERR bit in the CAN_SR): If a transmitter detects a dominant bit in one of the fix-formatted segments CRC Delimiter, ACK Delimiter or End of Frame, a form error has occurred and an error frame is generated.  Acknowledgment error (AERR bit in the CAN_SR): The transmitter checks the Acknowledge Slot, which is transmitted by the transmitting node as a recessive bit, contains a dominant bit. If this is the case, at least SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 653 one other node has received the frame correctly. If not, an Acknowledge Error has occurred and the transmitter will start in the next bit-time an Error Frame transmission. Fault Confinement To distinguish between temporary and permanent failures, every CAN controller has two error counters: REC (Receive Error Counter) and TEC (Transmit Error Counter). The two counters are incremented upon detected errors and are decremented upon correct transmissions or receptions, respectively. Depending on the counter values, the state of the node changes: the initial state of the CAN controller is Error Active, meaning that the controller can send Error Active flags. The controller changes to the Error Passive state if there is an accumulation of errors. If the CAN controller fails or if there is an extreme accumulation of errors, there is a state transition to Bus Off. Figure 31-7. Line Error Mode Init TEC < 127 and REC < 127 ERROR ACTIVE ERROR PASSIVE TEC > 127 or REC > 127 128 occurences of 11 consecutive recessive bits or CAN controller reset BUS OFF TEC > 255 An error active unit takes part in bus communication and sends an active error frame when the CAN controller detects an error. An error passive unit cannot send an active error frame. It takes part in bus communication, but when an error is detected, a passive error frame is sent. Also, after a transmission, an error passive unit waits before initiating further transmission. A bus off unit is not allowed to have any influence on the bus. For fault confinement, two errors counters (TEC and REC) are implemented. These counters are accessible via the CAN_ECR. The state of the CAN controller is automatically updated according to these counter values. If the CAN controller enters Error Active state, then the ERRA bit is set in the CAN_SR. The corresponding interrupt is pending while the interrupt is not masked in the CAN_IMR. If the CAN controller enters Error Passive Mode, then the ERRP bit is set in the CAN_SR and an interrupt remains pending while the ERRP bit is set in the CAN_IMR. If the CAN enters Bus Off Mode, then the BOFF bit is set in the CAN_SR. As for ERRP and ERRA, an interrupt is pending while the BOFF bit is set in the CAN_IMR. When one of the error counters values exceeds 96, an increased error rate is indicated to the controller through the WARN bit in CAN_SR, but the node remains error active. The corresponding interrupt is pending while the interrupt is set in the CAN_IMR. Refer to the Bosch CAN specification v2.0 for details on fault confinement. Error Interrupt Handler ERRA, WARN, ERRP and BOFF (CAN_SR) store the key transitions of the CAN bus status as defined in Figure 31-7 on page 654. The transitions depend on the TEC and REC (CAN_ECR) values as described in Section “Fault Confinement” on page 654. These flags are latched to keep from triggering a spurious interrupt in case these bits are used as the source of an interrupt. Thus, these flags may not reflect the current status of the CAN bus. 654 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 The current CAN bus state can be determined by reading the TEC and REC fields of CAN_ECR. 31.7.4.3 Overload The overload frame is provided to request a delay of the next data or remote frame by the receiver node (“Request overload frame”) or to signal certain error conditions (“Reactive overload frame”) related to the intermission field respectively. Reactive overload frames are transmitted after detection of the following error conditions:  Detection of a dominant bit during the first two bits of the intermission field  Detection of a dominant bit in the last bit of EOF by a receiver, or detection of a dominant bit by a receiver or a transmitter at the last bit of an error or overload frame delimiter The CAN controller can generate a request overload frame automatically after each message sent to one of the CAN controller mailboxes. This feature is enabled by setting the OVL bit in the CAN_MR. Reactive overload frames are automatically handled by the CAN controller even if the OVL bit in the CAN_MR is not set. An overload flag is generated in the same way as an error flag, but error counters do not increment. 31.7.5 Low-power Mode In Low-power mode, the CAN controller cannot send or receive messages. All mailboxes are inactive. In Low-power mode, the SLEEP signal in the CAN_SR is set; otherwise, the WAKEUP signal in the CAN_SR is set. These two bits are exclusive except after a CAN controller reset (WAKEUP and SLEEP are stuck at 0 after a reset). After power-up reset, the Low-power mode is disabled and the WAKEUP bit is set in the CAN_SR only after detection of 11 consecutive recessive bits on the bus. 31.7.5.1 Enabling Low-power Mode A software application can enable Low-power mode by setting the LPM bit in the CAN_MR global register. The CAN controller enters Low-power mode once all pending transmit messages are sent. When the CAN controller enters Low-power mode, the SLEEP signal in the CAN_SR is set. Depending on the corresponding mask in the CAN_IMR, an interrupt is generated while SLEEP is set. The SLEEP signal in the CAN_SR is automatically cleared once WAKEUP is set. The WAKEUP signal is automatically cleared once SLEEP is set. Reception is disabled while the SLEEP signal is set to one in the CAN_SR. It is important to note that those messages with higher priority than the last message transmitted can be received between the LPM command and entry in Low-power mode. Once in Low-power mode, the CAN controller clock can be switched off by programming the chip’s Power Management Controller (PMC). The CAN controller drains only the static current. Error counters are disabled while the SLEEP signal is set to one. Thus, to enter Low-power mode, the software application must: ̶ Set LPM field in the CAN_MR ̶ Wait for SLEEP signal rising Now the CAN Controller clock can be disabled. This is done by programming the Power Management Controller (PMC). SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 655 Figure 31-8. Enabling Low-power Mode Arbitration lost Mailbox 1 CAN BUS Mailbox 3 LPEN= 1 LPM (CAN_MR) SLEEP (CAN_SR) WAKEUP (CAN_SR) MRDY (CAN_MSR1) MRDY (CAN_MSR3) CAN_TIM 31.7.5.2 0x0 Disabling Low-power Mode The CAN controller can be awake after detecting a CAN bus activity. Bus activity detection is done by an external module that may be embedded in the chip. When it is notified of a CAN bus activity, the software application disables Low-power mode by programming the CAN controller. To disable Low-power mode, the software application must: ̶ Enable the CAN Controller clock. This is done by programming the Power Management Controller (PMC). ̶ Clear the LPM field in the CAN_MR The CAN controller synchronizes itself with the bus activity by checking for eleven consecutive “recessive” bits. Once synchronized, the WAKEUP signal in the CAN_SR is set. Depending on the corresponding mask in the CAN_IMR, an interrupt is generated while WAKEUP is set. The SLEEP signal in the CAN_SR is automatically cleared once WAKEUP is set. WAKEUP signal is automatically cleared once SLEEP is set. If no message is being sent on the bus, then the CAN controller is able to send a message eleven bit times after disabling Low-power mode. If there is bus activity when Low-power mode is disabled, the CAN controller is synchronized with the bus activity in the next interframe. The previous message is lost (see Figure 31-9). 656 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 31-9. Disabling Low-power Mode Bus Activity Detected CAN BUS LPM (CAN_MR) Message lost Message x Interframe synchronization SLEEP (CAN_SR) WAKEUP (CAN_SR) MRDY (CAN_MSRx) SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 657 31.8 31.8.1 Functional Description CAN Controller Initialization After power-up reset, the CAN controller is disabled. The CAN controller clock must be activated by the Power Management Controller (PMC) and the CAN controller interrupt line must be enabled by the interrupt controller. The CAN controller must be initialized with the CAN network parameters. The CAN_BR defines the sampling point in the bit time period. CAN_BR must be set before the CAN controller is enabled. The CAN controller is enabled by setting the CANEN bit in the CAN_MR. At this stage, the internal CAN controller state machine is reset, error counters are reset to 0, and error flags are reset to 0. Once the CAN controller is enabled, bus synchronization is done automatically by scanning eleven recessive bits. The WAKEUP bit in the CAN_SR is automatically set to 1 when the CAN controller is synchronized (WAKEUP and SLEEP are stuck at 0 after a reset). The CAN controller can start listening to the network in Autobaud Mode. In this case, the error counters are locked and a mailbox may be configured in Receive Mode. By scanning error flags, the CAN_BR values synchronized with the network. Once no error has been detected, the application disables the Autobaud Mode, clearing the ABM bit in the CAN_MR. Figure 31-10. Possible Initialization Procedure Enable CAN Controller Clock (PMC) Enable CAN Controller Interrupt Line (Interrupt Controller) Configure a Mailbox in Reception Mode Change CAN_BR value (ABM == 1 and CANEN == 1) Errors? Yes (CAN_SR or CAN_MSRx) No ABM = 0 and CANEN = 0 CANEN = 1 (ABM == 0) End of Initialization 31.8.2 CAN Controller Interrupt Handling There are two different types of interrupts. One type of interrupt is a message-object related interrupt, the other is a system interrupt that handles errors or system-related interrupt sources. 658 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 All interrupt sources can be masked by writing the corresponding field in the CAN_IDR. They can be unmasked by writing to the CAN_IER. After a power-up reset, all interrupt sources are disabled (masked). The current mask status can be checked by reading the CAN_IMR. The CAN_SR gives all interrupt source states. The following events may initiate one of the two interrupts:  Message object interrupt ̶ ̶ Data registers in the mailbox object are available to the application. In Receive Mode, a new message was received. In Transmit Mode, a message was transmitted successfully.  A sent transmission was aborted. System interrupts ̶ Bus off interrupt: The CAN module enters the bus off state. ̶ Error passive interrupt: The CAN module enters Error Passive Mode. ̶ Error Active Mode: The CAN module is neither in Error Passive Mode nor in Bus Off mode. ̶ Warn Limit interrupt: The CAN module is in Error-active Mode, but at least one of its error counter value exceeds 96. ̶ Wake-up interrupt: This interrupt is generated after a wake-up and a bus synchronization. ̶ Sleep interrupt: This interrupt is generated after a Low-power mode enable once all pending messages in transmission have been sent. ̶ Internal timer counter overflow interrupt: This interrupt is generated when the internal timer rolls over. ̶ Timestamp interrupt: This interrupt is generated after the reception or the transmission of a start of frame or an end of frame. The value of the internal counter is copied in the CAN_TIMESTP register. All interrupts are cleared by clearing the interrupt source except for the internal timer counter overflow interrupt and the timestamp interrupt. These interrupts are cleared by reading the CAN_SR. 31.8.3 CAN Controller Message Handling 31.8.3.1 Receive Handling Two modes are available to configure a mailbox to receive messages. In Receive Mode, the first message received is stored in the mailbox data register. In Receive with Overwrite Mode, the last message received is stored in the mailbox. Simple Receive Mailbox A mailbox is in Receive Mode once the MOT field in the CAN_MMRx has been configured. Message ID and Message Acceptance Mask must be set before the Receive Mode is enabled. After Receive Mode is enabled, the MRDY flag in the CAN_MSR is automatically cleared until the first message is received. When the first message has been accepted by the mailbox, the MRDY flag is set. An interrupt is pending for the mailbox while the MRDY flag is set. This interrupt can be masked depending on the mailbox flag in the CAN_IMR global register. Message data are stored in the mailbox data register until the software application notifies that data processing has ended. This is done by asking for a new transfer command, setting the MTCR flag in the CAN_MCRx. This automatically clears the MRDY signal. The MMI flag in the CAN_MSRx notifies the software that a message has been lost by the mailbox. This flag is set when messages are received while MRDY is set in the CAN_MSRx. This flag is cleared by reading the CAN_MSRs register. A receive mailbox prevents from overwriting the first message by new ones while MRDY flag is set in the CAN_MSRx. See Figure 31-11. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 659 Figure 31-11. Receive Mailbox Message ID = CAN_MIDx CAN BUS Message 1 Message 2 lost Message 3 MRDY (CAN_MSRx) MMI (CAN_MSRx) (CAN_MDLx CAN_MDHx) Message 1 Message 3 MTCR (CAN_MCRx) Reading CAN_MSRx Reading CAN_MDHx & CAN_MDLx Writing CAN_MCRx Note: In the case of ARM architecture, CAN_MSRx, CAN_MDLx, CAN_MDHx can be read using an optimized ldm assembler instruction. Receive with Overwrite Mailbox A mailbox is in Receive with Overwrite Mode once the MOT field in the CAN_MMRx has been configured. Message ID and Message Acceptance masks must be set before Receive Mode is enabled. After Receive Mode is enabled, the MRDY flag in the CAN_MSR is automatically cleared until the first message is received. When the first message has been accepted by the mailbox, the MRDY flag is set. An interrupt is pending for the mailbox while the MRDY flag is set. This interrupt is masked depending on the mailbox flag in the CAN_IMR global register. If a new message is received while the MRDY flag is set, this new message is stored in the mailbox data register, overwriting the previous message. The MMI flag in the CAN_MSRx notifies the software that a message has been dropped by the mailbox. This flag is cleared when reading the CAN_MSRx. The CAN controller may store a new message in the CAN data registers while the application reads them. To check that CAN_MDHx and CAN_MDLx do not belong to different messages, the application must check the MMI bit in the CAN_MSRx before and after reading CAN_MDHx and CAN_MDLx. If the MMI flag is set again after the data registers have been read, the software application has to re-read CAN_MDHx and CAN_MDLx (see Figure 31-12). 660 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 31-12. Receive with Overwrite Mailbox Message ID = CAN_MIDx CAN BUS Message 1 Message 2 Message 3 Message 4 MRDY (CAN_MSRx) MMI (CAN_MSRx) (CAN_MDLx CAN_MDHx) Message 1 Message 2 Message 3 Message 4 MTCR (CAN_MCRx) Reading CAN_MSRx Reading CAN_MDHx & CAN_MDLx Writing CAN_MCRx Chaining Mailboxes Several mailboxes may be used to receive a buffer split into several messages with the same ID. In this case, the mailbox with the lowest number is serviced first. In the receive and receive with overwrite modes, the field PRIOR in the CAN_MMRx has no effect. If Mailbox 0 and Mailbox 5 accept messages with the same ID, the first message is received by Mailbox 0 and the second message is received by Mailbox 5. Mailbox 0 must be configured in Receive Mode (i.e., the first message received is considered) and Mailbox 5 must be configured in Receive with Overwrite Mode. Mailbox 0 cannot be configured in Receive with Overwrite Mode; otherwise, all messages are accepted by this mailbox and Mailbox 5 is never serviced. If several mailboxes are chained to receive a buffer split into several messages, all mailboxes except the last one (with the highest number) must be configured in Receive Mode. The first message received is handled by the first mailbox, the second one is refused by the first mailbox and accepted by the second mailbox, the last message is accepted by the last mailbox and refused by previous ones (see Figure 31-13). SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 661 Figure 31-13. Chaining Three Mailboxes to Receive a Buffer Split into Three Messages Buffer split in 3 messages CAN BUS Message s1 Message s2 Message s3 MRDY (CAN_MSRx) MMI (CAN_MSRx) MRDY (CAN_MSRy) MMI (CAN_MSRy) MRDY (CAN_MSRz) MMI (CAN_MSRz) Reading CAN_MSRx, CAN_MSRy and CAN_MSRz Reading CAN_MDH & CAN_MDL for mailboxes x, y and z Writing MBx MBy MBz in CAN_TCR If the number of mailboxes is not sufficient (the MMI flag of the last mailbox raises), the user must read each data received on the last mailbox in order to retrieve all the messages of the buffer split (see Figure 31-14). Figure 31-14. Chaining Three Mailboxes to Receive a Buffer Split into Four Messages Buffer split in 4 messages CAN BUS Message s1 Message s2 Message s3 Message s4 MRDY (CAN_MSRx) MMI (CAN_MSRx) MRDY (CAN_MSRy) MMI (CAN_MSRy) MRDY (CAN_MSRz) MMI (CAN_MSRz) Reading CAN_MSRx, CAN_MSRy and CAN_MSRz Reading CAN_MDH & CAN_MDL for mailboxes x, y and z Writing MBx MBy MBz in CAN_TCR 662 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 31.8.3.2 Transmission Handling A mailbox is in Transmit Mode once the MOT field in the CAN_MMRx has been configured. Message ID and Message Acceptance mask must be set before Receive Mode is enabled. After Transmit Mode is enabled, the MRDY flag in the CAN_MSR is automatically set until the first command is sent. When the MRDY flag is set, the software application can prepare a message to be sent by writing to the CAN_MDx registers. The message is sent once the software asks for a transfer command setting the MTCR bit and the message data length in the CAN_MCRx. The MRDY flag remains at zero as long as the message has not been sent or aborted. It is important to note that no access to the mailbox data register is allowed while the MRDY flag is cleared. An interrupt is pending for the mailbox while the MRDY flag is set. This interrupt can be masked depending on the mailbox flag in the CAN_IMR global register. It is also possible to send a remote frame setting the MRTR bit instead of setting the MDLC field. The answer to the remote frame is handled by another reception mailbox. In this case, the device acts as a consumer but with the help of two mailboxes. It is possible to handle the remote frame emission and the answer reception using only one mailbox configured in Consumer Mode. Refer to the section “Remote Frame Handling” on page 664. Several messages can try to win the bus arbitration in the same time. The message with the highest priority is sent first. Several transfer request commands can be generated at the same time by setting MBx bits in the CAN_TCR. The priority is set in the PRIOR field of the CAN_MMRx. Priority 0 is the highest priority, priority 15 is the lowest priority. Thus it is possible to use a part of the message ID to set the PRIOR field. If two mailboxes have the same priority, the message of the mailbox with the lowest number is sent first. Thus if mailbox 0 and mailbox 5 have the same priority and have a message to send at the same time, then the message of the mailbox 0 is sent first. Setting the MACR bit in the CAN_MCRx aborts the transmission. Transmission for several mailboxes can be aborted by writing MBx fields in the CAN_ACR. If the message is being sent when the abort command is set, then the application is notified by the MRDY bit set and not the MABT in the CAN_MSRx. Otherwise, if the message has not been sent, then the MRDY and the MABT are set in the CAN_MSR. When the bus arbitration is lost by a mailbox message, the CAN controller tries to win the next bus arbitration with the same message if this one still has the highest priority. Messages to be sent are re-tried automatically until they win the bus arbitration. This feature can be disabled by setting the bit DRPT in the CAN_MR. In this case if the message was not sent the first time it was transmitted to the CAN transceiver, it is automatically aborted. The MABT flag is set in the CAN_MSRx until the next transfer command. Figure 31-15 shows three MBx message attempts being made (MRDY of MBx set to 0). The first MBx message is sent, the second is aborted and the last one is trying to be aborted but too late because it has already been transmitted to the CAN transceiver. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 663 Figure 31-15. Transmitting Messages MBx message CAN BUS MBx message MRDY (CAN_MSRx) MABT (CAN_MSRx) MTCR (CAN_MCRx) MACR (CAN_MCRx) Abort MBx message Try to Abort MBx message Reading CAN_MSRx Writing CAN_MDHx & CAN_MDLx 31.8.3.3 Remote Frame Handling Producer/consumer model is an efficient means of handling broadcasted messages. The push model allows a producer to broadcast messages; the pull model allows a customer to ask for messages. Figure 31-16. Producer / Consumer Model Producer Request PUSH MODEL CAN Data Frame Consumer Indication(s) PULL MODEL Producer Indications Response Consumer CAN Remote Frame Request(s) CAN Data Frame Confirmation(s) In Pull Mode, a consumer transmits a remote frame to the producer. When the producer receives a remote frame, it sends the answer accepted by one or many consumers. Using transmit and receive mailboxes, a consumer must dedicate two mailboxes, one in Transmit Mode to send remote frames, and at least one in Receive Mode to capture the producer’s answer. The same structure is applicable to a producer: one reception mailbox is required to get the remote frame and one transmit mailbox to answer. Mailboxes can be configured in Producer or Consumer Mode. A lonely mailbox can handle the remote frame and the answer. With 8 mailboxes, the CAN controller can handle 8 independent producers/consumers. 664 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Producer Configuration A mailbox is in Producer Mode once the MOT field in the CAN_MMRx has been configured. Message ID and Message Acceptance masks must be set before Receive Mode is enabled. After Producer Mode is enabled, the MRDY flag in the CAN_MSR is automatically set until the first transfer command. The software application prepares data to be sent by writing to the CAN_MDHx and the CAN_MDLx registers, then by setting the MTCR bit in the CAN_MCRx. Data is sent after the reception of a remote frame as soon as it wins the bus arbitration. The MRDY flag remains at zero as long as the message has not been sent or aborted. No access to the mailbox data register can be done while MRDY flag is cleared. An interrupt is pending for the mailbox while the MRDY flag is set. This interrupt can be masked according to the mailbox flag in the CAN_IMR global register. If a remote frame is received while no data are ready to be sent (signal MRDY set in the CAN_MSRx), then the MMI signal is set in the CAN_MSRx. This bit is cleared by reading the CAN_MSRx. The MRTR field in the CAN_MSRx has no meaning. This field is used only when using Receive and Receive with Overwrite modes. After a remote frame has been received, the mailbox functions like a transmit mailbox. The message with the highest priority is sent first. The transmitted message may be aborted by setting the MACR bit in the CAN_MCR. Please refer to the section “Transmission Handling” on page 663. Figure 31-17. Producer Handling Remote Frame CAN BUS Message 1 Remote Frame Remote Frame Message 2 MRDY (CAN_MSRx) MMI (CAN_MSRx) Reading CAN_MSRx MTCR (CAN_MCRx) (CAN_MDLx CAN_MDHx) Message 1 Message 2 Consumer Configuration A mailbox is in Consumer Mode once the MOT field in the CAN_MMRx has been configured. Message ID and Message Acceptance masks must be set before Receive Mode is enabled. After Consumer Mode is enabled, the MRDY flag in the CAN_MSR is automatically cleared until the first transfer request command. The software application sends a remote frame by setting the MTCR bit in the CAN_MCRx or the MBx bit in the global CAN_TCR. The application is notified of the answer by the MRDY flag set in the CAN_MSRx. The application can read the data contents in the CAN_MDHx and CAN_MDLx registers. An interrupt is pending for the mailbox while the MRDY flag is set. This interrupt can be masked according to the mailbox flag in the CAN_IMR global register. The MRTR bit in the CAN_MCRx has no effect. This field is used only when using Transmit Mode. After a remote frame has been sent, the consumer mailbox functions as a reception mailbox. The first message received is stored in the mailbox data registers. If other messages intended for this mailbox have been sent while the MRDY flag is set in the CAN_MSRx, they will be lost. The application is notified by reading the MMI bit in the CAN_MSRx. The read operation automatically clears the MMI flag. If several messages are answered by the Producer, the CAN controller may have one mailbox in consumer configuration, zero or several mailboxes in Receive Mode and one mailbox in Receive with Overwrite Mode. In this SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 665 case, the consumer mailbox must have a lower number than the Receive with Overwrite mailbox. The transfer command can be triggered for all mailboxes at the same time by setting several MBx fields in the CAN_TCR. Figure 31-18. Consumer Handling Remote Frame CAN BUS Message x Remote Frame Message y MRDY (CAN_MSRx) MMI (CAN_MSRx) MTCR (CAN_MCRx) (CAN_MDLx CAN_MDHx) 31.8.4 Message x Message y CAN Controller Timing Modes Using the free running 16-bit internal timer, the CAN controller can be set in one of the two following timing modes:  Timestamping Mode: The value of the internal timer is captured at each Start Of Frame or each End Of Frame.  Time Triggered Mode: The mailbox transfer operation is triggered when the internal timer reaches the mailbox trigger. Timestamping Mode is enabled by clearing the TTM bit in the CAN_MR. Time Triggered Mode is enabled by setting the TTM bit in the CAN_MR. 31.8.4.1 Timestamping Mode Each mailbox has its own timestamp value. Each time a message is sent or received by a mailbox, the 16-bit value MTIMESTAMP of the CAN_TIMESTP register is transferred to the LSB bits of the CAN_MSRx. The value read in the CAN_MSRx corresponds to the internal timer value at the Start Of Frame or the End Of Frame of the message handled by the mailbox. Figure 31-19. Mailbox Timestamp Start of Frame CAN BUS Message 1 End of Frame Message 2 CAN_TIM TEOF (CAN_MR) TIMESTAMP (CAN_TSTP) Timestamp 1 MTIMESTAMP (CAN_MSRx) Timestamp 1 MTIMESTAMP (CAN_MSRy) 666 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Timestamp 2 Timestamp 2 31.8.4.2 Time Triggered Mode In Time Triggered Mode, basic cycles can be split into several time windows. A basic cycle starts with a reference message. Each time a window is defined from the reference message, a transmit operation should occur within a pre-defined time window. A mailbox must not win the arbitration in a previous time window, and it must not be retried if the arbitration is lost in the time window. Figure 31-20. Time Triggered Principle Time Cycle Reference Message Reference Message Time Windows for Messages Global Time Time Trigger Mode is enabled by setting the TTM field in the CAN_MR. In Time Triggered Mode, as in Timestamp Mode, the CAN_TIMESTP field captures the values of the internal counter, but the MTIMESTAMP fields in the CAN_MSRx registers are not active and are read at 0. Synchronization by a Reference Message In Time Triggered Mode, the internal timer counter is automatically reset when a new message is received in the last mailbox. This reset occurs after the reception of the End Of Frame on the rising edge of the MRDY signal in the CAN_MSRx. This allows synchronization of the internal timer counter with the reception of a reference message and the start a new time window. Transmitting within a Time Window A time mark is defined for each mailbox. It is defined in the 16-bit MTIMEMARK field of the CAN_MMRx. At each internal timer clock cycle, the value of the CAN_TIM is compared with each mailbox time mark. When the internal timer counter reaches the MTIMEMARK value, an internal timer event for the mailbox is generated for the mailbox. In Time Triggered Mode, transmit operations are delayed until the internal timer event for the mailbox. The application prepares a message to be sent by setting the MTCR in the CAN_MCRx. The message is not sent until the CAN_TIM value is less than the MTIMEMARK value defined in the CAN_MMRx. If the transmit operation is failed, i.e., the message loses the bus arbitration and the next transmit attempt is delayed until the next internal time trigger event. This prevents overlapping the next time window, but the message is still pending and is retried in the next time window when CAN_TIM value equals the MTIMEMARK value. It is also possible to prevent a retry by setting the DRPT field in the CAN_MR. Freezing the Internal Timer Counter The internal counter can be frozen by setting TIMFRZ in the CAN_MR. This prevents an unexpected roll-over when the counter reaches FFFFh. When this occurs, it automatically freezes until a new reset is issued, either due to a message received in the last mailbox or any other reset counter operations. The TOVF bit in the CAN_SR is set when the counter is frozen. The TOVF bit in the CAN_SR is cleared by reading the CAN_SR. Depending on the corresponding interrupt mask in the CAN_IMR, an interrupt is generated when TOVF is set. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 667 Figure 31-21. Time Triggered Operations Message x Arbitration Lost End of Frame CAN BUS Reference Message Message y Internal Counter Reset CAN_TIM Cleared by software MRDY (CAN_MSRlast_mailbox_number) Timer Event x MTIMEMARKx == CAN_TIM MRDY (CAN_MSRx) MTIMEMARKy == CAN_TIM Timer Event y MRDY (CAN_MSRy) Time Window Basic Cycle Message x Arbitration Win End of Frame CAN BUS Reference Message Message x Internal Counter Reset CAN_TIM Cleared by software MRDY (CAN_MSRlast_mailbox_number) Timer Event x MTIMEMARKx == CAN_TIM MRDY (CAN_MSRx) Time Window Basic Cycle 668 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Message y Arbitration Win 31.8.5 Register Write Protection To prevent any single software error that may corrupt CAN behavior, the registers listed below can be writeprotected by setting the WPEN bit in the CAN Write Protection Mode Register (CAN_WPMR). If a write access in a write-protected register is detected, then the WPVS flag in the CAN Write Protection Status Register (CAN_WPSR) is set and the field WPVSRC indicates in which register the write access has been attempted. The WPVS flag is automatically reset after reading the CAN_WPSR. The following registers can be write-protected:  CAN Mode Register  CAN Baudrate Register  CAN Message Mode Register  CAN Message Acceptance Mask Register  CAN Message ID Register SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 669 31.9 Controller Area Network (CAN) User Interface Table 31-6. Register Mapping Offset Register Name Access Reset 0x0000 Mode Register CAN_MR Read/Write 0x0 0x0004 Interrupt Enable Register CAN_IER Write-only – 0x0008 Interrupt Disable Register CAN_IDR Write-only – 0x000C Interrupt Mask Register CAN_IMR Read-only 0x0 0x0010 Status Register CAN_SR Read-only 0x0 0x0014 Baudrate Register CAN_BR Read/Write 0x0 0x0018 Timer Register CAN_TIM Read-only 0x0 0x001C Timestamp Register CAN_TIMESTP Read-only 0x0 0x0020 Error Counter Register CAN_ECR Read-only 0x0 0x0024 Transfer Command Register CAN_TCR Write-only – 0x0028 Abort Command Register CAN_ACR Write-only – Reserved – – – 0x00E4 Write Protection Mode Register CAN_WPMR Read/Write 0x0 0x00E8 Write Protection Status Register CAN_WPSR Read-only 0x0 Reserved – – – CAN_MMR Read/Write 0x0 0x002C–x00E0 0x00EC–0x01FC (2) 0x0200 + MB * 0x20 + 0x00 Mailbox Mode Register 0x0200 + MB * 0x20 + 0x04 Mailbox Acceptance Mask Register CAN_MAM Read/Write 0x0 0x0200 + MB * 0x20 + 0x08 Mailbox ID Register CAN_MID Read/Write 0x0 0x0200 + MB * 0x20 + 0x0C Mailbox Family ID Register CAN_MFID Read-only 0x0 0x0200 + MB * 0x20 + 0x10 Mailbox Status Register CAN_MSR Read-only 0x0 0x0200 + MB * 0x20 + 0x14 Mailbox Data Low Register CAN_MDL Read/Write 0x0 0x0200 + MB * 0x20 + 0x18 Mailbox Data High Register CAN_MDH Read/Write 0x0 0x0200 + MB * 0x20 + 0x1C Mailbox Control Register CAN_MCR Write-only – 2. Mailbox number ranges from 0 to 7. 670 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 31.9.1 CAN Mode Register Name: CAN_MR Address: 0x40010000 (0), 0x40014000 (1) Access: Read/Write 31 – 23 – 15 – 7 DRPT 30 – 22 – 14 – 6 TIMFRZ 29 – 21 – 13 – 5 TTM 28 – 20 – 12 – 4 TEOF 27 – 19 – 11 – 3 OVL 26 – 18 – 10 – 2 ABM 25 – 17 – 9 – 1 LPM 24 – 16 – 8 – 0 CANEN This register can only be written if the WPEN bit is cleared in the CAN Write Protection Mode Register. • CANEN: CAN Controller Enable 0: The CAN Controller is disabled. 1: The CAN Controller is enabled. • LPM: Disable/Enable Low-power Mode 0: Disable Low-power mode. 1: Enable Low-power mode. CAN controller enters Low-power mode once all pending messages have been transmitted. • ABM: Disable/Enable Autobaud/Listen mode 0: Disable Autobaud/listen mode. 1: Enable Autobaud/listen mode. • OVL: Disable/Enable Overload Frame 0: No overload frame is generated. 1: An overload frame is generated after each successful reception for mailboxes configured in Receive with/without overwrite Mode, Producer and Consumer. • TEOF: Timestamp messages at each end of Frame 0: The value of CAN_TIM is captured in the CAN_TIMESTP register at each Start Of Frame. 1: The value of CAN_TIM is captured in the CAN_TIMESTP register at each End Of Frame. • TTM: Disable/Enable Time Triggered Mode 0: Time Triggered Mode is disabled. 1: Time Triggered Mode is enabled. • TIMFRZ: Enable Timer Freeze 0: The internal timer continues to be incremented after it reached 0xFFFF. 1: The internal timer stops incrementing after reaching 0xFFFF. It is restarted after a timer reset. See “Freezing the Internal Timer Counter” on page 667. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 671 • DRPT: Disable Repeat 0: When a transmit mailbox loses the bus arbitration, the transfer request remains pending. 1: When a transmit mailbox loses the bus arbitration, the transfer request is automatically aborted. It automatically raises the MABT and MRDT flags in the corresponding CAN_MSRx. 672 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 31.9.2 CAN Interrupt Enable Register Name: CAN_IER Address: 0x40010004 (0), 0x40014004 (1) Access: Write-only 31 – 23 TSTP 15 – 7 MB7 30 – 22 TOVF 14 – 6 MB6 29 – 21 WAKEUP 13 – 5 MB5 28 BERR 20 SLEEP 12 – 4 MB4 27 FERR 19 BOFF 11 – 3 MB3 26 AERR 18 ERRP 10 – 2 MB2 25 SERR 17 WARN 9 – 1 MB1 24 CERR 16 ERRA 8 – 0 MB0 • MBx: Mailbox x Interrupt Enable 0: No effect. 1: Enable Mailbox x interrupt. • ERRA: Error Active Mode Interrupt Enable 0: No effect. 1: Enable ERRA interrupt. • WARN: Warning Limit Interrupt Enable 0: No effect. 1: Enable WARN interrupt. • ERRP: Error Passive Mode Interrupt Enable 0: No effect. 1: Enable ERRP interrupt. • BOFF: Bus Off Mode Interrupt Enable 0: No effect. 1: Enable BOFF interrupt. • SLEEP: Sleep Interrupt Enable 0: No effect. 1: Enable SLEEP interrupt. • WAKEUP: Wakeup Interrupt Enable 0: No effect. 1: Enable SLEEP interrupt. • TOVF: Timer Overflow Interrupt Enable 0: No effect. 1: Enable TOVF interrupt. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 673 • TSTP: TimeStamp Interrupt Enable 0: No effect. 1: Enable TSTP interrupt. • CERR: CRC Error Interrupt Enable 0: No effect. 1: Enable CRC Error interrupt. • SERR: Stuffing Error Interrupt Enable 0: No effect. 1: Enable Stuffing Error interrupt. • AERR: Acknowledgment Error Interrupt Enable 0: No effect. 1: Enable Acknowledgment Error interrupt. • FERR: Form Error Interrupt Enable 0: No effect. 1: Enable Form Error interrupt. • BERR: Bit Error Interrupt Enable 0: No effect. 1: Enable Bit Error interrupt. 674 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 31.9.3 CAN Interrupt Disable Register Name: CAN_IDR Address: 0x40010008 (0), 0x40014008 (1) Access: Write-only 31 – 23 TSTP 15 – 7 MB7 30 – 22 TOVF 14 – 6 MB6 29 – 21 WAKEUP 13 – 5 MB5 28 BERR 20 SLEEP 12 – 4 MB4 27 FERR 19 BOFF 11 – 3 MB3 26 AERR 18 ERRP 10 – 2 MB2 25 SERR 17 WARN 9 – 1 MB1 24 CERR 16 ERRA 8 – 0 MB0 • MBx: Mailbox x Interrupt Disable 0: No effect. 1: Disable Mailbox x interrupt. • ERRA: Error Active Mode Interrupt Disable 0: No effect. 1: Disable ERRA interrupt. • WARN: Warning Limit Interrupt Disable 0: No effect. 1: Disable WARN interrupt. • ERRP: Error Passive Mode Interrupt Disable 0: No effect. 1: Disable ERRP interrupt. • BOFF: Bus Off Mode Interrupt Disable 0: No effect. 1: Disable BOFF interrupt. • SLEEP: Sleep Interrupt Disable 0: No effect. 1: Disable SLEEP interrupt. • WAKEUP: Wakeup Interrupt Disable 0: No effect. 1: Disable WAKEUP interrupt. • TOVF: Timer Overflow Interrupt 0: No effect. 1: Disable TOVF interrupt. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 675 • TSTP: TimeStamp Interrupt Disable 0: No effect. 1: Disable TSTP interrupt. • CERR: CRC Error Interrupt Disable 0: No effect. 1: Disable CRC Error interrupt. • SERR: Stuffing Error Interrupt Disable 0: No effect. 1: Disable Stuffing Error interrupt. • AERR: Acknowledgment Error Interrupt Disable 0: No effect. 1: Disable Acknowledgment Error interrupt. • FERR: Form Error Interrupt Disable 0: No effect. 1: Disable Form Error interrupt. • BERR: Bit Error Interrupt Disable 0: No effect. 1: Disable Bit Error interrupt. 676 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 31.9.4 CAN Interrupt Mask Register Name: CAN_IMR Address: 0x4001000C (0), 0x4001400C (1) Access: Read-only 31 – 23 TSTP 15 – 7 MB7 30 – 22 TOVF 14 – 6 MB6 29 – 21 WAKEUP 13 – 5 MB5 28 BERR 20 SLEEP 12 – 4 MB4 27 FERR 19 BOFF 11 – 3 MB3 26 AERR 18 ERRP 10 – 2 MB2 25 SERR 17 WARN 9 – 1 MB1 24 CERR 16 ERRA 8 – 0 MB0 • MBx: Mailbox x Interrupt Mask 0: Mailbox x interrupt is disabled. 1: Mailbox x interrupt is enabled. • ERRA: Error Active Mode Interrupt Mask 0: ERRA interrupt is disabled. 1: ERRA interrupt is enabled. • WARN: Warning Limit Interrupt Mask 0: Warning Limit interrupt is disabled. 1: Warning Limit interrupt is enabled. • ERRP: Error Passive Mode Interrupt Mask 0: ERRP interrupt is disabled. 1: ERRP interrupt is enabled. • BOFF: Bus Off Mode Interrupt Mask 0: BOFF interrupt is disabled. 1: BOFF interrupt is enabled. • SLEEP: Sleep Interrupt Mask 0: SLEEP interrupt is disabled. 1: SLEEP interrupt is enabled. • WAKEUP: Wakeup Interrupt Mask 0: WAKEUP interrupt is disabled. 1: WAKEUP interrupt is enabled. • TOVF: Timer Overflow Interrupt Mask 0: TOVF interrupt is disabled. 1: TOVF interrupt is enabled. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 677 • TSTP: Timestamp Interrupt Mask 0: TSTP interrupt is disabled. 1: TSTP interrupt is enabled. • CERR: CRC Error Interrupt Mask 0: CRC Error interrupt is disabled. 1: CRC Error interrupt is enabled. • SERR: Stuffing Error Interrupt Mask 0: Bit Stuffing Error interrupt is disabled. 1: Bit Stuffing Error interrupt is enabled. • AERR: Acknowledgment Error Interrupt Mask 0: Acknowledgment Error interrupt is disabled. 1: Acknowledgment Error interrupt is enabled. • FERR: Form Error Interrupt Mask 0: Form Error interrupt is disabled. 1: Form Error interrupt is enabled. • BERR: Bit Error Interrupt Mask 0: Bit Error interrupt is disabled. 1: Bit Error interrupt is enabled. 678 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 31.9.5 CAN Status Register Name: CAN_SR Address: 0x40010010 (0), 0x40014010 (1) Access: Read-only 31 OVLSY 23 TSTP 15 – 7 MB7 30 TBSY 22 TOVF 14 – 6 MB6 29 RBSY 21 WAKEUP 13 – 5 MB5 28 BERR 20 SLEEP 12 – 4 MB4 27 FERR 19 BOFF 11 – 3 MB3 26 AERR 18 ERRP 10 – 2 MB2 25 SERR 17 WARN 9 – 1 MB1 24 CERR 16 ERRA 8 – 0 MB0 • MBx: Mailbox x Event 0: No event occurred on Mailbox x. 1: An event occurred on Mailbox x. An event corresponds to MRDY, MABT bits in the CAN_MSRx. • ERRA: Error Active Mode (automatically cleared by reading CAN_SR) 0: CAN controller has not reached Error Active Mode since the last read of CAN_SR. 1: CAN controller has reached Error Active Mode since the last read of CAN_SR. This flag is set depending on TEC and REC counter values. It is set when a node is neither in Error Passive Mode nor in Bus Off Mode. • WARN: Warning Limit (automatically cleared by reading CAN_SR) 0: CAN controller Warning Limit has not been reached since the last read of CAN_SR. 1: CAN controller Warning Limit has been reached since the last read of CAN_SR. This flag is set depending on TEC and REC counter values. It is set when at least one of the counter values has reached a value greater or equal to 96. • ERRP: Error Passive Mode (automatically cleared by reading CAN_SR) 0: CAN controller has not reached Error Passive Mode since the last read of CAN_SR. 1: CAN controller has reached Error Passive Mode since the last read of CAN_SR. This flag is set depending on TEC and REC counters values. A node is in error passive state when TEC counter is greater or equal to 128 (decimal) or when the REC counter is greater or equal to 128 (decimal). • BOFF: Bus Off Mode (automatically cleared by reading CAN_SR) 0: CAN controller has not reached Bus Off Mode. 1: CAN controller has reached Bus Off Mode since the last read of CAN_SR. This flag is set depending on TEC counter value. A node is in bus off state when TEC counter is greater or equal to 256 (decimal). SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 679 • SLEEP: CAN Controller in Low-power Mode 0: CAN controller is not in Low-power mode. 1: CAN controller is in Low-power mode. This flag is automatically reset when Low-power mode is disabled • WAKEUP: CAN Controller is not in Low-power Mode 0: CAN controller is in Low-power mode. 1: CAN controller is not in Low-power mode. When a WAKEUP event occurs, the CAN controller is synchronized with the bus activity. Messages can be transmitted or received. The CAN controller clock must be available when a WAKEUP event occurs. This flag is automatically reset when the CAN Controller enters Low-power mode. • TOVF: Timer Overflow (automatically cleared by reading CAN_SR) 0: The timer has not rolled-over FFFFh to 0000h. 1: The timer rolls-over FFFFh to 0000h. • TSTP: Timestamp (automatically cleared by reading CAN_SR) 0: No bus activity has been detected. 1: A start of frame or an end of frame has been detected (according to the TEOF field in the CAN_MR). • CERR: Mailbox CRC Error (automatically cleared by reading CAN_SR) 0: No CRC error occurred during a previous transfer. 1: A CRC error occurred during a previous transfer. A CRC error has been detected during last reception. • SERR: Mailbox Stuffing Error (automatically cleared by reading CAN_SR) 0: No stuffing error occurred during a previous transfer. 1: A stuffing error occurred during a previous transfer. A form error results from the detection of more than five consecutive bit with the same polarity. • AERR: Acknowledgment Error (automatically cleared by reading CAN_SR) 0: No acknowledgment error occurred during a previous transfer. 1: An acknowledgment error occurred during a previous transfer. An acknowledgment error is detected when no detection of the dominant bit in the acknowledge slot occurs. • FERR: Form Error (automatically cleared by reading CAN_SR) 0: No form error occurred during a previous transfer 1: A form error occurred during a previous transfer A form error results from violations on one or more of the fixed form of the following bit fields: – CRC delimiter – ACK delimiter – End of frame – Error delimiter – Overload delimiter 680 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 • BERR: Bit Error (automatically cleared by reading CAN_SR) 0: No bit error occurred during a previous transfer. 1: A bit error occurred during a previous transfer. A bit error is set when the bit value monitored on the line is different from the bit value sent. • RBSY: Receiver Busy 0: CAN receiver is not receiving a frame. 1: CAN receiver is receiving a frame. Receiver busy. This status bit is set by hardware while CAN receiver is acquiring or monitoring a frame (remote, data, overload or error frame). It is automatically reset when CAN is not receiving. • TBSY: Transmitter Busy 0: CAN transmitter is not transmitting a frame. 1: CAN transmitter is transmitting a frame. Transmitter busy. This status bit is set by hardware while CAN transmitter is generating a frame (remote, data, overload or error frame). It is automatically reset when CAN is not transmitting. • OVLSY: Overload busy 0: CAN transmitter is not transmitting an overload frame. 1: CAN transmitter is transmitting a overload frame. It is automatically reset when the bus is not transmitting an overload frame. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 681 31.9.6 CAN Baudrate Register Name: CAN_BR Address: 0x40010014 (0), 0x40014014 (1) Access: Read/Write 31 – 23 – 15 – 7 – 30 – 22 29 – 21 14 – 6 13 28 – 20 12 SJW 5 PHASE1 4 27 – 19 BRP 11 – 3 – 26 – 18 25 – 17 24 SMP 16 10 9 PROPAG 1 PHASE2 8 2 0 This register can only be written if the WPEN bit is cleared in the CAN Write Protection Mode Register. Any modification on one of the fields of the CAN_BR must be done while CAN module is disabled. To compute the different bit timings, please refer to the Section 31.7.4.1 “CAN Bit Timing Configuration” on page 649. • PHASE2: Phase 2 Segment This phase is used to compensate the edge phase error. t PHS2 = t CSC × ( PHASE2 + 1 ) Warning: PHASE2 value must be different from 0. • PHASE1: Phase 1 Segment This phase is used to compensate for edge phase error. t PHS1 = t CSC × ( PHASE1 + 1 ) • PROPAG: Programming Time Segment This part of the bit time is used to compensate for the physical delay times within the network. t PRS = t CSC × ( PROPAG + 1 ) • SJW: Re-synchronization Jump Width To compensate for phase shifts between clock oscillators of different controllers on bus. The controller must re-synchronize on any relevant signal edge of the current transmission. The synchronization jump width defines the maximum of clock cycles a bit period may be shortened or lengthened by re-synchronization. t SJW = t CSC × ( SJW + 1 ) • BRP: Baudrate Prescaler This field allows user to program the period of the CAN system clock to determine the individual bit timing. t CSC = ( BRP + 1 ) ⁄ t peripheral clock The BRP field must be within the range [1, 0x7F], i.e., BRP = 0 is not authorized. • SMP: Sampling Mode 0 (ONCE): The incoming bit stream is sampled once at sample point. 1 (THREE): The incoming bit stream is sampled three times with a period of a peripheral clock, centered on sample point. SMP Sampling Mode is automatically disabled if BRP = 0. 682 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 31.9.7 CAN Timer Register Name: CAN_TIM Address: 0x40010018 (0), 0x40014018 (1) Access: Read-only 31 – 23 – 15 30 – 22 – 14 29 – 21 – 13 28 – 20 – 12 7 6 5 4 27 – 19 – 11 26 – 18 – 10 25 – 17 – 9 24 – 16 – 8 3 2 1 0 TIMER TIMER • TIMER: Timer This field represents the internal CAN controller 16-bit timer value. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 683 31.9.8 CAN Timestamp Register Name: CAN_TIMESTP Address: 0x4001001C (0), 0x4001401C (1) Access: Read-only 31 – 23 – 15 30 – 22 – 14 29 – 21 – 13 7 6 5 28 27 – – 20 19 – – 12 11 MTIMESTAMP 4 3 MTIMESTAMP 26 – 18 – 10 25 – 17 – 9 24 – 16 – 8 2 1 0 • MTIMESTAMP: Timestamp This field carries the value of the internal CAN controller 16-bit timer value at the start or end of frame. If the TEOF bit is cleared in the CAN_MR, the internal Timer Counter value is captured in the MTIMESTAMP field at each start of frame else the value is captured at each end of frame. When the value is captured, the TSTP flag is set in the CAN_SR. If the TSTP mask in the CAN_IMR is set, an interrupt is generated while TSTP flag is set in the CAN_SR. The TSTP flag is cleared by reading the CAN_SR. Note: The CAN_TIMESTP register is reset when the CAN is disabled then enabled via the CANEN bit in the CAN_MR. 684 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 31.9.9 CAN Error Counter Register Name: CAN_ECR Address: 0x40010020 (0), 0x40014020 (1) Access: Read-only 31 – 23 30 – 22 29 – 21 28 – 20 27 – 19 26 – 18 25 – 17 24 TEC 16 11 – 3 10 – 2 9 – 1 8 – 0 TEC 15 – 7 14 – 6 13 – 5 12 – 4 REC • REC: Receive Error Counter When a receiver detects an error, REC will be increased by one, except when the detected error is a BIT ERROR while sending an ACTIVE ERROR FLAG or an OVERLOAD FLAG. When a receiver detects a dominant bit as the first bit after sending an ERROR FLAG, REC is increased by 8. When a receiver detects a BIT ERROR while sending an ACTIVE ERROR FLAG, REC is increased by 8. Any node tolerates up to 7 consecutive dominant bits after sending an ACTIVE ERROR FLAG, PASSIVE ERROR FLAG or OVERLOAD FLAG. After detecting the 14th consecutive dominant bit (in case of an ACTIVE ERROR FLAG or an OVERLOAD FLAG) or after detecting the 8th consecutive dominant bit following a PASSIVE ERROR FLAG, and after each sequence of additional eight consecutive dominant bits, each receiver increases its REC by 8. After successful reception of a message, REC is decreased by 1 if it was between 1 and 127. If REC was 0, it stays 0, and if it was greater than 127, then it is set to a value between 119 and 127. • TEC: Transmit Error Counter When a transmitter sends an ERROR FLAG, TEC is increased by 8 except when – the transmitter is error passive and detects an ACKNOWLEDGMENT ERROR because of not detecting a dominant ACK and does not detect a dominant bit while sending its PASSIVE ERROR FLAG. – the transmitter sends an ERROR FLAG because a STUFF ERROR occurred during arbitration and should have been recessive and has been sent as recessive but monitored as dominant. When a transmitter detects a BIT ERROR while sending an ACTIVE ERROR FLAG or an OVERLOAD FLAG, the TEC will be increased by 8. Any node tolerates up to 7 consecutive dominant bits after sending an ACTIVE ERROR FLAG, PASSIVE ERROR FLAG or OVERLOAD FLAG. After detecting the 14th consecutive dominant bit (in case of an ACTIVE ERROR FLAG or an OVERLOAD FLAG) or after detecting the 8th consecutive dominant bit following a PASSIVE ERROR FLAG, and after each sequence of additional eight consecutive dominant bits every transmitter increases its TEC by 8. After a successful transmission the TEC is decreased by 1 unless it was already 0. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 685 31.9.10 CAN Transfer Command Register Name: CAN_TCR Address: 0x40010024 (0), 0x40014024 (1) Access: Write-only 31 TIMRST 23 – 15 – 7 MB7 30 – 22 – 14 – 6 MB6 29 – 21 – 13 – 5 MB5 28 – 20 – 12 – 4 MB4 27 – 19 – 11 – 3 MB3 26 – 18 – 10 – 2 MB2 25 – 17 – 9 – 1 MB1 24 – 16 – 8 – 0 MB0 This register initializes several transfer requests at the same time. • MBx: Transfer Request for Mailbox x Mailbox Object Type Description Receive It receives the next message. Receive with overwrite This triggers a new reception. Transmit Sends data prepared in the mailbox as soon as possible. Consumer Sends a remote frame. Producer Sends data prepared in the mailbox after receiving a remote frame from a consumer. This flag clears the MRDY and MABT flags in the corresponding CAN_MSRx. When several mailboxes are requested to be transmitted simultaneously, they are transmitted in turn, starting with the mailbox with the highest priority. If several mailboxes have the same priority, then the mailbox with the lowest number is sent first (i.e., MB0 will be transferred before MB1). • TIMRST: Timer Reset Resets the internal timer counter. If the internal timer counter is frozen, this command automatically re-enables it. This command is useful in Time Triggered mode. 686 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 31.9.11 CAN Abort Command Register Name: CAN_ACR Address: 0x40010028 (0), 0x40014028 (1) Access: Write-only 31 – 23 – 15 – 7 MB7 30 – 22 – 14 – 6 MB6 29 – 21 – 13 – 5 MB5 28 – 20 – 12 – 4 MB4 27 – 19 – 11 – 3 MB3 26 – 18 – 10 – 2 MB2 25 – 17 – 9 – 1 MB1 24 – 16 – 8 – 0 MB0 This register initializes several abort requests at the same time. • MBx: Abort Request for Mailbox x Mailbox Object Type Description Receive No action Receive with overwrite No action Transmit Cancels transfer request if the message has not been transmitted to the CAN transceiver. Consumer Cancels the current transfer before the remote frame has been sent. Producer Cancels the current transfer. The next remote frame is not serviced. It is possible to set the MACR field (in the CAN_MCRx) for each mailbox. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 687 31.9.12 CAN Write Protection Mode Register Name: CAN_WPMR Address: 0x400100E4 (0), 0x400140E4 (1) Access: Read/Write 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 – 2 – 1 – 0 WPEN WPKEY 23 22 21 20 WPKEY 15 14 13 12 WPKEY 7 – 6 – 5 – 4 – • WPEN: Write Protection Enable 0: Disables the write protection if WPKEY corresponds to 0x43414E (“CAN” written in ASCII) 1: Enables the write protection if WPKEY corresponds to 0x43414E (“CAN” written in ASCII) See Section 31.8.5 “Register Write Protection” for the list of registers that can be write-protected. • WPKEY: Write Protection Key Password Value 0x43414E 688 Name PASSWD Description Writing any other value in this field aborts the write operation of the WPEN bit. Always reads as 0 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 31.9.13 CAN Write Protection Status Register Name: CAN_WPSR Address: 0x400100E8 (0), 0x400140E8 (1) Access: Read-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 14 13 12 11 10 9 8 3 – 2 – 1 – 0 WPVS WPVSRC 7 – 6 – 5 – 4 – • WPVS: Write Protection Violation Status 0: No write protection violation has occurred since the last read of the CAN_WPSR. 1: A write protection violation has occurred since the last read of the CAN_WPSR. If this violation is an unauthorized attempt to write a protected register, the associated violation is reported into field WPVSRC. • WPVSRC: Write Protection Violation Source When WPVS = 1, WPVSRC indicates the register address offset at which a write access has been attempted. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 689 31.9.14 CAN Message Mode Register Name: CAN_MMRx [x=0..7] Address: 0x40010200 (0)[0], 0x40010220 (0)[1], 0x40010240 (0)[2], 0x40010260 (0)[3], 0x40010280 (0)[4], 0x400102A0 (0)[5], 0x400102C0 (0)[6], 0x400102E0 (0)[7], 0x40014200 (1)[0], 0x40014220 (1)[1], 0x40014240 (1)[2], 0x40014260 (1)[3], 0x40014280 (1)[4], 0x400142A0 (1)[5], 0x400142C0 (1)[6], 0x400142E0 (1)[7] Access: Read/Write 31 – 23 – 15 30 – 22 – 14 29 – 21 – 13 28 – 20 – 12 27 – 19 26 25 24 18 MOT 17 16 PRIOR 11 10 9 8 3 2 1 0 MTIMEMARK 7 6 5 4 MTIMEMARK This register can only be written if the WPEN bit is cleared in the CAN Write Protection Mode Register. • MTIMEMARK: Mailbox Timemark This field is active in Time Triggered Mode. Transmit operations are allowed when the internal timer counter reaches the Mailbox Timemark. See “Transmitting within a Time Window” on page 667. In Timestamp Mode, MTIMEMARK is set to 0. • PRIOR: Mailbox Priority This field has no effect in receive and receive with overwrite modes. In these modes, the mailbox with the lowest number is serviced first. When several mailboxes try to transmit a message at the same time, the mailbox with the highest priority is serviced first. If several mailboxes have the same priority, the mailbox with the lowest number is serviced first (i.e., MBx0 is serviced before MBx 15 if they have the same priority). • MOT: Mailbox Object Type This field allows the user to define the type of the mailbox. All mailboxes are independently configurable. Five different types are possible for each mailbox. Value Name Description 0 MB_DISABLED Mailbox is disabled. This prevents receiving or transmitting any messages with this mailbox. 1 MB_RX Reception Mailbox. Mailbox is configured for reception. If a message is received while the mailbox data register is full, it is discarded. 2 MB_RX_OVERWRIT E Reception mailbox with overwrite. Mailbox is configured for reception. If a message is received while the mailbox is full, it overwrites the previous message. 3 MB_TX Transmit mailbox. Mailbox is configured for transmission. 4 MB_CONSUMER Consumer Mailbox. Mailbox is configured in reception but behaves as a Transmit Mailbox, i.e., it sends a remote frame and waits for an answer. 5 MB_PRODUCER Producer Mailbox. Mailbox is configured in transmission but also behaves like a reception mailbox, i.e., it waits to receive a Remote Frame before sending its contents. 6 – Reserved 690 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 31.9.15 Name: CAN Message Acceptance Mask Register CAN_MAMx [x=0..7] Address: 0x40010204 (0)[0], 0x40010224 (0)[1], 0x40010244 (0)[2], 0x40010264 (0)[3], 0x40010284 (0)[4], 0x400102A4 (0)[5], 0x400102C4 (0)[6], 0x400102E4 (0)[7], 0x40014204 (1)[0], 0x40014224 (1)[1], 0x40014244 (1)[2], 0x40014264 (1)[3], 0x40014284 (1)[4], 0x400142A4 (1)[5], 0x400142C4 (1)[6], 0x400142E4 (1)[7] Access: 31 – 23 Read/Write 30 – 22 29 MIDE 21 28 27 20 19 26 MIDvA 18 25 17 MIDvA 15 14 13 24 16 MIDvB 12 11 10 9 8 3 2 1 0 MIDvB 7 6 5 4 MIDvB This register can only be written if the WPEN bit is cleared in the CAN Write Protection Mode Register. To prevent concurrent access with the internal CAN core, the application must disable the mailbox before writing to CAN_MAMx registers. • MIDvB: Complementary bits for identifier in extended frame mode Acceptance mask for corresponding field of the message IDvB register of the mailbox. 0: The corresponding message ID bit is not masked 1: The corresponding message ID bit is masked • MIDvA: Identifier for standard frame mode Acceptance mask for corresponding field of the message IDvA register of the mailbox. 0: The corresponding message ID bit is not masked 1: The corresponding message ID bit is masked • MIDE: Identifier Version 0: Compares IDvA from the received frame with the CAN_MIDx register masked with CAN_MAMx register. 1: Compares IDvA and IDvB from the received frame with the CAN_MIDx register masked with CAN_MAMx register. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 691 31.9.16 Name: CAN Message ID Register CAN_MIDx [x=0..7] Address: 0x40010208 (0)[0], 0x40010228 (0)[1], 0x40010248 (0)[2], 0x40010268 (0)[3], 0x40010288 (0)[4], 0x400102A8 (0)[5], 0x400102C8 (0)[6], 0x400102E8 (0)[7], 0x40014208 (1)[0], 0x40014228 (1)[1], 0x40014248 (1)[2], 0x40014268 (1)[3], 0x40014288 (1)[4], 0x400142A8 (1)[5], 0x400142C8 (1)[6], 0x400142E8 (1)[7] Access: 31 – 23 Read/Write 30 – 22 29 MIDE 21 28 27 20 19 26 MIDvA 18 25 17 MIDvA 15 14 13 24 16 MIDvB 12 11 10 9 8 3 2 1 0 MIDvB 7 6 5 4 MIDvB This register can only be written if the WPEN bit is cleared in the CAN Write Protection Mode Register. To prevent concurrent access with the internal CAN core, the application must disable the mailbox before writing to CAN_MIDx registers. • MIDvB: Complementary bits for identifier in extended frame mode If MIDE is cleared, MIDvB value is 0. • MIDE: Identifier Version This bit allows the user to define the version of messages processed by the mailbox. If set, mailbox is dealing with version 2.0 Part B messages; otherwise, mailbox is dealing with version 2.0 Part A messages. • MIDvA: Identifier for standard frame mode 692 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 31.9.17 Name: CAN Message Family ID Register CAN_MFIDx [x=0..7] Address: 0x4001020C (0)[0], 0x4001022C (0)[1], 0x4001024C (0)[2], 0x4001026C (0)[3], 0x4001028C (0)[4], 0x400102AC (0)[5], 0x400102CC (0)[6], 0x400102EC (0)[7], 0x4001420C (1)[0], 0x4001422C (1)[1], 0x4001424C (1)[2], 0x4001426C (1)[3], 0x4001428C (1)[4], 0x400142AC (1)[5], 0x400142CC (1)[6], 0x400142EC (1)[7] Access: Read-only 31 – 23 30 – 22 29 – 21 28 27 20 25 24 19 26 MFID 18 17 16 11 10 9 8 3 2 1 0 MFID 15 14 13 12 MFID 7 6 5 4 MFID • MFID: Family ID This field contains the concatenation of CAN_MIDx register bits masked by the CAN_MAMx register. This field is useful to speed up message ID decoding. The message acceptance procedure is described below. As an example: CAN_MIDx = 0x305A4321 CAN_MAMx = 0x3FF0F0FF CAN_MFIDx = 0x000000A3 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 693 31.9.18 CAN Message Status Register Name: CAN_MSRx [x=0..7] Address: 0x40010210 (0)[0], 0x40010230 (0)[1], 0x40010250 (0)[2], 0x40010270 (0)[3], 0x40010290 (0)[4], 0x400102B0 (0)[5], 0x400102D0 (0)[6], 0x400102F0 (0)[7], 0x40014210 (1)[0], 0x40014230 (1)[1], 0x40014250 (1)[2], 0x40014270 (1)[3], 0x40014290 (1)[4], 0x400142B0 (1)[5], 0x400142D0 (1)[6], 0x400142F0 (1)[7] Access: Read-only 31 – 23 MRDY 15 30 – 22 MABT 14 29 – 21 – 13 7 6 5 28 27 – – 20 19 MRTR 12 11 MTIMESTAMP 4 3 MTIMESTAMP 26 – 18 25 – 17 24 MMI 16 10 9 8 2 1 0 MDLC These register fields are updated each time a message transfer is received or aborted. Warning: MRTR and MDLC state depends partly on the mailbox object type. • MTIMESTAMP: Timer Value This field is updated only when time-triggered operations are disabled (TTM cleared in CAN_MR). If the field CAN_MR.TEOF is cleared, TIMESTAMP is the internal timer value at the start of frame of the last message received or sent by the mailbox. If the field CAN_MR.TEOF is set, TIMESTAMP is the internal timer value at the end of frame of the last message received or sent by the mailbox. In Time Triggered Mode, MTIMESTAMP is set to 0. • MDLC: Mailbox Data Length Code Mailbox Object Type Description Receive Length of the first mailbox message received Receive with overwrite Length of the last mailbox message received Transmit No action Consumer Length of the mailbox message received Producer Length of the mailbox message to be sent after the remote frame reception • MRTR: Mailbox Remote Transmission Request Mailbox Object Type Description Receive The first frame received has the RTR bit set. Receive with overwrite The last frame received has the RTR bit set. Transmit Reserved Consumer Reserved. After setting the MOT field in the CAN_MMR, MRTR is reset to 1. Producer Reserved. After setting the MOT field in the CAN_MMR, MRTR is reset to 0. 694 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 • MABT: Mailbox Message Abort (cleared by writing MTCR or MACR in the CAN_MCRx) An interrupt is triggered when MABT is set. 0: Previous transfer is not aborted. 1: Previous transfer has been aborted. Mailbox Object Type Description Receive Reserved Receive with overwrite Reserved Transmit Previous transfer has been aborted Consumer The remote frame transfer request has been aborted. Producer The response to the remote frame transfer has been aborted. • MRDY: Mailbox Ready (cleared by writing MTCR or MACR in the CAN_MCRx) An interrupt is triggered when MRDY is set. 0: Mailbox data registers can not be read/written by the software application. CAN_MDx are locked by the CAN_MDx. 1: Mailbox data registers can be read/written by the software application. Mailbox Object Type Description Receive At least one message has been received since the last mailbox transfer order. Data from the first frame received can be read in the CAN_MDxx registers. After setting the MOT field in the CAN_MMR, MRDY is reset to 0. Receive with overwrite At least one frame has been received since the last mailbox transfer order. Data from the last frame received can be read in the CAN_MDxx registers. After setting the MOT field in the CAN_MMR, MRDY is reset to 0. Transmit Consumer Mailbox data have been transmitted. After setting the MOT field in the CAN_MMR, MRDY is reset to 1. At least one message has been received since the last mailbox transfer order. Data from the first message received can be read in the CAN_MDxx registers. After setting the MOT field in the CAN_MMR, MRDY is reset to 0. Producer A remote frame has been received, mailbox data have been transmitted. After setting the MOT field in the CAN_MMR, MRDY is reset to 1. • MMI: Mailbox Message Ignored (cleared by reading CAN_MSRx) 0: No message has been ignored during the previous transfer 1: At least one message has been ignored during the previous transfer Mailbox Object Type Description Receive Set when at least two messages intended for the mailbox have been sent. The first one is available in the mailbox data register. Others have been ignored. A mailbox with a lower priority may have accepted the message. Receive with overwrite Set when at least two messages intended for the mailbox have been sent. The last one is available in the mailbox data register. Previous ones have been lost. Transmit Reserved Consumer A remote frame has been sent by the mailbox but several messages have been received. The first one is available in the mailbox data register. Others have been ignored. Another mailbox with a lower priority may have accepted the message. Producer A remote frame has been received, but no data are available to be sent. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 695 31.9.19 Name: CAN Message Data Low Register CAN_MDLx [x=0..7] Address: 0x40010214 (0)[0], 0x40010234 (0)[1], 0x40010254 (0)[2], 0x40010274 (0)[3], 0x40010294 (0)[4], 0x400102B4 (0)[5], 0x400102D4 (0)[6], 0x400102F4 (0)[7], 0x40014214 (1)[0], 0x40014234 (1)[1], 0x40014254 (1)[2], 0x40014274 (1)[3], 0x40014294 (1)[4], 0x400142B4 (1)[5], 0x400142D4 (1)[6], 0x400142F4 (1)[7] Access: Read/Write 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 MDL 23 22 21 20 MDL 15 14 13 12 MDL 7 6 5 4 MDL • MDL: Message Data Low Value When MRDY bit is set in the CAN_MSRx, the lower 32 bits of a received message can be read or written by the software application. Otherwise, the MDL value is locked by the CAN controller to send/receive a new message. In Receive with overwrite, the CAN controller may modify MDL value while the software application reads MDH and MDL registers. To check that MDH and MDL do not belong to different messages, the application has to check the MMI bit in the CAN_MSRx. In this mode, the software application must re-read CAN_MDH and CAN_MDL, while the MMI bit in the CAN_MSRx is set. Bytes are received/sent on the bus in the following order: 1. CAN_MDL[7:0] 2. CAN_MDL[15:8] 3. CAN_MDL[23:16] 4. CAN_MDL[31:24] 5. CAN_MDH[7:0] 6. CAN_MDH[15:8] 7. CAN_MDH[23:16] 8. CAN_MDH[31:24] 696 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 31.9.20 Name: CAN Message Data High Register CAN_MDHx [x=0..7] Address: 0x40010218 (0)[0], 0x40010238 (0)[1], 0x40010258 (0)[2], 0x40010278 (0)[3], 0x40010298 (0)[4], 0x400102B8 (0)[5], 0x400102D8 (0)[6], 0x400102F8 (0)[7], 0x40014218 (1)[0], 0x40014238 (1)[1], 0x40014258 (1)[2], 0x40014278 (1)[3], 0x40014298 (1)[4], 0x400142B8 (1)[5], 0x400142D8 (1)[6], 0x400142F8 (1)[7] Access: Read/Write 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 MDH 23 22 21 20 MDH 15 14 13 12 MDH 7 6 5 4 MDH • MDH: Message Data High Value When MRDY bit is set in the CAN_MSRx, the upper 32 bits of a received message are read or written by the software application. Otherwise, the MDH value is locked by the CAN controller to send/receive a new message. In Receive with overwrite, the CAN controller may modify MDH value while the software application reads MDH and MDL registers. To check that MDH and MDL do not belong to different messages, the application has to check the MMI bit in the CAN_MSRx. In this mode, the software application must re-read CAN_MDH and CAN_MDL, while the MMI bit in the CAN_MSRx is set. Bytes are received/sent on the bus in the following order: 1. CAN_MDL[7:0] 2. CAN_MDL[15:8] 3. CAN_MDL[23:16] 4. CAN_MDL[31:24] 5. CAN_MDH[7:0] 6. CAN_MDH[15:8] 7. CAN_MDH[23:16] 8. CAN_MDH[31:24] SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 697 31.9.21 CAN Message Control Register Name: CAN_MCRx [x=0..7] Address: 0x4001021C (0)[0], 0x4001023C (0)[1], 0x4001025C (0)[2], 0x4001027C (0)[3], 0x4001029C (0)[4], 0x400102BC (0)[5], 0x400102DC (0)[6], 0x400102FC (0)[7], 0x4001421C (1)[0], 0x4001423C (1)[1], 0x4001425C (1)[2], 0x4001427C (1)[3], 0x4001429C (1)[4], 0x400142BC (1)[5], 0x400142DC (1)[6], 0x400142FC (1)[7] Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 23 MTCR 22 MACR 21 – 20 MRTR 19 18 15 – 14 13 – 12 11 – 7 – 6 5 – 4 3 – – – – – 25 24 – – 17 16 10 9 – – 8 – 2 – 1 0 – – MDLC • MDLC: Mailbox Data Length Code Mailbox Object Type Description Receive No action. Receive with overwrite No action. Transmit Length of the mailbox message. Consumer No action. Producer Length of the mailbox message to be sent after the remote frame reception. • MRTR: Mailbox Remote Transmission Request Mailbox Object Type Description Receive No action Receive with overwrite No action Transmit Set the RTR bit in the sent frame Consumer No action, the RTR bit in the sent frame is set automatically Producer No action Consumer situations can be handled automatically by setting the mailbox object type in Consumer. This requires only one mailbox. It can also be handled using two mailboxes, one in reception, the other in transmission. The MRTR and the MTCR bits must be set in the same time. 698 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 • MACR: Abort Request for Mailbox x Mailbox Object Type Description Receive No action Receive with overwrite No action Transmit Cancels transfer request if the message has not been transmitted to the CAN transceiver. Consumer Cancels the current transfer before the remote frame has been sent. Producer Cancels the current transfer. The next remote frame will not be serviced. This flag clears the MRDY and MABT flags in the CAN_MSRx. It is possible to set the MACR field for several mailboxes in the same time, setting several bits to the CAN_ACR. • MTCR: Mailbox Transfer Command Mailbox Object Type Receive Receive with overwrite Transmit Description Allows the reception of the next message. Triggers a new reception. Sends data prepared in the mailbox as soon as possible. Consumer Sends a remote transmission frame. Producer Sends data prepared in the mailbox after receiving a remote frame from a Consumer. This flag clears the MRDY and MABT flags in the CAN_MSRx. When several mailboxes are requested to be transmitted simultaneously, they are transmitted in turn. The mailbox with the highest priority is serviced first. If several mailboxes have the same priority, the mailbox with the lowest number is serviced first (i.e., MBx0 will be serviced before MBx 15 if they have the same priority). It is possible to set MTCR for several mailboxes at the same time by writing to the CAN_TCR. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 699 32. Chip Identifier (CHIPID) 32.1 Description Chip Identifier (CHIPID) registers are used to recognize the device and its revision. These registers provide the sizes and types of the on-chip memories, as well as the set of embedded peripherals. Two CHIPID registers are embedded: Chip ID Register (CHIPID_CIDR) and Chip ID Extension Register (CHIPID_EXID). Both registers contain a hard-wired value that is read-only. The CHIPID_CIDR register contains the following fields:  VERSION: Identifies the revision of the silicon  EPROC: Indicates the embedded ARM processor  NVPTYP and NVPSIZ: Identify the type of embedded non-volatile memory and the size  SRAMSIZ: Indicates the size of the embedded SRAM  ARCH: Identifies the set of embedded peripherals  EXT: Shows the use of the extension identifier register The CHIPID_EXID register is device-dependent and reads 0 if CHIPID_CIDR.EXT = 0. 32.2 Embedded Characteristics  Chip ID Registers ̶ Table 32-1. 32.3 SAM4E Chip ID Registers Chip Name CHIPID_CIDR CHIPID_EXID SAM4E16E 0xA3CC_0CE0 0x0012_0200 SAM4E8E 0xA3CC_0CE0 0x0012_0208 SAM4E16C 0xA3CC_0CE0 0x0012_0201 SAM4E8C 0xA3CC_0CE0 0x0012_0209 Chip Identifier (CHIPID) User Interface Table 32-2. Offset 700 Identification of the Device Revision, Sizes of the Embedded Memories, Set of Peripherals, Embedded Processor Register Mapping Register Name 0x0 Chip ID Register 0x4 Chip ID Extension Register SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Access Reset CHIPID_CIDR Read-only – CHIPID_EXID Read-only – 32.3.1 Chip ID Register Name: CHIPID_CIDR Address: 0x400E0740 Access: Read-only 31 EXT 30 23 22 29 NVPTYP 28 21 20 27 26 19 18 ARCH 15 14 13 6 EPROC 24 17 16 9 8 1 0 SRAMSIZ 12 11 NVPSIZ2 7 25 ARCH 10 NVPSIZ 5 4 3 2 VERSION • VERSION: Version of the Device Current version of the device. • EPROC: Embedded Processor Value Name Description 0 SAM x7 Cortex-M7 1 ARM946ES ARM946ES 2 ARM7TDMI ARM7TDMI 3 CM3 Cortex-M3 4 ARM920T ARM920T 5 ARM926EJS ARM926EJS 6 CA5 Cortex-A5 7 CM4 Cortex-M4 • NVPSIZ: Nonvolatile Program Memory Size Value Name Description 0 NONE None 1 8K 8 Kbytes 2 16K 16 Kbytes 3 32K 32 Kbytes 4 – Reserved 5 64K 64 Kbytes 6 – Reserved 7 128K 128 Kbytes 8 160K 160 Kbytes 9 256K 256 Kbytes 10 512K 512 Kbytes SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 701 Value Name Description 11 – Reserved 12 1024K 1024 Kbytes 13 – Reserved 14 2048K 2048 Kbytes 15 – Reserved • NVPSIZ2: Second Nonvolatile Program Memory Size Value Name Description 0 NONE None 1 8K 8 Kbytes 2 16K 16 Kbytes 3 32K 32 Kbytes 4 – Reserved 5 64K 64 Kbytes 6 – Reserved 7 128K 128 Kbytes 8 – Reserved 9 256K 256 Kbytes 10 512K 512 Kbytes 11 – Reserved 12 1024K 1024 Kbytes 13 – Reserved 14 2048K 2048 Kbytes 15 – Reserved • SRAMSIZ: Internal SRAM Size Value 702 Name Description 0 48K 48 Kbytes 1 192K 192 Kbytes 2 384K 384 Kbytes 3 6K 6 Kbytes 4 24K 24 Kbytes 5 4K 4 Kbytes 6 80K 80 Kbytes 7 160K 160 Kbytes 8 8K 8 Kbytes 9 16K 16 Kbytes 10 32K 32 Kbytes 11 64K 64 Kbytes SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Value Name Description 12 128K 128 Kbytes 13 256K 256 Kbytes 14 96K 96 Kbytes 15 512K 512 Kbytes • ARCH: Architecture Identifier Value Name Description 0x3C SAM4E SAM4E Series • NVPTYP: Nonvolatile Program Memory Type Value Name Description 0 ROM ROM 1 ROMLESS ROMless or on-chip Flash 2 FLASH Embedded Flash Memory ROM and Embedded Flash Memory 3 ROM_FLASH  NVPSIZ is ROM size  NVPSIZ2 is Flash size 4 SRAM SRAM emulating ROM • EXT: Extension Flag 0: Chip ID has a single register definition without extension. 1: An extended Chip ID exists. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 703 32.3.2 Chip ID Extension Register Name: CHIPID_EXID Address: 0x400E0744 Access: Read-only 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 EXID 23 22 21 20 EXID 15 14 13 12 EXID 7 6 5 4 EXID • EXID: Chip ID Extension This field is cleared if CHIPID_CIDR.EXT = 0.CHIPID_EXID[1:0]: Package Type Value Name Description 0 PACKAGE_TYPE Package 144 1 PACKAGE_TYPE Package 100 CHIPID_EXID[4:2]: Flash Size Value Name Description 0 FLASH_SIZE 1024 Kbytes 2 FLASH_SIZE 512 Kbytes CHIPID_EXID[31:5]: Product Number Value 0x0012_020 704 Name Description PRODUCT_NUMBER SAM4E Product Series SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33. Parallel Input/Output Controller (PIO) 33.1 Description The Parallel Input/Output Controller (PIO) manages up to 32 fully programmable input/output lines. Each I/O line may be dedicated as a general-purpose I/O or be assigned to a function of an embedded peripheral. This ensures effective optimization of the pins of the product. Each I/O line is associated with a bit number in all of the 32-bit registers of the 32-bit wide user interface. Each I/O line of the PIO Controller features:  An input change interrupt enabling level change detection on any I/O line.  Additional Interrupt modes enabling rising edge, falling edge, low-level or high-level detection on any I/O line.  A glitch filter providing rejection of glitches lower than one-half of peripheral clock cycle.  A debouncing filter providing rejection of unwanted pulses from key or push button operations.  Multi-drive capability similar to an open drain I/O line.  Control of the pull-up and pull-down of the I/O line.  Input visibility and output control. The PIO Controller also features a synchronous output providing up to 32 bits of data output in a single write operation. An 8-bit parallel capture mode is also available which can be used to interface a CMOS digital image sensor, an ADC, a DSP synchronous port in synchronous mode, etc. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 705 33.2 Embedded Characteristics  Up to 32 Programmable I/O Lines  Fully Programmable through Set/Clear Registers  Multiplexing of Four Peripheral Functions per I/O Line  For each I/O Line (Whether Assigned to a Peripheral or Used as General Purpose I/O) Input Change Interrupt ̶ Programmable Glitch Filter ̶ Programmable Debouncing Filter ̶ Multi-drive Option Enables Driving in Open Drain ̶ Programmable Pull-Up on Each I/O Line ̶ Pin Data Status Register, Supplies Visibility of the Level on the Pin at Any Time ̶ Additional Interrupt Modes on a Programmable Event: Rising Edge, Falling Edge, Low-Level or HighLevel ̶ ̶ 706 Lock of the Configuration by the Connected Peripheral  Synchronous Output, Provides Set and Clear of Several I/O Lines in a Single Write  Register Write Protection  Programmable Schmitt Trigger Inputs  Programmable I/O Delay  Parallel Capture Mode ̶ Can Be Used to Interface a CMOS Digital Image Sensor, an ADC, etc. ̶ One Clock, 8-bit Parallel Data and Two Data Enable on I/O Lines ̶ Data Can be Sampled Every Other Time (For Chrominance Sampling Only) ̶ Supports Connection of One Peripheral DMA Controller (PDC) Channel Which Offers Buffer Reception Without Processor Intervention SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.3 Block Diagram Figure 33-1. Block Diagram PIODCCLK Data Status PDC PIODC[7:0] Parallel Capture Mode Events PIODCEN1 PIODCEN2 PIO Interrupt Interrupt Controller Peripheral Clock PMC PIO Controller Data, Enable Up to x peripheral IOs Embedded Peripheral PIN 0 Data, Enable PIN 1 Embedded Peripheral Up to x peripheral IOs x is an integer representing the maximum number of IOs managed by one PIO controller. Table 33-1. PIN x-1 APB Signal Description Signal Name Signal Description Signal Type PIODCCLK Parallel Capture Mode Clock Input PIODC[7:0] Parallel Capture Mode Data Input PIODCEN1 Parallel Capture Mode Data Enable 1 Input PIODCEN2 Parallel Capture Mode Data Enable 2 Input SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 707 33.4 33.4.1 Product Dependencies Pin Multiplexing Each pin is configurable, depending on the product, as either a general-purpose I/O line only, or as an I/O line multiplexed with one or two peripheral I/Os. As the multiplexing is hardware defined and thus product-dependent, the hardware designer and programmer must carefully determine the configuration of the PIO Controllers required by their application. When an I/O line is general-purpose only, i.e., not multiplexed with any peripheral I/O, programming of the PIO Controller regarding the assignment to a peripheral has no effect and only the PIO Controller can control how the pin is driven by the product. 33.4.2 Power Management The Power Management Controller controls the peripheral clock in order to save power. Writing any of the registers of the user interface does not require the peripheral clock to be enabled. This means that the configuration of the I/O lines does not require the peripheral clock to be enabled. However, when the clock is disabled, not all of the features of the PIO Controller are available, including glitch filtering. Note that the input change interrupt, the interrupt modes on a programmable event and the read of the pin level require the clock to be validated. After a hardware reset, the peripheral clock is disabled by default. The user must configure the Power Management Controller before any access to the input line information. 33.4.3 Interrupt Sources For interrupt handling, the PIO Controllers are considered as user peripherals. This means that the PIO Controller interrupt lines are connected among the interrupt sources. Refer to the PIO Controller peripheral identifier in the Peripheral Identifiers table to identify the interrupt sources dedicated to the PIO Controllers. Using the PIO Controller requires the Interrupt Controller to be programmed first. The PIO Controller interrupt can be generated only if the peripheral clock is enabled. Table 33-2. 708 Peripheral IDs Instance ID PIOA 9 PIOB 10 PIOC 11 PIOD 12 PIOE 13 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.5 Functional Description The PIO Controller features up to 32 fully-programmable I/O lines. Most of the control logic associated to each I/O is represented in Figure 33-2. In this description each signal shown represents one of up to 32 possible indexes. Figure 33-2. I/O Line Control Logic PIO_OER[0] VDD PIO_OSR[0] PIO_PUER[0] PIO_ODR[0] PIO_PUSR[0] PIO_PUDR[0] 1 Peripheral A Output Enable 00 01 10 11 Peripheral B Output Enable Peripheral C Output Enable Peripheral D Output Enable 0 0 PIO_PER[0] PIO_ABCDSR1[0] PIO_PDR[0] 00 01 10 11 Peripheral B Output Peripheral C Output Peripheral D Output 1 PIO_PSR[0] PIO_ABCDSR2[0] Peripheral A Output Integrated Pull-Up Resistor PIO_MDER[0] PIO_MDSR[0] PIO_MDDR[0] 0 0 PIO_SODR[0] 1 PIO_ODSR[0] Pad PIO_CODR[0] 1 PIO_PPDER[0] Integrated Pull-Down Resistor PIO_PPDSR[0] PIO_PPDDR[0] GND Peripheral A Input Peripheral B Input Peripheral C Input Peripheral D Input PIO_PDSR[0] PIO_ISR[0] 0 D Peripheral Clock 0 Slow Clock PIO_SCDR Clock Divider div_slck 1 Programmable Glitch or Debouncing Filter Q DFF D Q DFF EVENT DETECTOR (Up to 32 possible inputs) PIO Interrupt 1 Peripheral Clock Resynchronization Stage PIO_IER[0] PIO_IMR[0] PIO_IFER[0] PIO_IDR[0] PIO_IFSR[0] PIO_IFSCER[0] PIO_IFDR[0] PIO_IFSCSR[0] PIO_IFSCDR[0] PIO_ISR[31] PIO_IER[31] PIO_IMR[31] PIO_IDR[31] 33.5.1 Pull-up and Pull-down Resistor Control Each I/O line is designed with an embedded pull-up resistor and an embedded pull-down resistor. The pull-up resistor can be enabled or disabled by writing to the Pull-up Enable Register (PIO_PUER) or Pull-up Disable Register (PIO_PUDR), respectively. Writing to these registers results in setting or clearing the corresponding bit in the Pull-up Status Register (PIO_PUSR). Reading a one in PIO_PUSR means the pull-up is disabled and reading a zero means the pull-up is enabled. The pull-down resistor can be enabled or disabled by writing the Pull-down Enable Register (PIO_PPDER) or the Pull-down Disable Register (PIO_PPDDR), respectively. Writing in these SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 709 registers results in setting or clearing the corresponding bit in the Pull-down Status Register (PIO_PPDSR). Reading a one in PIO_PPDSR means the pull-up is disabled and reading a zero means the pull-down is enabled. Enabling the pull-down resistor while the pull-up resistor is still enabled is not possible. In this case, the write of PIO_PPDER for the relevant I/O line is discarded. Likewise, enabling the pull-up resistor while the pull-down resistor is still enabled is not possible. In this case, the write of PIO_PUER for the relevant I/O line is discarded. Control of the pull-up resistor is possible regardless of the configuration of the I/O line. After reset, depending on the I/O, pull-up or pull-down can be set. 33.5.2 I/O Line or Peripheral Function Selection When a pin is multiplexed with one or two peripheral functions, the selection is controlled with the Enable Register (PIO_PER) and the Disable Register (PIO_PDR). The Status Register (PIO_PSR) is the result of the set and clear registers and indicates whether the pin is controlled by the corresponding peripheral or by the PIO Controller. A value of zero indicates that the pin is controlled by the corresponding on-chip peripheral selected in the ABCD Select registers (PIO_ABCDSR1 and PIO_ABCDSR2). A value of one indicates the pin is controlled by the PIO Controller. If a pin is used as a general-purpose I/O line (not multiplexed with an on-chip peripheral), PIO_PER and PIO_PDR have no effect and PIO_PSR returns a one for the corresponding bit. After reset, the I/O lines are controlled by the PIO Controller, i.e., PIO_PSR resets at one. However, in some events, it is important that PIO lines are controlled by the peripheral (as in the case of memory chip select lines that must be driven inactive after reset, or for address lines that must be driven low for booting out of an external memory). Thus, the reset value of PIO_PSR is defined at the product level and depends on the multiplexing of the device. 33.5.3 Peripheral A or B or C or D Selection The PIO Controller provides multiplexing of up to four peripheral functions on a single pin. The selection is performed by writing PIO_ABCDSR1 and PIO_ABCDSR2. For each pin:  The corresponding bit at level zero in PIO_ABCDSR1 and the corresponding bit at level zero in PIO_ABCDSR2 means peripheral A is selected.  The corresponding bit at level one in PIO_ABCDSR1 and the corresponding bit at level zero in PIO_ABCDSR2 means peripheral B is selected.  The corresponding bit at level zero in PIO_ABCDSR1 and the corresponding bit at level one in PIO_ABCDSR2 means peripheral C is selected.  The corresponding bit at level one in PIO_ABCDSR1 and the corresponding bit at level one in PIO_ABCDSR2 means peripheral D is selected. Note that multiplexing of peripheral lines A, B, C and D only affects the output line. The peripheral input lines are always connected to the pin input (see Figure 33-2). Writing in PIO_ABCDSR1 and PIO_ABCDSR2 manages the multiplexing regardless of the configuration of the pin. However, assignment of a pin to a peripheral function requires a write in PIO_ABCDSR1 and PIO_ABCDSR2 in addition to a write in PIO_PDR. After reset, PIO_ABCDSR1 and PIO_ABCDSR2 are zero, thus indicating that all the PIO lines are configured on peripheral A. However, peripheral A generally does not drive the pin as the PIO Controller resets in I/O line mode. If the software selects a peripheral A, B, C or D which does not exist for a pin, no alternate functions are enabled for this pin and the selection is taken into account. The PIO Controller does not carry out checks to prevent selection of a peripheral which does not exist. 710 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.5.4 Output Control When the I/O line is assigned to a peripheral function, i.e., the corresponding bit in PIO_PSR is at zero, the drive of the I/O line is controlled by the peripheral. Peripheral A or B or C or D depending on the value in PIO_ABCDSR1 and PIO_ABCDSR2 determines whether the pin is driven or not. When the I/O line is controlled by the PIO Controller, the pin can be configured to be driven. This is done by writing the Output Enable Register (PIO_OER) and Output Disable Register (PIO_ODR). The results of these write operations are detected in the Output Status Register (PIO_OSR). When a bit in this register is at zero, the corresponding I/O line is used as an input only. When the bit is at one, the corresponding I/O line is driven by the PIO Controller. The level driven on an I/O line can be determined by writing in the Set Output Data Register (PIO_SODR) and the Clear Output Data Register (PIO_CODR). These write operations, respectively, set and clear the Output Data Status Register (PIO_ODSR), which represents the data driven on the I/O lines. Writing in PIO_OER and PIO_ODR manages PIO_OSR whether the pin is configured to be controlled by the PIO Controller or assigned to a peripheral function. This enables configuration of the I/O line prior to setting it to be managed by the PIO Controller. Similarly, writing in PIO_SODR and PIO_CODR affects PIO_ODSR. This is important as it defines the first level driven on the I/O line. 33.5.5 Synchronous Data Output Clearing one or more PIO line(s) and setting another one or more PIO line(s) synchronously cannot be done by using PIO_SODR and PIO_CODR. It requires two successive write operations into two different registers. To overcome this, the PIO Controller offers a direct control of PIO outputs by single write access to PIO_ODSR. Only bits unmasked by the Output Write Status Register (PIO_OWSR) are written. The mask bits in PIO_OWSR are set by writing to the Output Write Enable Register (PIO_OWER) and cleared by writing to the Output Write Disable Register (PIO_OWDR). After reset, the synchronous data output is disabled on all the I/O lines as PIO_OWSR resets at 0x0. 33.5.6 Multi-Drive Control (Open Drain) Each I/O can be independently programmed in open drain by using the multi-drive feature. This feature permits several drivers to be connected on the I/O line which is driven low only by each device. An external pull-up resistor (or enabling of the internal one) is generally required to guarantee a high level on the line. The multi-drive feature is controlled by the Multi-driver Enable Register (PIO_MDER) and the Multi-driver Disable Register (PIO_MDDR). The multi-drive can be selected whether the I/O line is controlled by the PIO Controller or assigned to a peripheral function. The Multi-driver Status Register (PIO_MDSR) indicates the pins that are configured to support external drivers. After reset, the multi-drive feature is disabled on all pins, i.e., PIO_MDSR resets at value 0x0. 33.5.7 Output Line Timings Figure 33-3 shows how the outputs are driven either by writing PIO_SODR or PIO_CODR, or by directly writing PIO_ODSR. This last case is valid only if the corresponding bit in PIO_OWSR is set. Figure 33-3 also shows when the feedback in the Pin Data Status Register (PIO_PDSR) is available. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 711 Figure 33-3. Output Line Timings Peripheral clock Write PIO_SODR Write PIO_ODSR at 1 APB Access Write PIO_CODR Write PIO_ODSR at 0 APB Access PIO_ODSR 2 cycles 2 cycles PIO_PDSR 33.5.8 Inputs The level on each I/O line can be read through PIO_PDSR. This register indicates the level of the I/O lines regardless of their configuration, whether uniquely as an input, or driven by the PIO Controller, or driven by a peripheral. Reading the I/O line levels requires the clock of the PIO Controller to be enabled, otherwise PIO_PDSR reads the levels present on the I/O line at the time the clock was disabled. 33.5.9 Input Glitch and Debouncing Filters Optional input glitch and debouncing filters are independently programmable on each I/O line. The glitch filter can filter a glitch with a duration of less than 1/2 peripheral clock and the debouncing filter can filter a pulse of less than 1/2 period of a programmable divided slow clock. The selection between glitch filtering or debounce filtering is done by writing in the PIO Input Filter Slow Clock Disable Register (PIO_IFSCDR) and the PIO Input Filter Slow Clock Enable Register (PIO_IFSCER). Writing PIO_IFSCDR and PIO_IFSCER, respectively, sets and clears bits in the Input Filter Slow Clock Status Register (PIO_IFSCSR). The current selection status can be checked by reading the PIO_IFSCSR.  If PIO_IFSCSR[i] = 0: The glitch filter can filter a glitch with a duration of less than 1/2 master clock period.  If PIO_IFSCSR[i] = 1: The debouncing filter can filter a pulse with a duration of less than 1/2 programmable divided slow clock period. For the debouncing filter, the period of the divided slow clock is defined by writing in the DIV field of the Slow Clock Divider Debouncing Register (PIO_SCDR): tdiv_slck = ((DIV + 1) × 2) × tslck When the glitch or debouncing filter is enabled, a glitch or pulse with a duration of less than 1/2 selected clock cycle (selected clock represents peripheral clock or divided slow clock depending on PIO_IFSCDR and PIO_IFSCER programming) is automatically rejected, while a pulse with a duration of one selected clock (peripheral clock or divided slow clock) cycle or more is accepted. For pulse durations between 1/2 selected clock cycle and one selected clock cycle, the pulse may or may not be taken into account, depending on the precise timing of its occurrence. Thus for a pulse to be visible, it must exceed one selected clock cycle, whereas for a glitch to be reliably filtered out, its duration must not exceed 1/2 selected clock cycle. The filters also introduce some latencies, illustrated in Figure 33-4 and Figure 33-5. 712 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 The glitch filters are controlled by the Input Filter Enable Register (PIO_IFER), the Input Filter Disable Register (PIO_IFDR) and the Input Filter Status Register (PIO_IFSR). Writing PIO_IFER and PIO_IFDR respectively sets and clears bits in PIO_IFSR. This last register enables the glitch filter on the I/O lines. When the glitch and/or debouncing filter is enabled, it does not modify the behavior of the inputs on the peripherals. It acts only on the value read in PIO_PDSR and on the input change interrupt detection. The glitch and debouncing filters require that the peripheral clock is enabled. Figure 33-4. Input Glitch Filter Timing PIO_IFCSR = 0 Peripheral clcok up to 1.5 cycles Pin Level 1 cycle 1 cycle 1 cycle 1 cycle PIO_PDSR if PIO_IFSR = 0 2 cycles up to 2.5 cycles PIO_PDSR if PIO_IFSR = 1 Figure 33-5. 1 cycle up to 2 cycles Input Debouncing Filter Timing PIO_IFCSR = 1 Divided Slow Clock (div_slck) Pin Level up to 2 cycles tperipheral clock up to 2 cycles tperipheral clock PIO_PDSR if PIO_IFSR = 0 1 cycle tdiv_slck PIO_PDSR if PIO_IFSR = 1 1 cycle tdiv_slck up to 1.5 cycles tdiv_slck up to 1.5 cycles tdiv_slck up to 2 cycles tperipheral clock 33.5.10 up to 2 cycles tperipheral clock Input Edge/Level Interrupt The PIO Controller can be programmed to generate an interrupt when it detects an edge or a level on an I/O line. The Input Edge/Level interrupt is controlled by writing the Interrupt Enable Register (PIO_IER) and the Interrupt Disable Register (PIO_IDR), which enable and disable the input change interrupt respectively by setting and clearing the corresponding bit in the Interrupt Mask Register (PIO_IMR). As input change detection is possible only by comparing two successive samplings of the input of the I/O line, the peripheral clock must be enabled. The Input Change interrupt is available regardless of the configuration of the I/O line, i.e., configured as an input only, controlled by the PIO Controller or assigned to a peripheral function. By default, the interrupt can be generated at any time an edge is detected on the input. Some additional interrupt modes can be enabled/disabled by writing in the Additional Interrupt Modes Enable Register (PIO_AIMER) and Additional Interrupt Modes Disable Register (PIO_AIMDR). The current state of this selection can be read through the Additional Interrupt Modes Mask Register (PIO_AIMMR). These additional modes are: SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 713  Rising edge detection  Falling edge detection  Low-level detection  High-level detection In order to select an additional interrupt mode:  The type of event detection (edge or level) must be selected by writing in the Edge Select Register (PIO_ESR) and Level Select Register (PIO_LSR) which select, respectively, the edge and level detection. The current status of this selection is accessible through the Edge/Level Status Register (PIO_ELSR).  The polarity of the event detection (rising/falling edge or high/low-level) must be selected by writing in the Falling Edge/Low-Level Select Register (PIO_FELLSR) and Rising Edge/High-Level Select Register (PIO_REHLSR) which allow to select falling or rising edge (if edge is selected in PIO_ELSR) edge or highor low-level detection (if level is selected in PIO_ELSR). The current status of this selection is accessible through the Fall/Rise - Low/High Status Register (PIO_FRLHSR). When an input edge or level is detected on an I/O line, the corresponding bit in the Interrupt Status Register (PIO_ISR) is set. If the corresponding bit in PIO_IMR is set, the PIO Controller interrupt line is asserted.The interrupt signals of the 32 channels are ORed-wired together to generate a single interrupt signal to the interrupt controller. When the software reads PIO_ISR, all the interrupts are automatically cleared. This signifies that all the interrupts that are pending when PIO_ISR is read must be handled. When an Interrupt is enabled on a “level”, the interrupt is generated as long as the interrupt source is not cleared, even if some read accesses in PIO_ISR are performed. 714 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 33-6. Event Detector on Input Lines (Figure Represents Line 0) Event Detector Rising Edge Detector 1 Falling Edge Detector 0 0 PIO_REHLSR[0] 1 PIO_FRLHSR[0] Resynchronized input on line 0 Event detection on line 0 1 PIO_FELLSR[0] 0 High Level Detector 1 Low Level Detector 0 PIO_LSR[0] PIO_ELSR[0] PIO_ESR[0] PIO_AIMER[0] PIO_AIMMR[0] PIO_AIMDR[0] Edge Detector Example of interrupt generation on following lines:  Rising edge on PIO line 0  Falling edge on PIO line 1  Rising edge on PIO line 2  Low-level on PIO line 3  High-level on PIO line 4  High-level on PIO line 5  Falling edge on PIO line 6  Rising edge on PIO line 7  Any edge on the other lines Table 33-3 provides the required configuration for this example. Table 33-3. Configuration for Example Interrupt Generation Configuration Description All the interrupt sources are enabled by writing 32’hFFFF_FFFF in PIO_IER. Interrupt Mode Then the additional interrupt mode is enabled for lines 0 to 7 by writing 32’h0000_00FF in PIO_AIMER. Edge or Level Detection The other lines are configured in edge detection by default, if they have not been previously configured. Otherwise, lines 0, 1, 2, 6 and 7 must be configured in edge detection by writing 32’h0000_00C7 in PIO_ESR. Lines 3, 4 and 5 are configured in level detection by writing 32’h0000_0038 in PIO_LSR. Falling/Rising Edge or Low/High-Level Detection Lines 0, 2, 4, 5 and 7 are configured in rising edge or high-level detection by writing 32’h0000_00B5 in PIO_REHLSR. The other lines are configured in falling edge or low-level detection by default if they have not been previously configured. Otherwise, lines 1, 3 and 6 must be configured in falling edge/low-level detection by writing 32’h0000_004A in PIO_FELLSR. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 715 Figure 33-7. Input Change Interrupt Timings When No Additional Interrupt Modes Peripheral clock Pin Level PIO_ISR Read PIO_ISR 33.5.11 APB Access APB Access I/O Lines Lock When an I/O line is controlled by a peripheral (particularly the Pulse Width Modulation Controller PWM), it can become locked by the action of this peripheral via an input of the PIO Controller. When an I/O line is locked, the write of the corresponding bit in PIO_PER, PIO_PDR, PIO_MDER, PIO_MDDR, PIO_PUDR, PIO_PUER, PIO_ABCDSR1 and PIO_ABCDSR2 is discarded in order to lock its configuration. The user can know at anytime which I/O line is locked by reading the PIO Lock Status Register (PIO_LOCKSR). Once an I/O line is locked, the only way to unlock it is to apply a hardware reset to the PIO Controller. 33.5.12 Programmable I/O Delays The PIO interface consists of a series of signals driven by peripherals or directly by software. The simultaneous switching outputs on these busses may lead to a peak of current in the internal and external power supply lines. In order to reduce the current peak in such cases, additional propagation delays can be adjusted independently for pad buffers by means of configuration registers, PIO_DELAYR. For each I/O supporting the additional programmable delay, the delay ranges from 0 to - ns (worst case process, voltage, temperature). The delay can differ between I/Os supporting this feature. Delay can be modified per programming for each I/O. The minimal additional delay that can be programmed on a PAD supporting this feature is 1/16 of the maximum programmable delay. Only pads PA26-PA27-PA30-PA31 can be configured. When programming 0x0 in fields, no delay is added (reset value) and the propagation delay of the pad buffers is the inherent delay of the pad buffer. When programming 0xF in fields, the propagation delay of the corresponding pad is maximal. 716 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 33-8. Programmable I/O Delays PAin[0] PIO PAout[0] Programmable Delay Line DELAY1 PAin[1] PAout[1] Programmable Delay Line DELAY2 PAin[2] PAout[2] Programmable Delay Line DELAYx 33.5.13 Programmable Schmitt Trigger It is possible to configure each input for the Schmitt trigger. By default the Schmitt trigger is active. Disabling the Schmitt trigger is requested when using the QTouch® Library. 33.5.14 Parallel Capture Mode 33.5.14.1 Overview The PIO Controller integrates an interface able to read data from a CMOS digital image sensor, a high-speed parallel ADC, a DSP synchronous port in synchronous mode, etc. For better understanding and to ease reading, the following description uses an example with a CMOS digital image sensor. 33.5.14.2 Functional Description The CMOS digital image sensor provides a sensor clock, an 8-bit data synchronous with the sensor clock and two data enables which are also synchronous with the sensor clock. Figure 33-9. PIO Controller Connection with CMOS Digital Image Sensor PIO Controller Parallel Capture Mode PDC Data PIODCCLK CMOS Digital Image Sensor PCLK Status PIODC[7:0] DATA[7:0] Events PIODCEN1 VSYNC PIODCEN2 HSYNC As soon as the parallel capture mode is enabled by writing a one to the PCEN bit in PIO_PCMR, the I/O lines connected to the sensor clock (PIODCCLK), the sensor data (PIODC[7:0]) and the sensor data enable signals (PIODCEN1 and PIODCEN2) are configured automatically as inputs. To know which I/O lines are associated with SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 717 the sensor clock, the sensor data and the sensor data enable signals, refer to the I/O multiplexing table(s) in the section “Package and Pinout”. Once enabled, the parallel capture mode samples the data at rising edge of the sensor clock and resynchronizes it with the peripheral clock domain. The size of the data which can be read in PIO_PCRHR can be programmed using the DSIZE field in PIO_PCMR. If this data size is larger than 8 bits, then the parallel capture mode samples several sensor data to form a concatenated data of size defined by DSIZE. Then this data is stored in PIO_PCRHR and the flag DRDY is set to one in PIO_PCISR. The parallel capture mode can be associated with a reception channel of the Peripheral DMA Controller (PDC). This performs reception transfer from parallel capture mode to a memory buffer without any intervention from the CPU. Transfer status signals from PDC are available in PIO_PCISR through the flags ENDRX and RXBUFF. The parallel capture mode can take into account the sensor data enable signals or not. If the bit ALWYS is set to zero in PIO_PCMR, the parallel capture mode samples the sensor data at the rising edge of the sensor clock only if both data enable signals are active (at one). If the bit ALWYS is set to one, the parallel capture mode samples the sensor data at the rising edge of the sensor clock whichever the data enable signals are. The parallel capture mode can sample the sensor data only one time out of two. This is particularly useful when the user wants only to sample the luminance Y of a CMOS digital image sensor which outputs a YUV422 data stream. If the HALFS bit is set to zero in PIO_PCMR, the parallel capture mode samples the sensor data in the conditions described above. If the HALFS bit is set to one in PIO_PCMR, the parallel capture mode samples the sensor data in the conditions described above, but only one time out of two. Depending on the FRSTS bit in PIO_PCMR, the sensor can either sample the even or odd sensor data. If sensor data are numbered in the order that they are received with an index from zero to n, if FRSTS equals zero then only data with an even index are sampled. If FRSTS equals one, then only data with an odd index are sampled. If data is ready in PIO_PCRHR and it is not read before a new data is stored in PIO_PCRHR, then an overrun error occurs. The previous data is lost and the OVRE flag in PIO_PCISR is set to one. This flag is automatically reset when PIO_PCISR is read (reset after read). The flags DRDY, OVRE, ENDRX and RXBUFF can be a source of the PIO interrupt. Figure 33-10. Parallel Capture Mode Waveforms (DSIZE = 2, ALWYS = 0, HALFS = 0) MCK PIODCLK PIODC[7:0] 0x01 0x12 0x23 0x34 0x45 0x56 0x67 0x78 PIODCEN1 PIODCEN2 DRDY (PIO_PCISR) Read of PIO_PCISR RDATA (PIO_PCRHR) 718 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 0x5645_3423 0x89 Figure 33-11. Parallel Capture Mode Waveforms (DSIZE = 2, ALWYS = 1, HALFS = 0) MCK PIODCLK PIODC[7:0] 0x01 0x12 0x23 0x34 0x45 0x56 0x67 0x78 0x89 PIODCEN1 PIODCEN2 DRDY (PIO_PCISR) Read of PIO_PCISR 0x3423_1201 RDATA (PIO_PCRHR) 0x7867_5645 Figure 33-12. Parallel Capture Mode Waveforms (DSIZE = 2, ALWYS = 0, HALFS = 1, FRSTS = 0) MCK PIODCLK PIODC[7:0] 0x01 0x12 0x23 0x34 0x45 0x56 0x67 0x78 0x89 PIODCEN1 PIODCEN2 DRDY (PIO_PCISR) Read of PIO_PCISR RDATA (PIO_PCRHR) 0x6745_2301 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 719 Figure 33-13. Parallel Capture Mode Waveforms (DSIZE = 2, ALWYS = 0, HALFS = 1, FRSTS = 1) MCK PIODCLK PIODC[7:0] 0x01 0x12 0x23 0x34 0x45 0x56 0x67 0x78 0x89 PIODCEN1 PIODCEN2 DRDY (PIO_PCISR) Read of PIO_PCISR RDATA (PIO_PCRHR) 33.5.14.3 Restrictions  Configuration fields DSIZE, ALWYS, HALFS and FRSTS in PIO_PCMR can be changed ONLY if the parallel capture mode is disabled at this time (PCEN = 0 in PIO_PCMR).  The frequency of peripheral clock must be strictly superior to two times the frequency of the clock of the device which generates the parallel data. 33.5.14.4 Programming Sequence Without PDC 1. Write PIO_PCIDR and PIO_PCIER in order to configure the parallel capture mode interrupt mask. 2. Write PIO_PCMR to set the fields DSIZE, ALWYS, HALFS and FRSTS in order to configure the parallel capture mode WITHOUT enabling the parallel capture mode. 3. Write PIO_PCMR to set the PCEN bit to one in order to enable the parallel capture mode WITHOUT changing the previous configuration. 4. Wait for a data ready by polling the DRDY flag in PIO_PCISR or by waiting for the corresponding interrupt. 5. Check OVRE flag in PIO_PCISR. 6. Read the data in PIO_PCRHR. 7. If new data are expected, go to step 4. 8. Write PIO_PCMR to set the PCEN bit to zero in order to disable the parallel capture mode WITHOUT changing the previous configuration. With PDC 720 0x7856_3412 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1. Write PIO_PCIDR and PIO_PCIER in order to configure the parallel capture mode interrupt mask. 2. Configure PDC transfer in PDC registers. 3. Write PIO_PCMR to set the fields DSIZE, ALWYS, HALFS and FRSTS in order to configure the parallel capture mode WITHOUT enabling the parallel capture mode. 4. Write PIO_PCMR to set PCEN bit to one in order to enable the parallel capture mode WITHOUT changing the previous configuration. 5. Wait for end of transfer by waiting for the interrupt corresponding to the flag ENDRX in PIO_PCISR. 6. Check OVRE flag in PIO_PCISR. 7. If a new buffer transfer is expected, go to step 5. 8. Write PIO_PCMR to set the PCEN bit to zero in order to disable the parallel capture mode WITHOUT changing the previous configuration. 33.5.15 I/O Lines Programming Example The programming example shown in Table 33-4 is used to obtain the following configuration:  4-bit output port on I/O lines 0 to 3 (should be written in a single write operation), open-drain, with pull-up resistor  Four output signals on I/O lines 4 to 7 (to drive LEDs for example), driven high and low, no pull-up resistor, no pull-down resistor  Four input signals on I/O lines 8 to 11 (to read push-button states for example), with pull-up resistors, glitch filters and input change interrupts  Four input signals on I/O line 12 to 15 to read an external device status (polled, thus no input change interrupt), no pull-up resistor, no glitch filter  I/O lines 16 to 19 assigned to peripheral A functions with pull-up resistor  I/O lines 20 to 23 assigned to peripheral B functions with pull-down resistor  I/O lines 24 to 27 assigned to peripheral C with input change interrupt, no pull-up resistor and no pull-down resistor  I/O lines 28 to 31 assigned to peripheral D, no pull-up resistor and no pull-down resistor Table 33-4. Programming Example Register Value to be Written PIO_PER 0x0000_FFFF PIO_PDR 0xFFFF_0000 PIO_OER 0x0000_00FF PIO_ODR 0xFFFF_FF00 PIO_IFER 0x0000_0F00 PIO_IFDR 0xFFFF_F0FF PIO_SODR 0x0000_0000 PIO_CODR 0x0FFF_FFFF PIO_IER 0x0F00_0F00 PIO_IDR 0xF0FF_F0FF PIO_MDER 0x0000_000F PIO_MDDR 0xFFFF_FFF0 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 721 Table 33-4. 722 Programming Example (Continued) PIO_PUDR 0xFFF0_00F0 PIO_PUER 0x000F_FF0F PIO_PPDDR 0xFF0F_FFFF PIO_PPDER 0x00F0_0000 PIO_ABCDSR1 0xF0F0_0000 PIO_ABCDSR2 0xFF00_0000 PIO_OWER 0x0000_000F PIO_OWDR 0x0FFF_ FFF0 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.5.16 Register Write Protection To prevent any single software error from corrupting PIO behavior, certain registers in the address space can be write-protected by setting the WPEN bit in the PIO Write Protection Mode Register (PIO_WPMR). If a write access to a write-protected register is detected, the WPVS flag in the PIO Write Protection Status Register (PIO_WPSR) is set and the field WPVSRC indicates the register in which the write access has been attempted. The WPVS bit is automatically cleared after reading the PIO_WPSR. The following registers can be write-protected:  PIO Enable Register  PIO Disable Register  PIO Output Enable Register  PIO Output Disable Register  PIO Input Filter Enable Register  PIO Input Filter Disable Register  PIO Multi-driver Enable Register  PIO Multi-driver Disable Register  PIO Pull-Up Disable Register  PIO Pull-Up Enable Register  PIO Peripheral ABCD Select Register 1  PIO Peripheral ABCD Select Register 2  PIO Output Write Enable Register  PIO Output Write Disable Register  PIO Pad Pull-Down Disable Register  PIO Pad Pull-Down Enable Register  PIO Parallel Capture Mode Register SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 723 33.6 Parallel Input/Output Controller (PIO) User Interface Each I/O line controlled by the PIO Controller is associated with a bit in each of the PIO Controller User Interface registers. Each register is 32-bit wide. If a parallel I/O line is not defined, writing to the corresponding bits has no effect. Undefined bits read zero. If the I/O line is not multiplexed with any peripheral, the I/O line is controlled by the PIO Controller and PIO_PSR returns one systematically. Table 33-5. Register Mapping Offset Register Name Access Reset 0x0000 PIO Enable Register PIO_PER Write-only – 0x0004 PIO Disable Register PIO_PDR Write-only – Read-only (1) – – 0x0008 PIO Status Register PIO_PSR 0x000C Reserved – 0x0010 Output Enable Register PIO_OER Write-only – 0x0014 Output Disable Register PIO_ODR Write-only – 0x0018 Output Status Register PIO_OSR Read-only 0x00000000 0x001C Reserved – – – 0x0020 Glitch Input Filter Enable Register PIO_IFER Write-only – 0x0024 Glitch Input Filter Disable Register PIO_IFDR Write-only – 0x0028 Glitch Input Filter Status Register PIO_IFSR Read-only 0x00000000 0x002C Reserved – – – 0x0030 Set Output Data Register PIO_SODR Write-only – 0x0034 Clear Output Data Register PIO_CODR Write-only 0x0038 Output Data Status Register PIO_ODSR Read-only or(2) Read/Write – 0x003C Pin Data Status Register PIO_PDSR Read-only (3) 0x0040 Interrupt Enable Register PIO_IER Write-only – 0x0044 Interrupt Disable Register PIO_IDR Write-only – 0x0048 Interrupt Mask Register PIO_IMR Read-only 0x00000000 (4) 0x004C Interrupt Status Register PIO_ISR Read-only 0x00000000 0x0050 Multi-driver Enable Register PIO_MDER Write-only – 0x0054 Multi-driver Disable Register PIO_MDDR Write-only – 0x0058 Multi-driver Status Register PIO_MDSR Read-only 0x00000000 0x005C Reserved – – – 0x0060 Pull-up Disable Register PIO_PUDR Write-only – 0x0064 Pull-up Enable Register PIO_PUER Write-only – 0x0068 Pad Pull-up Status Register PIO_PUSR Read-only (1) 0x006C Reserved – – – 724 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 33-5. Register Mapping (Continued) Offset Register Name Access Reset 0x0070 Peripheral Select Register 1 PIO_ABCDSR1 Read/Write 0x00000000 0x0074 Peripheral Select Register 2 PIO_ABCDSR2 Read/Write 0x00000000 0x0078–0x007C Reserved – – – 0x0080 Input Filter Slow Clock Disable Register PIO_IFSCDR Write-only – 0x0084 Input Filter Slow Clock Enable Register PIO_IFSCER Write-only – 0x0088 Input Filter Slow Clock Status Register PIO_IFSCSR Read-only 0x00000000 0x008C Slow Clock Divider Debouncing Register PIO_SCDR Read/Write 0x00000000 0x0090 Pad Pull-down Disable Register PIO_PPDDR Write-only – 0x0094 Pad Pull-down Enable Register PIO_PPDER Write-only – Read-only (1) – – 0x0098 Pad Pull-down Status Register PIO_PPDSR 0x009C Reserved – 0x00A0 Output Write Enable PIO_OWER Write-only – 0x00A4 Output Write Disable PIO_OWDR Write-only – 0x00A8 Output Write Status Register PIO_OWSR Read-only 0x00000000 0x00AC Reserved – – – 0x00B0 Additional Interrupt Modes Enable Register PIO_AIMER Write-only – 0x00B4 Additional Interrupt Modes Disable Register PIO_AIMDR Write-only – 0x00B8 Additional Interrupt Modes Mask Register PIO_AIMMR Read-only 0x00000000 0x00BC Reserved – – – 0x00C0 Edge Select Register PIO_ESR Write-only – 0x00C4 Level Select Register PIO_LSR Write-only – 0x00C8 Edge/Level Status Register PIO_ELSR Read-only 0x00000000 0x00CC Reserved – – – 0x00D0 Falling Edge/Low-Level Select Register PIO_FELLSR Write-only – 0x00D4 Rising Edge/High-Level Select Register PIO_REHLSR Write-only – 0x00D8 Fall/Rise - Low/High Status Register PIO_FRLHSR Read-only 0x00000000 0x00DC Reserved – – – 0x00E0 Lock Status PIO_LOCKSR Read-only 0x00000000 0x00E4 Write Protection Mode Register PIO_WPMR Read/Write 0x00000000 0x00E8 Write Protection Status Register PIO_WPSR Read-only 0x00000000 0x00EC–0x00FC Reserved – – – 0x0100 Schmitt Trigger Register PIO_SCHMITT Read/Write 0x00000000 0x0104–0x010C Reserved – – – 0x0110 I/O Delay Register PIO_DELAYR Read/Write 0x00000000 0x0114–0x011C Reserved – – – 0x0120–0x014C Reserved – 0x0150 Parallel Capture Mode Register PIO_PCMR – – Read/Write 0x00000000 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 725 Table 33-5. Register Mapping (Continued) Offset Register Name Access Reset 0x0154 Parallel Capture Interrupt Enable Register PIO_PCIER Write-only – 0x0158 Parallel Capture Interrupt Disable Register PIO_PCIDR Write-only – 0x015C Parallel Capture Interrupt Mask Register PIO_PCIMR Read-only 0x00000000 0x0160 Parallel Capture Interrupt Status Register PIO_PCISR Read-only 0x00000000 0x0164 Parallel Capture Reception Holding Register PIO_PCRHR Read-only 0x00000000 0x0168–0x018C Reserved for PDC Registers – – – Notes: 1. Reset value depends on the product implementation. 2. PIO_ODSR is Read-only or Read/Write depending on PIO_OWSR I/O lines. 3. Reset value of PIO_PDSR depends on the level of the I/O lines. Reading the I/O line levels requires the clock of the PIO Controller to be enabled, otherwise PIO_PDSR reads the levels present on the I/O line at the time the clock was disabled. 4. PIO_ISR is reset at 0x0. However, the first read of the register may read a different value as input changes may have occurred. 5. If an offset is not listed in the table it must be considered as reserved. 726 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.1 PIO Enable Register Name: PIO_PER Address: 0x400E0E00 (PIOA), 0x400E1000 (PIOB), 0x400E1200 (PIOC), 0x400E1400 (PIOD), 0x400E1600 (PIOE) Access: Write-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 This register can only be written if the WPEN bit is cleared in the PIO Write Protection Mode Register. • P0–P31: PIO Enable 0: No effect. 1: Enables the PIO to control the corresponding pin (disables peripheral control of the pin). SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 727 33.6.2 PIO Disable Register Name: PIO_PDR Address: 0x400E0E04 (PIOA), 0x400E1004 (PIOB), 0x400E1204 (PIOC), 0x400E1404 (PIOD), 0x400E1604 (PIOE) Access: Write-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 This register can only be written if the WPEN bit is cleared in the PIO Write Protection Mode Register. • P0–P31: PIO Disable 0: No effect. 1: Disables the PIO from controlling the corresponding pin (enables peripheral control of the pin). 728 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.3 PIO Status Register Name: PIO_PSR Address: 0x400E0E08 (PIOA), 0x400E1008 (PIOB), 0x400E1208 (PIOC), 0x400E1408 (PIOD), 0x400E1608 (PIOE) Access: Read-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: PIO Status 0: PIO is inactive on the corresponding I/O line (peripheral is active). 1: PIO is active on the corresponding I/O line (peripheral is inactive). SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 729 33.6.4 PIO Output Enable Register Name: PIO_OER Address: 0x400E0E10 (PIOA), 0x400E1010 (PIOB), 0x400E1210 (PIOC), 0x400E1410 (PIOD), 0x400E1610 (PIOE) Access: Write-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 This register can only be written if the WPEN bit is cleared in the PIO Write Protection Mode Register. • P0–P31: Output Enable 0: No effect. 1: Enables the output on the I/O line. 730 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.5 PIO Output Disable Register Name: PIO_ODR Address: 0x400E0E14 (PIOA), 0x400E1014 (PIOB), 0x400E1214 (PIOC), 0x400E1414 (PIOD), 0x400E1614 (PIOE) Access: Write-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 This register can only be written if the WPEN bit is cleared in the PIO Write Protection Mode Register. • P0–P31: Output Disable 0: No effect. 1: Disables the output on the I/O line. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 731 33.6.6 PIO Output Status Register Name: PIO_OSR Address: 0x400E0E18 (PIOA), 0x400E1018 (PIOB), 0x400E1218 (PIOC), 0x400E1418 (PIOD), 0x400E1618 (PIOE) Access: Read-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: Output Status 0: The I/O line is a pure input. 1: The I/O line is enabled in output. 732 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.7 PIO Input Filter Enable Register Name: PIO_IFER Address: 0x400E0E20 (PIOA), 0x400E1020 (PIOB), 0x400E1220 (PIOC), 0x400E1420 (PIOD), 0x400E1620 (PIOE) Access: Write-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 This register can only be written if the WPEN bit is cleared in the PIO Write Protection Mode Register. • P0–P31: Input Filter Enable 0: No effect. 1: Enables the input glitch filter on the I/O line. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 733 33.6.8 PIO Input Filter Disable Register Name: PIO_IFDR Address: 0x400E0E24 (PIOA), 0x400E1024 (PIOB), 0x400E1224 (PIOC), 0x400E1424 (PIOD), 0x400E1624 (PIOE) Access: Write-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 This register can only be written if the WPEN bit is cleared in the PIO Write Protection Mode Register. • P0–P31: Input Filter Disable 0: No effect. 1: Disables the input glitch filter on the I/O line. 734 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.9 PIO Input Filter Status Register Name: PIO_IFSR Address: 0x400E0E28 (PIOA), 0x400E1028 (PIOB), 0x400E1228 (PIOC), 0x400E1428 (PIOD), 0x400E1628 (PIOE) Access: Read-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: Input Filter Status 0: The input glitch filter is disabled on the I/O line. 1: The input glitch filter is enabled on the I/O line. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 735 33.6.10 PIO Set Output Data Register Name: PIO_SODR Address: 0x400E0E30 (PIOA), 0x400E1030 (PIOB), 0x400E1230 (PIOC), 0x400E1430 (PIOD), 0x400E1630 (PIOE) Access: Write-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: Set Output Data 0: No effect. 1: Sets the data to be driven on the I/O line. 736 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.11 PIO Clear Output Data Register Name: PIO_CODR Address: 0x400E0E34 (PIOA), 0x400E1034 (PIOB), 0x400E1234 (PIOC), 0x400E1434 (PIOD), 0x400E1634 (PIOE) Access: Write-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: Clear Output Data 0: No effect. 1: Clears the data to be driven on the I/O line. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 737 33.6.12 PIO Output Data Status Register Name: PIO_ODSR Address: 0x400E0E38 (PIOA), 0x400E1038 (PIOB), 0x400E1238 (PIOC), 0x400E1438 (PIOD), 0x400E1638 (PIOE) Access: Read-only or Read/Write 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: Output Data Status 0: The data to be driven on the I/O line is 0. 1: The data to be driven on the I/O line is 1. 738 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.13 PIO Pin Data Status Register Name: PIO_PDSR Address: (PIOE) 0x400E0E3C (PIOA), 0x400E103C (PIOB), 0x400E123C (PIOC), 0x400E143C (PIOD), 0x400E163C Access: Read-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: Output Data Status 0: The I/O line is at level 0. 1: The I/O line is at level 1. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 739 33.6.14 PIO Interrupt Enable Register Name: PIO_IER Address: 0x400E0E40 (PIOA), 0x400E1040 (PIOB), 0x400E1240 (PIOC), 0x400E1440 (PIOD), 0x400E1640 (PIOE) Access: Write-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: Input Change Interrupt Enable 0: No effect. 1: Enables the input change interrupt on the I/O line. 740 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.15 PIO Interrupt Disable Register Name: PIO_IDR Address: 0x400E0E44 (PIOA), 0x400E1044 (PIOB), 0x400E1244 (PIOC), 0x400E1444 (PIOD), 0x400E1644 (PIOE) Access: Write-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: Input Change Interrupt Disable 0: No effect. 1: Disables the input change interrupt on the I/O line. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 741 33.6.16 PIO Interrupt Mask Register Name: PIO_IMR Address: 0x400E0E48 (PIOA), 0x400E1048 (PIOB), 0x400E1248 (PIOC), 0x400E1448 (PIOD), 0x400E1648 (PIOE) Access: Read-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: Input Change Interrupt Mask 0: Input change interrupt is disabled on the I/O line. 1: Input change interrupt is enabled on the I/O line. 742 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.17 PIO Interrupt Status Register Name: PIO_ISR Address: (PIOE) 0x400E0E4C (PIOA), 0x400E104C (PIOB), 0x400E124C (PIOC), 0x400E144C (PIOD), 0x400E164C Access: Read-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: Input Change Interrupt Status 0: No input change has been detected on the I/O line since PIO_ISR was last read or since reset. 1: At least one input change has been detected on the I/O line since PIO_ISR was last read or since reset. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 743 33.6.18 PIO Multi-driver Enable Register Name: PIO_MDER Address: 0x400E0E50 (PIOA), 0x400E1050 (PIOB), 0x400E1250 (PIOC), 0x400E1450 (PIOD), 0x400E1650 (PIOE) Access: Write-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 This register can only be written if the WPEN bit is cleared in the PIO Write Protection Mode Register. • P0-P31: Multi-drive Enable 0: No effect. 1: Enables multi-drive on the I/O line. 744 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.19 PIO Multi-driver Disable Register Name: PIO_MDDR Address: 0x400E0E54 (PIOA), 0x400E1054 (PIOB), 0x400E1254 (PIOC), 0x400E1454 (PIOD), 0x400E1654 (PIOE) Access: Write-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 This register can only be written if the WPEN bit is cleared in the PIO Write Protection Mode Register. • P0–P31: Multi-drive Disable 0: No effect. 1: Disables multi-drive on the I/O line. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 745 33.6.20 PIO Multi-driver Status Register Name: PIO_MDSR Address: 0x400E0E58 (PIOA), 0x400E1058 (PIOB), 0x400E1258 (PIOC), 0x400E1458 (PIOD), 0x400E1658 (PIOE) Access: Read-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: Multi-drive Status 0: The multi-drive is disabled on the I/O line. The pin is driven at high- and low-level. 1: The multi-drive is enabled on the I/O line. The pin is driven at low-level only. 746 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.21 PIO Pull-Up Disable Register Name: PIO_PUDR Address: 0x400E0E60 (PIOA), 0x400E1060 (PIOB), 0x400E1260 (PIOC), 0x400E1460 (PIOD), 0x400E1660 (PIOE) Access: Write-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 This register can only be written if the WPEN bit is cleared in the PIO Write Protection Mode Register. • P0–P31: Pull-Up Disable 0: No effect. 1: Disables the pull-up resistor on the I/O line. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 747 33.6.22 PIO Pull-Up Enable Register Name: PIO_PUER Address: 0x400E0E64 (PIOA), 0x400E1064 (PIOB), 0x400E1264 (PIOC), 0x400E1464 (PIOD), 0x400E1664 (PIOE) Access: Write-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 This register can only be written if the WPEN bit is cleared in the PIO Write Protection Mode Register. • P0–P31: Pull-Up Enable 0: No effect. 1: Enables the pull-up resistor on the I/O line. 748 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.23 PIO Pull-Up Status Register Name: PIO_PUSR Address: 0x400E0E68 (PIOA), 0x400E1068 (PIOB), 0x400E1268 (PIOC), 0x400E1468 (PIOD), 0x400E1668 (PIOE) Access: Read-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: Pull-Up Status 0: Pull-up resistor is enabled on the I/O line. 1: Pull-up resistor is disabled on the I/O line. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 749 33.6.24 PIO Peripheral ABCD Select Register 1 Name: PIO_ABCDSR1 Access: Read/Write 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 This register can only be written if the WPEN bit is cleared in the PIO Write Protection Mode Register. • P0–P31: Peripheral Select If the same bit is set to 0 in PIO_ABCDSR2: 0: Assigns the I/O line to the Peripheral A function. 1: Assigns the I/O line to the Peripheral B function. If the same bit is set to 1 in PIO_ABCDSR2: 0: Assigns the I/O line to the Peripheral C function. 1: Assigns the I/O line to the Peripheral D function. 750 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.25 PIO Peripheral ABCD Select Register 2 Name: PIO_ABCDSR2 Address: 0x400E0E70 (PIOA), 0x400E1070 (PIOB), 0x400E1270 (PIOC), 0x400E1470 (PIOD), 0x400E1670 (PIOE) Access: Read/Write 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 This register can only be written if the WPEN bit is cleared in the PIO Write Protection Mode Register. • P0–P31: Peripheral Select If the same bit is set to 0 in PIO_ABCDSR1: 0: Assigns the I/O line to the Peripheral A function. 1: Assigns the I/O line to the Peripheral C function. If the same bit is set to 1 in PIO_ABCDSR1: 0: Assigns the I/O line to the Peripheral B function. 1: Assigns the I/O line to the Peripheral D function. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 751 33.6.26 PIO Input Filter Slow Clock Disable Register Name: PIO_IFSCDR Address: 0x400E0E80 (PIOA), 0x400E1080 (PIOB), 0x400E1280 (PIOC), 0x400E1480 (PIOD), 0x400E1680 (PIOE) Access: Write-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: Peripheral Clock Glitch Filtering Select 0: No effect. 1: The glitch filter is able to filter glitches with a duration < tperipheral clock/2. 752 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.27 PIO Input Filter Slow Clock Enable Register Name: PIO_IFSCER Address: 0x400E0E84 (PIOA), 0x400E1084 (PIOB), 0x400E1284 (PIOC), 0x400E1484 (PIOD), 0x400E1684 (PIOE) Access: Write-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: Slow Clock Debouncing Filtering Select 0: No effect. 1: The debouncing filter is able to filter pulses with a duration < tdiv_slck/2. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 753 33.6.28 PIO Input Filter Slow Clock Status Register Name: PIO_IFSCSR Address: 0x400E0E88 (PIOA), 0x400E1088 (PIOB), 0x400E1288 (PIOC), 0x400E1488 (PIOD), 0x400E1688 (PIOE) Access: Read-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: Glitch or Debouncing Filter Selection Status 0: The glitch filter is able to filter glitches with a duration < tperipheral clock/2. 1: The debouncing filter is able to filter pulses with a duration < tdiv_slck/2. 754 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.29 PIO Slow Clock Divider Debouncing Register Name: PIO_SCDR Address: (PIOE) 0x400E0E8C (PIOA), 0x400E108C (PIOB), 0x400E128C (PIOC), 0x400E148C (PIOD), 0x400E168C Access: Read/Write 31 30 29 28 27 26 25 24 – – – – – – – – 23 22 21 20 19 18 17 16 – – – – – – – – 15 14 13 12 11 10 9 8 – – 7 6 2 1 0 DIV 5 4 3 DIV • DIV: Slow Clock Divider Selection for Debouncing tdiv_slck = ((DIV + 1) × 2) × tslck SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 755 33.6.30 PIO Pad Pull-Down Disable Register Name: PIO_PPDDR Address: 0x400E0E90 (PIOA), 0x400E1090 (PIOB), 0x400E1290 (PIOC), 0x400E1490 (PIOD), 0x400E1690 (PIOE) Access: Write-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 This register can only be written if the WPEN bit is cleared in the PIO Write Protection Mode Register. • P0–P31: Pull-Down Disable 0: No effect. 1: Disables the pull-down resistor on the I/O line. 756 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.31 PIO Pad Pull-Down Enable Register Name: PIO_PPDER Address: 0x400E0E94 (PIOA), 0x400E1094 (PIOB), 0x400E1294 (PIOC), 0x400E1494 (PIOD), 0x400E1694 (PIOE) Access: Write-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 This register can only be written if the WPEN bit is cleared in the PIO Write Protection Mode Register. • P0–P31: Pull-Down Enable 0: No effect. 1: Enables the pull-down resistor on the I/O line. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 757 33.6.32 PIO Pad Pull-Down Status Register Name: PIO_PPDSR Address: 0x400E0E98 (PIOA), 0x400E1098 (PIOB), 0x400E1298 (PIOC), 0x400E1498 (PIOD), 0x400E1698 (PIOE) Access: Read-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: Pull-Down Status 0: Pull-down resistor is enabled on the I/O line. 1: Pull-down resistor is disabled on the I/O line. 758 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.33 PIO Output Write Enable Register Name: PIO_OWER Address: 0x400E0EA0 (PIOA), 0x400E10A0 (PIOB), 0x400E12A0 (PIOC), 0x400E14A0 (PIOD), 0x400E16A0 (PIOE) Access: Write-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 This register can only be written if the WPEN bit is cleared in the PIO Write Protection Mode Register. • P0–P31: Output Write Enable 0: No effect. 1: Enables writing PIO_ODSR for the I/O line. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 759 33.6.34 PIO Output Write Disable Register Name: PIO_OWDR Address: 0x400E0EA4 (PIOA), 0x400E10A4 (PIOB), 0x400E12A4 (PIOC), 0x400E14A4 (PIOD), 0x400E16A4 (PIOE) Access: Write-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 This register can only be written if the WPEN bit is cleared in the PIO Write Protection Mode Register. • P0–P31: Output Write Disable 0: No effect. 1: Disables writing PIO_ODSR for the I/O line. 760 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.35 PIO Output Write Status Register Name: PIO_OWSR Address: 0x400E0EA8 (PIOA), 0x400E10A8 (PIOB), 0x400E12A8 (PIOC), 0x400E14A8 (PIOD), 0x400E16A8 (PIOE) Access: Read-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: Output Write Status 0: Writing PIO_ODSR does not affect the I/O line. 1: Writing PIO_ODSR affects the I/O line. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 761 33.6.36 PIO Additional Interrupt Modes Enable Register Name: PIO_AIMER Address: 0x400E0EB0 (PIOA), 0x400E10B0 (PIOB), 0x400E12B0 (PIOC), 0x400E14B0 (PIOD), 0x400E16B0 (PIOE) Access: Write-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: Additional Interrupt Modes Enable 0: No effect. 1: The interrupt source is the event described in PIO_ELSR and PIO_FRLHSR. 762 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.37 PIO Additional Interrupt Modes Disable Register Name: PIO_AIMDR Address: 0x400E0EB4 (PIOA), 0x400E10B4 (PIOB), 0x400E12B4 (PIOC), 0x400E14B4 (PIOD), 0x400E16B4 (PIOE) Access: Write-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: Additional Interrupt Modes Disable 0: No effect. 1: The interrupt mode is set to the default interrupt mode (both-edge detection). SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 763 33.6.38 PIO Additional Interrupt Modes Mask Register Name: PIO_AIMMR Address: 0x400E0EB8 (PIOA), 0x400E10B8 (PIOB), 0x400E12B8 (PIOC), 0x400E14B8 (PIOD), 0x400E16B8 (PIOE) Access: Read-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: IO Line Index Selects the IO event type triggering an interrupt. 0: The interrupt source is a both-edge detection event. 1: The interrupt source is described by the registers PIO_ELSR and PIO_FRLHSR. 764 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.39 PIO Edge Select Register Name: PIO_ESR Address: (PIOE) 0x400E0EC0 (PIOA), 0x400E10C0 (PIOB), 0x400E12C0 (PIOC), 0x400E14C0 (PIOD), 0x400E16C0 Access: Write-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: Edge Interrupt Selection 0: No effect. 1: The interrupt source is an edge-detection event. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 765 33.6.40 PIO Level Select Register Name: PIO_LSR Address: (PIOE) 0x400E0EC4 (PIOA), 0x400E10C4 (PIOB), 0x400E12C4 (PIOC), 0x400E14C4 (PIOD), 0x400E16C4 Access: Write-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: Level Interrupt Selection 0: No effect. 1: The interrupt source is a level-detection event. 766 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.41 PIO Edge/Level Status Register Name: PIO_ELSR Address: (PIOE) 0x400E0EC8 (PIOA), 0x400E10C8 (PIOB), 0x400E12C8 (PIOC), 0x400E14C8 (PIOD), 0x400E16C8 Access: Read-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: Edge/Level Interrupt Source Selection 0: The interrupt source is an edge-detection event. 1: The interrupt source is a level-detection event. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 767 33.6.42 PIO Falling Edge/Low-Level Select Register Name: PIO_FELLSR Address: (PIOE) 0x400E0ED0 (PIOA), 0x400E10D0 (PIOB), 0x400E12D0 (PIOC), 0x400E14D0 (PIOD), 0x400E16D0 Access: Write-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: Falling Edge/Low-Level Interrupt Selection 0: No effect. 1: The interrupt source is set to a falling edge detection or low-level detection event, depending on PIO_ELSR. 768 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.43 PIO Rising Edge/High-Level Select Register Name: PIO_REHLSR Address: (PIOE) 0x400E0ED4 (PIOA), 0x400E10D4 (PIOB), 0x400E12D4 (PIOC), 0x400E14D4 (PIOD), 0x400E16D4 Access: Write-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: Rising Edge/High-Level Interrupt Selection 0: No effect. 1: The interrupt source is set to a rising edge detection or high-level detection event, depending on PIO_ELSR. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 769 33.6.44 PIO Fall/Rise - Low/High Status Register Name: PIO_FRLHSR Address: (PIOE) 0x400E0ED8 (PIOA), 0x400E10D8 (PIOB), 0x400E12D8 (PIOC), 0x400E14D8 (PIOD), 0x400E16D8 Access: Read-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: Edge/Level Interrupt Source Selection 0: The interrupt source is a falling edge detection (if PIO_ELSR = 0) or low-level detection event (if PIO_ELSR = 1). 1: The interrupt source is a rising edge detection (if PIO_ELSR = 0) or high-level detection event (if PIO_ELSR = 1). 770 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.45 PIO Lock Status Register Name: PIO_LOCKSR Address: 0x400E0EE0 (PIOA), 0x400E10E0 (PIOB), 0x400E12E0 (PIOC), 0x400E14E0 (PIOD), 0x400E16E0 (PIOE) Access: Read-only 31 30 29 28 27 26 25 24 P31 P30 P29 P28 P27 P26 P25 P24 23 22 21 20 19 18 17 16 P23 P22 P21 P20 P19 P18 P17 P16 15 14 13 12 11 10 9 8 P15 P14 P13 P12 P11 P10 P9 P8 7 6 5 4 3 2 1 0 P7 P6 P5 P4 P3 P2 P1 P0 • P0–P31: Lock Status 0: The I/O line is not locked. 1: The I/O line is locked. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 771 33.6.46 PIO Write Protection Mode Register Name: PIO_WPMR Address: 0x400E0EE4 (PIOA), 0x400E10E4 (PIOB), 0x400E12E4 (PIOC), 0x400E14E4 (PIOD), 0x400E16E4 (PIOE) Access: Read/Write 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 WPKEY 23 22 21 20 WPKEY 15 14 13 12 WPKEY 7 6 5 4 3 2 1 0 – – – – – – – WPEN • WPEN: Write Protection Enable 0: Disables the write protection if WPKEY corresponds to 0x50494F (“PIO” in ASCII). 1: Enables the write protection if WPKEY corresponds to 0x50494F (“PIO” in ASCII). See Section 33.5.16 “Register Write Protection” for the list of registers that can be protected. • WPKEY: Write Protection Key Value Name 0x50494F PASSWD 772 Description Writing any other value in this field aborts the write operation of the WPEN bit. Always reads as 0. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.47 PIO Write Protection Status Register Name: PIO_WPSR Address: 0x400E0EE8 (PIOA), 0x400E10E8 (PIOB), 0x400E12E8 (PIOC), 0x400E14E8 (PIOD), 0x400E16E8 (PIOE) Access: Read-only 31 30 29 28 27 26 25 24 – – – – – – – – 23 22 21 20 19 18 17 16 11 10 9 8 WPVSRC 15 14 13 12 WPVSRC 7 6 5 4 3 2 1 0 – – – – – – – WPVS • WPVS: Write Protection Violation Status 0: No write protection violation has occurred since the last read of the PIO_WPSR. 1: A write protection violation has occurred since the last read of the PIO_WPSR. If this violation is an unauthorized attempt to write a protected register, the associated violation is reported into field WPVSRC. • WPVSRC: Write Protection Violation Source When WPVS = 1, WPVSRC indicates the register address offset at which a write access has been attempted. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 773 33.6.48 PIO Schmitt Trigger Register Name: PIO_SCHMITT Address: 0x400E0F00 (PIOA), 0x400E1100 (PIOB), 0x400E1300 (PIOC), 0x400E1500 (PIOD), 0x400E1700 (PIOE) Access: Read/Write 31 30 29 28 27 26 25 24 SCHMITT31 SCHMITT30 SCHMITT29 SCHMITT28 SCHMITT27 SCHMITT26 SCHMITT25 SCHMITT24 23 22 21 20 19 18 17 16 SCHMITT23 SCHMITT22 SCHMITT21 SCHMITT20 SCHMITT19 SCHMITT18 SCHMITT17 SCHMITT16 15 14 13 12 11 10 9 8 SCHMITT15 SCHMITT14 SCHMITT13 SCHMITT12 SCHMITT11 SCHMITT10 SCHMITT9 SCHMITT8 7 6 5 4 3 2 1 0 SCHMITT7 SCHMITT6 SCHMITT5 SCHMITT4 SCHMITT3 SCHMITT2 SCHMITT1 SCHMITT0 • SCHMITTx [x=0..31]: Schmitt Trigger Control 0: Schmitt trigger is enabled. 1: Schmitt trigger is disabled. 774 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.49 PIO I/O Delay Register Name: PIO_DELAYR Address: 0x400E0F10 (PIOA), 0x400E1110 (PIOB), 0x400E1310 (PIOC), 0x400E1510 (PIOD), 0x400E1710 (PIOE) Access: Read/Write 31 30 29 28 27 26 Delay7 23 22 21 14 20 19 18 13 6 17 16 9 8 1 0 Delay4 12 11 10 Delay3 7 24 Delay6 Delay5 15 25 Delay2 5 4 3 2 Delay1 Delay0 • Delayx [x=0..7]: Delay Control for Simultaneous Switch Reduction Gives the number of elements in the delay line associated to pad x. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 775 33.6.50 PIO Parallel Capture Mode Register Name: PIO_PCMR Address: 0x400E0F50 (PIOA), 0x400E1150 (PIOB), 0x400E1350 (PIOC), 0x400E1550 (PIOD), 0x400E1750 (PIOE) Access: Read/Write 31 30 29 28 27 26 25 24 – – – – – – – – 23 22 21 20 19 18 17 16 – – – – – – – – 15 14 13 12 11 10 9 8 – – – – FRSTS HALFS ALWYS – 7 6 5 – – 4 DSIZE 3 2 1 0 – – – PCEN This register can only be written if the WPEN bit is cleared in the PIO Write Protection Mode Register. • PCEN: Parallel Capture Mode Enable 0: The parallel capture mode is disabled. 1: The parallel capture mode is enabled. • DSIZE: Parallel Capture Mode Data Size Value Name Description 0 BYTE The reception data in the PIO_PCRHR is a byte (8-bit) 1 HALF-WORD The reception data in the PIO_PCRHR is a half-word (16-bit) 2 WORD The reception data in the PIO_PCRHR is a word (32-bit) 3 – Reserved • ALWYS: Parallel Capture Mode Always Sampling 0: The parallel capture mode samples the data when both data enables are active. 1: The parallel capture mode samples the data whatever the data enables are. • HALFS: Parallel Capture Mode Half Sampling Independently from the ALWYS bit: 0: The parallel capture mode samples all the data. 1: The parallel capture mode samples the data only every other time. • FRSTS: Parallel Capture Mode First Sample This bit is useful only if the HALFS bit is set to 1. If data are numbered in the order that they are received with an index from 0 to n: 0: Only data with an even index are sampled. 1: Only data with an odd index are sampled. 776 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.51 PIO Parallel Capture Interrupt Enable Register Name: PIO_PCIER Address: 0x400E0F54 (PIOA), 0x400E1154 (PIOB), 0x400E1354 (PIOC), 0x400E1554 (PIOD), 0x400E1754 (PIOE) Access: Write-only 31 30 29 28 27 26 25 24 – – – – – – – – 23 22 21 20 19 18 17 16 – – – – – – – – 15 14 13 12 11 10 9 8 – – – – – – – – 7 6 5 4 3 2 1 0 – – – – RXBUFF ENDRX OVRE DRDY The following configuration values are valid for all listed bit names of this register: 0: No effect 1: Enables the corresponding interrupt • DRDY: Parallel Capture Mode Data Ready Interrupt Enable • OVRE: Parallel Capture Mode Overrun Error Interrupt Enable • ENDRX: End of Reception Transfer Interrupt Enable • RXBUFF: Reception Buffer Full Interrupt Enable SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 777 33.6.52 PIO Parallel Capture Interrupt Disable Register Name: PIO_PCIDR Address: 0x400E0F58 (PIOA), 0x400E1158 (PIOB), 0x400E1358 (PIOC), 0x400E1558 (PIOD), 0x400E1758 (PIOE) Access: Write-only 31 30 29 28 27 26 25 24 – – – – – – – – 23 22 21 20 19 18 17 16 – – – – – – – – 15 14 13 12 11 10 9 8 – – – – – – – – 7 6 5 4 3 2 1 0 – – – – RXBUFF ENDRX OVRE DRDY The following configuration values are valid for all listed bit names of this register: 0: No effect 1: Disables the corresponding interrupt • DRDY: Parallel Capture Mode Data Ready Interrupt Disable • OVRE: Parallel Capture Mode Overrun Error Interrupt Disable • ENDRX: End of Reception Transfer Interrupt Disable • RXBUFF: Reception Buffer Full Interrupt Disable 778 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.53 PIO Parallel Capture Interrupt Mask Register Name: PIO_PCIMR Address: (PIOE) 0x400E0F5C (PIOA), 0x400E115C (PIOB), 0x400E135C (PIOC), 0x400E155C (PIOD), 0x400E175C Access: Read-only 31 30 29 28 27 26 25 24 – – – – – – – – 23 22 21 20 19 18 17 16 – – – – – – – – 15 14 13 12 11 10 9 8 – – – – – – – – 7 6 5 4 3 2 1 0 – – – – RXBUFF ENDRX OVRE DRDY The following configuration values are valid for all listed bit names of this register: 0: Corresponding interrupt is not enabled. 1: Corresponding interrupt is enabled. • DRDY: Parallel Capture Mode Data Ready Interrupt Mask • OVRE: Parallel Capture Mode Overrun Error Interrupt Mask • ENDRX: End of Reception Transfer Interrupt Mask • RXBUFF: Reception Buffer Full Interrupt Mask SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 779 33.6.54 PIO Parallel Capture Interrupt Status Register Name: PIO_PCISR Address: 0x400E0F60 (PIOA), 0x400E1160 (PIOB), 0x400E1360 (PIOC), 0x400E1560 (PIOD), 0x400E1760 (PIOE) Access: Read-only 31 30 29 28 27 26 25 24 – – – – – – – – 23 22 21 20 19 18 17 16 – – – – – – – – 15 14 13 12 11 10 9 8 – – – – – – – – 7 6 5 4 3 2 1 0 – – – – RXBUFF ENDRX OVRE DRDY • DRDY: Parallel Capture Mode Data Ready 0: No new data is ready to be read since the last read of PIO_PCRHR. 1: A new data is ready to be read since the last read of PIO_PCRHR. The DRDY flag is automatically reset when PIO_PCRHR is read or when the parallel capture mode is disabled. • OVRE: Parallel Capture Mode Overrun Error 0: No overrun error occurred since the last read of this register. 1: At least one overrun error occurred since the last read of this register. The OVRE flag is automatically reset when this register is read or when the parallel capture mode is disabled. • ENDRX: End of Reception Transfer 0: The End of Transfer signal from the reception PDC channel is inactive. 1: The End of Transfer signal from the reception PDC channel is active. • RXBUFF: Reception Buffer Full 0: The signal Buffer Full from the reception PDC channel is inactive. 1: The signal Buffer Full from the reception PDC channel is active. 780 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 33.6.55 PIO Parallel Capture Reception Holding Register Name: PIO_PCRHR Address: 0x400E0F64 (PIOA), 0x400E1164 (PIOB), 0x400E1364 (PIOC), 0x400E1564 (PIOD), 0x400E1764 (PIOE) Access: Read-only 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 RDATA 23 22 21 20 RDATA 15 14 13 12 RDATA 7 6 5 4 RDATA • RDATA: Parallel Capture Mode Reception Data If DSIZE = 0 in PIO_PCMR, only the 8 LSBs of RDATA are useful. If DSIZE = 1 in PIO_PCMR, only the 16 LSBs of RDATA are useful. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 781 34. Serial Peripheral Interface (SPI) 34.1 Description The Serial Peripheral Interface (SPI) circuit is a synchronous serial data link that provides communication with external devices in Master or Slave mode. It also enables communication between processors if an external processor is connected to the system. The Serial Peripheral Interface is essentially a Shift register that serially transmits data bits to other SPIs. During a data transfer, one SPI system acts as the “master”' which controls the data flow, while the other devices act as “slaves'' which have data shifted into and out by the master. Different CPUs can take turn being masters (multiple master protocol, contrary to single master protocol where one CPU is always the master while all of the others are always slaves). One master can simultaneously shift data into multiple slaves. However, only one slave can drive its output to write data back to the master at any given time. A slave device is selected when the master asserts its NSS signal. If multiple slave devices exist, the master generates a separate slave select signal for each slave (NPCS). The SPI system consists of two data lines and two control lines: 34.2  Master Out Slave In (MOSI)—This data line supplies the output data from the master shifted into the input(s) of the slave(s).  Master In Slave Out (MISO)—This data line supplies the output data from a slave to the input of the master. There may be no more than one slave transmitting data during any particular transfer.  Serial Clock (SPCK)—This control line is driven by the master and regulates the flow of the data bits. The master can transmit data at a variety of baud rates; there is one SPCK pulse for each bit that is transmitted.  Slave Select (NSS)—This control line allows slaves to be turned on and off by hardware. Embedded Characteristics  Master or Slave Serial Peripheral Bus Interface 8-bit to 16-bit programmable data length per chip select ̶ Programmable phase and polarity per chip select ̶ Programmable transfer delay between consecutive transfers and delay before SPI clock per chip select ̶ ̶ ̶ Programmable delay between chip selects Master Mode can drive SPCK up to Peripheral Clock  Master Mode Bit Rate can be Independent of the Processor/Peripheral Clock  Slave mode operates on SPCK, asynchronously with core and bus clock  Four chip selects with external decoder support allow communication with up to 15 peripherals  Communication with Serial External Devices Supported ̶   782 Selectable mode fault detection  ̶ Serial memories, such as DataFlash and 3-wire EEPROMs ̶ Serial peripherals, such as ADCs, DACs, LCD controllers, CAN controllers and sensors External coprocessors Connection to PDC Channel Capabilities, Optimizing Data Transfers ̶ One channel for the receiver ̶ One channel for the transmitter Connection to DMA Channel Capabilities, Optimizing Data Transfers ̶ One channel for the receiver ̶ One channel for the transmitter SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16  34.3 Register Write Protection Block Diagram Figure 34-1. Block Diagram AHB Matrix PDC DMA Bus clock Peripheral bridge PMC 34.4 Peripheral clock Trigger events SPI Application Block Diagram Figure 34-2. Application Block Diagram: Single Master/Multiple Slave Implementation SPI Master SPCK SPCK MISO MISO MOSI MOSI NPCS0 NSS Slave 0 SPCK NPCS1 NPCS2 NPCS3 NC MISO Slave 1 MOSI NSS SPCK MISO Slave 2 MOSI NSS SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 783 34.5 Signal Description Table 34-1. Signal Description Type 34.6 34.6.1 Pin Name Pin Description Master Slave MISO Master In Slave Out Input Output MOSI Master Out Slave In Output Input SPCK Serial Clock Output Input NPCS1–NPCS3 Peripheral Chip Selects Output Unused NPCS0/NSS Peripheral Chip Select/Slave Select Output Input Product Dependencies I/O Lines The pins used for interfacing the compliant external devices can be multiplexed with PIO lines. The programmer must first program the PIO controllers to assign the SPI pins to their peripheral functions. Table 34-2. 34.6.2 I/O Lines Instance Signal I/O Line Peripheral SPI MISO PA12 A SPI MOSI PA13 A SPI NPCS0 PA11 A SPI NPCS1 PA9 B SPI NPCS1 PA31 A SPI NPCS1 PB14 A SPI NPCS1 PC4 B SPI NPCS2 PA10 B SPI NPCS2 PA30 B SPI NPCS2 PB2 B SPI NPCS3 PA3 B SPI NPCS3 PA5 B SPI NPCS3 PA22 B SPI SPCK PA14 A Power Management The SPI can be clocked through the Power Management Controller (PMC), thus the programmer must first configure the PMC to enable the SPI clock. 784 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 34.6.3 Interrupt The SPI interface has an interrupt line connected to the interrupt controller. Handling the SPI interrupt requires programming the interrupt controller before configuring the SPI. Table 34-3. 34.6.4 Peripheral IDs Instance ID SPI 19 Peripheral DMA Controller (PDC) or Direct Memory Access Controller (DMAC) The SPI interface can be used in conjunction with the PDC or DMAC in order to reduce processor overhead. For a full description of the PDC or DMAC, refer to the relevant section. 34.7 Functional Description 34.7.1 Modes of Operation The SPI operates in Master mode or in Slave mode.  The SPI operates in Master mode by setting the MSTR bit in the SPI Mode Register (SPI_MR): ̶ Pins NPCS0 to NPCS3 are all configured as outputs ̶ The SPCK pin is driven ̶ The MISO line is wired on the receiver input ̶  The MOSI line is driven as an output by the transmitter. The SPI operates in Slave mode if the MSTR bit in the SPI_MR is written to 0: ̶ The MISO line is driven by the transmitter output ̶ The MOSI line is wired on the receiver input ̶ The SPCK pin is driven by the transmitter to synchronize the receiver. ̶ The NPCS0 pin becomes an input, and is used as a slave select signal (NSS) ̶ NPCS1 to NPCS3 are not driven and can be used for other purposes. The data transfers are identically programmable for both modes of operation. The baud rate generator is activated only in Master mode. 34.7.2 Data Transfer Four combinations of polarity and phase are available for data transfers. The clock polarity is programmed with the CPOL bit in the SPI chip select registers (SPI_CSRx). The clock phase is programmed with the NCPHA bit. These two parameters determine the edges of the clock signal on which data is driven and sampled. Each of the two parameters has two possible states, resulting in four possible combinations that are incompatible with one another. Consequently, a master/slave pair must use the same parameter pair values to communicate. If multiple slaves are connected and require different configurations, the master must reconfigure itself each time it needs to communicate with a different slave. Table 34-4 shows the four modes and corresponding parameter settings. Table 34-4. SPI Bus Protocol Modes SPI Mode CPOL NCPHA Shift SPCK Edge Capture SPCK Edge SPCK Inactive Level 0 0 1 Falling Rising Low SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 785 Table 34-4. SPI Bus Protocol Modes SPI Mode CPOL NCPHA Shift SPCK Edge Capture SPCK Edge SPCK Inactive Level 1 0 0 Rising Falling Low 2 1 1 Rising Falling High 3 1 0 Falling Rising High Figure 34-3 and Figure 34-4 show examples of data transfers. Figure 34-3. SPI Transfer Format (NCPHA = 1, 8 bits per transfer) SPCK cycle (for reference) 1 2 3 4 6 5 7 8 SPCK (CPOL = 0) SPCK (CPOL = 1) MOSI (from master) MISO (from slave) MSB MSB 6 5 4 3 2 1 LSB 6 5 4 3 2 1 LSB NSS (to slave) * Not defined. 786 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 * Figure 34-4. SPI Transfer Format (NCPHA = 0, 8 bits per transfer) 1 SPCK cycle (for reference) 2 3 4 5 7 6 8 SPCK (CPOL = 0) SPCK (CPOL = 1) MOSI (from master) MISO (from slave) * MSB 6 5 4 3 2 1 MSB 6 5 4 3 2 1 LSB LSB NSS (to slave) * Not defined. 34.7.3 Master Mode Operations When configured in Master mode, the SPI operates on the clock generated by the internal programmable baud rate generator. It fully controls the data transfers to and from the slave(s) connected to the SPI bus. The SPI drives the chip select line to the slave and the serial clock signal (SPCK). The SPI features two holding registers, the Transmit Data Register (SPI_TDR) and the Receive Data Register (SPI_RDR), and a single shift register. The holding registers maintain the data flow at a constant rate. After enabling the SPI, a data transfer starts when the processor writes to the SPI_TDR. The written data is immediately transferred in the Shift register and the transfer on the SPI bus starts. While the data in the Shift register is shifted on the MOSI line, the MISO line is sampled and shifted in the Shift register. Data cannot be loaded in the SPI_RDR without transmitting data. If there is no data to transmit, dummy data can be used (SPI_TDR filled with ones). If the SPI_MR.WDRBT bit is set, transmission can occur only if the SPI_RDR has been read. If Receiving mode is not required, for example when communicating with a slave receiver only (such as an LCD), the receive status flags in the SPI Status register (SPI_SR) can be discarded. Before writing the SPI_TDR, the PCS field in the SPI_MR must be set in order to select a slave. If new data is written in the SPI_TDR during the transfer, it is kept in the SPI_TDR until the current transfer is completed. Then, the received data is transferred from the Shift register to the SPI_RDR, the data in the SPI_TDR is loaded in the Shift register and a new transfer starts. As soon as the SPI_TDR is written, the Transmit Data Register Empty (TDRE) flag in the SPI_SR is cleared. When the data written in the SPI_TDR is loaded into the Shift register, the TDRE flag in the SPI_SR is set. The TDRE bit is used to trigger the Transmit PDC or DMA channel. See Figure 34-5. The end of transfer is indicated by the TXEMPTY flag in the SPI_SR. If a transfer delay (DLYBCT) is greater than 0 for the last transfer, TXEMPTY is set after the completion of this delay. The peripheral clock can be switched off at this time. Note: When the SPI is enabled, the TDRE and TXEMPTY flags are set. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 787 Figure 34-5. TDRE and TXEMPTY flag behavior Write SPI_CR.SPIEN =1 Write SPI_TDR Write SPI_TDR TDRE automatic set TDR loaded in shifter Write SPI_TDR automatic set TDR loaded in shifter automatic set TDR loaded in shifter TXEMPTY Transfer Transfer DLYBCT Transfer DLYBCT DLYBCT The transfer of received data from the Shift register to the SPI_RDR is indicated by the Receive Data Register Full (RDRF) bit in the SPI_SR. When the received data is read, the RDRF bit is cleared. If the SPI_RDR has not been read before new data is received, the Overrun Error (OVRES) bit in the SPI_SR is set. As long as this flag is set, data is loaded in the SPI_RDR. The user has to read the SPI_SR to clear the OVRES bit. Figure 34-6 shows a block diagram of the SPI when operating in Master mode. Figure 34-7 shows a flow chart describing how transfers are handled. 788 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 34.7.3.1 Master Mode Block Diagram Figure 34-6. Master Mode Block Diagram SPI_CSRx SCBR Baud Rate Generator Peripheral clock SPCK SPI Clock SPI_CSRx BITS NCPHA CPOL LSB MISO SPI_RDR RDRF OVRES RD MSB Shift Register MOSI SPI_TDR TDRE TD SPI_CSRx SPI_RDR CSAAT PCS PS NPCSx PCSDEC SPI_MR PCS 0 Current Peripheral SPI_TDR NPCS0 PCS 1 MSTR MODF NPCS0 MODFDIS SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 789 34.7.3.2 Master Mode Flow Diagram Figure 34-7. Master Mode Flow Diagram SPI Enable TDRE/TXEMPTY are set TDRE ? (SW check) 0 1 Write SPI_TDR ? - NPCS defines the current chip select - CSAAT, DLYBS, DLYBCT refer to the fields of the Chip Select Register corresponding to the current chip select - ‘x 1 Divide by 16 1 0 Baud Rate Clock 0 Receiver Sampling Clock 36.5.2 Receiver 36.5.2.1 Receiver Reset, Enable and Disable After device reset, the UART receiver is disabled and must be enabled before being used. The receiver can be enabled by writing the Control Register (UART_CR) with the bit RXEN at 1. At this command, the receiver starts looking for a start bit. The programmer can disable the receiver by writing UART_CR with the bit RXDIS at 1. If the receiver is waiting for a start bit, it is immediately stopped. However, if the receiver has already detected a start bit and is receiving the data, it waits for the stop bit before actually stopping its operation. The receiver can be put in reset state by writing UART_CR with the bit RSTRX at 1. In this case, the receiver immediately stops its current operations and is disabled, whatever its current state. If RSTRX is applied when data is being processed, this data is lost. 36.5.2.2 Start Detection and Data Sampling The UART only supports asynchronous operations, and this affects only its receiver. The UART receiver detects the start of a received character by sampling the URXD signal until it detects a valid start bit. A low level (space) on URXD is interpreted as a valid start bit if it is detected for more than seven cycles of the sampling clock, which is 16 times the baud rate. Hence, a space that is longer than 7/16 of the bit period is detected as a valid start bit. A space which is 7/16 of a bit period or shorter is ignored and the receiver continues to wait for a valid start bit. When a valid start bit has been detected, the receiver samples the URXD at the theoretical midpoint of each bit. It is assumed that each bit lasts 16 cycles of the sampling clock (1-bit period) so the bit sampling point is eight cycles (0.5-bit period) after the start of the bit. The first sampling point is therefore 24 cycles (1.5-bit periods) after detecting the falling edge of the start bit. Each subsequent bit is sampled 16 cycles (1-bit period) after the previous one. Figure 36-3. Start Bit Detection URXD S D0 D1 D2 D3 D4 D5 D6 D7 P stop S D0 D1 D2 D3 D4 D5 D6 D7 P stop RXRDY OVRE RSTSTA 862 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 36-4. Character Reception Example: 8-bit, parity enabled 1 stop 0.5 bit period 1 bit period URXD Sampling 36.5.2.3 D0 D1 True Start Detection D2 D3 D4 D5 D6 Stop Bit D7 Parity Bit Receiver Ready When a complete character is received, it is transferred to the Receive Holding Register (UART_RHR) and the RXRDY status bit in the Status Register (UART_SR) is set. The bit RXRDY is automatically cleared when UART_RHR is read. Figure 36-5. Receiver Ready S URXD D0 D1 D2 D3 D4 D5 D6 D7 S P D0 D1 D2 D3 D4 D5 D6 D7 P RXRDY Read UART_RHR 36.5.2.4 Receiver Overrun The OVRE status bit in UART_SR is set if UART_RHR has not been read by the software (or the PDC) since the last transfer, the RXRDY bit is still set and a new character is received. OVRE is cleared when the software writes a 1 to the bit RSTSTA (Reset Status) in UART_CR. Figure 36-6. URXD Receiver Overrun S D0 D1 D2 D3 D4 D5 D6 D7 P stop S D0 D1 D2 D3 D4 D5 D6 D7 P stop RXRDY OVRE RSTSTA 36.5.2.5 Parity Error Each time a character is received, the receiver calculates the parity of the received data bits, in accordance with the field PAR in the Mode Register (UART_MR). It then compares the result with the received parity bit. If different, the parity error bit PARE in UART_SR is set at the same time RXRDY is set. The parity bit is cleared when UART_CR is written with the bit RSTSTA (Reset Status) at 1. If a new character is received before the reset status command is written, the PARE bit remains at 1. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 863 Figure 36-7. Parity Error S URXD D0 D1 D2 D3 D4 D5 D6 D7 P stop RXRDY PARE Wrong Parity Bit 36.5.2.6 RSTSTA Receiver Framing Error When a start bit is detected, it generates a character reception when all the data bits have been sampled. The stop bit is also sampled and when it is detected at 0, the FRAME (Framing Error) bit in UART_SR is set at the same time the RXRDY bit is set. The FRAME bit remains high until the Control Register (UART_CR) is written with the bit RSTSTA at 1. Figure 36-8. Receiver Framing Error URXD S D0 D1 D2 D3 D4 D5 D6 D7 P stop RXRDY FRAME Stop Bit Detected at 0 36.5.3 Transmitter 36.5.3.1 Transmitter Reset, Enable and Disable RSTSTA After device reset, the UART transmitter is disabled and must be enabled before being used. The transmitter is enabled by writing UART_CR with the bit TXEN at 1. From this command, the transmitter waits for a character to be written in the Transmit Holding Register (UART_THR) before actually starting the transmission. The programmer can disable the transmitter by writing UART_CR with the bit TXDIS at 1. If the transmitter is not operating, it is immediately stopped. However, if a character is being processed into the internal shift register and/or a character has been written in the UART_THR, the characters are completed before the transmitter is actually stopped. The programmer can also put the transmitter in its reset state by writing the UART_CR with the bit RSTTX at 1. This immediately stops the transmitter, whether or not it is processing characters. 36.5.3.2 Transmit Format The UART transmitter drives the pin UTXD at the baud rate clock speed. The line is driven depending on the format defined in UART_MR and the data stored in the internal shift register. One start bit at level 0, then the 8 data bits, from the lowest to the highest bit, one optional parity bit and one stop bit at 1 are consecutively shifted out as shown in the following figure. The field PARE in UART_MR defines whether or not a parity bit is shifted out. When a parity bit is enabled, it can be selected between an odd parity, an even parity, or a fixed space or mark bit. 864 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 36-9. Character Transmission Example: Parity enabled Baud Rate Clock UTXD Start Bit 36.5.3.3 D0 D1 D2 D3 D4 D5 D6 D7 Parity Bit Stop Bit Transmitter Control When the transmitter is enabled, the bit TXRDY (Transmitter Ready) is set in UART_SR. The transmission starts when the programmer writes in the UART_THR, and after the written character is transferred from UART_THR to the internal shift register. The TXRDY bit remains high until a second character is written in UART_THR. As soon as the first character is completed, the last character written in UART_THR is transferred into the internal shift register and TXRDY rises again, showing that the holding register is empty. When both the internal shift register and UART_THR are empty, i.e., all the characters written in UART_THR have been processed, the TXEMPTY bit rises after the last stop bit has been completed. Figure 36-10. Transmitter Control UART_THR Data 0 Data 1 Shift Register UTXD Data 0 S Data 0 Data 1 P stop S Data 1 P stop TXRDY TXEMPTY Write Data 0 in UART_THR 36.5.4 Write Data 1 in UART_THR Peripheral DMA Controller (PDC) Both the receiver and the transmitter of the UART are connected to a PDC. The PDC channels are programmed via registers that are mapped within the UART user interface from the offset 0x100. The status bits are reported in UART_SR and generate an interrupt. The RXRDY bit triggers the PDC channel data transfer of the receiver. This results in a read of the data in UART_RHR. The TXRDY bit triggers the PDC channel data transfer of the transmitter. This results in a write of data in UART_THR. 36.5.5 Test Modes The UART supports three test modes. These modes of operation are programmed by using the CHMODE field in UART_MR. The Automatic Echo mode allows a bit-by-bit retransmission. When a bit is received on the URXD line, it is sent to the UTXD line. The transmitter operates normally, but has no effect on the UTXD line. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 865 The Local Loopback mode allows the transmitted characters to be received. UTXD and URXD pins are not used and the output of the transmitter is internally connected to the input of the receiver. The URXD pin level has no effect and the UTXD line is held high, as in idle state. The Remote Loopback mode directly connects the URXD pin to the UTXD line. The transmitter and the receiver are disabled and have no effect. This mode allows a bit-by-bit retransmission. Figure 36-11. Test Modes Automatic Echo RXD Receiver Transmitter Disabled TXD Local Loopback Disabled Receiver RXD VDD Disabled Transmitter Remote Loopback TXD VDD Disabled RXD Receiver Disabled Transmitter 866 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 TXD 36.6 Universal Asynchronous Receiver Transmitter (UART) User Interface Table 36-4. Register Mapping Offset Register Name Access Reset 0x0000 Control Register UART_CR Write-only – 0x0004 Mode Register UART_MR Read/Write 0x0 0x0008 Interrupt Enable Register UART_IER Write-only – 0x000C Interrupt Disable Register UART_IDR Write-only – 0x0010 Interrupt Mask Register UART_IMR Read-only 0x0 0x0014 Status Register UART_SR Read-only – 0x0018 Receive Holding Register UART_RHR Read-only 0x0 0x001C Transmit Holding Register UART_THR Write-only – 0x0020 Baud Rate Generator Register UART_BRGR Read/Write 0x0 0x0024 Reserved – – – 0x0028–0x003C Reserved – – – 0x0040–0x00E8 Reserved – – – 0x00EC–0x00FC Reserved – – – 0x0100–0x0128 Reserved for PDC registers – – – SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 867 36.6.1 UART Control Register Name: UART_CR Address: 0x400E0600 (0), 0x40060600 (1) Access: Write-only 31 30 29 28 27 26 25 24 – – – – – – – – 23 22 21 20 19 18 17 16 – – – – – – – – 15 14 13 12 11 10 9 8 – – – – – – – RSTSTA 7 6 5 4 3 2 1 0 TXDIS TXEN RXDIS RXEN RSTTX RSTRX – – • RSTRX: Reset Receiver 0: No effect. 1: The receiver logic is reset and disabled. If a character is being received, the reception is aborted. • RSTTX: Reset Transmitter 0: No effect. 1: The transmitter logic is reset and disabled. If a character is being transmitted, the transmission is aborted. • RXEN: Receiver Enable 0: No effect. 1: The receiver is enabled if RXDIS is 0. • RXDIS: Receiver Disable 0: No effect. 1: The receiver is disabled. If a character is being processed and RSTRX is not set, the character is completed before the receiver is stopped. • TXEN: Transmitter Enable 0: No effect. 1: The transmitter is enabled if TXDIS is 0. • TXDIS: Transmitter Disable 0: No effect. 1: The transmitter is disabled. If a character is being processed and a character has been written in the UART_THR and RSTTX is not set, both characters are completed before the transmitter is stopped. • RSTSTA: Reset Status 0: No effect. 1: Resets the status bits PARE, FRAME and OVRE in the UART_SR. 868 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 36.6.2 UART Mode Register Name: UART_MR Address: 0x400E0604 (0), 0x40060604 (1) Access: Read/Write 31 30 29 28 27 26 25 24 – – – – – – – – 23 22 21 20 19 18 17 16 – – – – – – – – 15 14 13 12 11 10 9 8 – – CHMODE – PAR 7 6 5 4 3 2 1 0 – – – – – – – – • PAR: Parity Type Value Name Description 0 EVEN Even Parity 1 ODD Odd Parity 2 SPACE Space: parity forced to 0 3 MARK Mark: parity forced to 1 4 NO No parity • CHMODE: Channel Mode Value Name Description 0 NORMAL Normal mode 1 AUTOMATIC Automatic echo 2 LOCAL_LOOPBACK Local loopback 3 REMOTE_LOOPBACK Remote loopback SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 869 36.6.3 UART Interrupt Enable Register Name: UART_IER Address: 0x400E0608 (0), 0x40060608 (1) Access: Write-only 31 30 29 28 27 26 25 24 – – – – – – – – 23 22 21 20 19 18 17 16 – – – – – – – – 15 14 13 12 11 10 9 8 – – – RXBUFF TXBUFE – TXEMPTY – 7 6 5 4 3 2 1 0 PARE FRAME OVRE ENDTX ENDRX – TXRDY RXRDY The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Enables the corresponding interrupt. • RXRDY: Enable RXRDY Interrupt • TXRDY: Enable TXRDY Interrupt • ENDRX: Enable End of Receive Transfer Interrupt • ENDTX: Enable End of Transmit Interrupt • OVRE: Enable Overrun Error Interrupt • FRAME: Enable Framing Error Interrupt • PARE: Enable Parity Error Interrupt • TXEMPTY: Enable TXEMPTY Interrupt • TXBUFE: Enable Buffer Empty Interrupt • RXBUFF: Enable Buffer Full Interrupt 870 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 36.6.4 UART Interrupt Disable Register Name: UART_IDR Address: 0x400E060C (0), 0x4006060C (1) Access: Write-only 31 30 29 28 27 26 25 24 – – – – – – – – 23 22 21 20 19 18 17 16 – – – – – – – – 15 14 13 12 11 10 9 8 – – – RXBUFF TXBUFE – TXEMPTY – 7 6 5 4 3 2 1 0 PARE FRAME OVRE ENDTX ENDRX – TXRDY RXRDY The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Disables the corresponding interrupt. • RXRDY: Disable RXRDY Interrupt • TXRDY: Disable TXRDY Interrupt • ENDRX: Disable End of Receive Transfer Interrupt • ENDTX: Disable End of Transmit Interrupt • OVRE: Disable Overrun Error Interrupt • FRAME: Disable Framing Error Interrupt • PARE: Disable Parity Error Interrupt • TXEMPTY: Disable TXEMPTY Interrupt • TXBUFE: Disable Buffer Empty Interrupt • RXBUFF: Disable Buffer Full Interrupt SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 871 36.6.5 UART Interrupt Mask Register Name: UART_IMR Address: 0x400E0610 (0), 0x40060610 (1) Access: Read-only 31 30 29 28 27 26 25 24 – – – – – – – – 23 22 21 20 19 18 17 16 – – – – – – – – 15 14 13 12 11 10 9 8 – – – RXBUFF TXBUFE – TXEMPTY – 7 6 5 4 3 2 1 0 PARE FRAME OVRE ENDTX ENDRX – TXRDY RXRDY The following configuration values are valid for all listed bit names of this register: 0: The corresponding interrupt is disabled. 1: The corresponding interrupt is enabled. • RXRDY: Mask RXRDY Interrupt • TXRDY: Disable TXRDY Interrupt • ENDRX: Mask End of Receive Transfer Interrupt • ENDTX: Mask End of Transmit Interrupt • OVRE: Mask Overrun Error Interrupt • FRAME: Mask Framing Error Interrupt • PARE: Mask Parity Error Interrupt • TXEMPTY: Mask TXEMPTY Interrupt • TXBUFE: Mask TXBUFE Interrupt • RXBUFF: Mask RXBUFF Interrupt 872 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 36.6.6 UART Status Register Name: UART_SR Address: 0x400E0614 (0), 0x40060614 (1) Access: Read-only 31 30 29 28 27 26 25 24 – – – – – – – – 23 22 21 20 19 18 17 16 – – – – – – – – 15 14 13 12 11 10 9 8 – – – RXBUFF TXBUFE – TXEMPTY – 7 6 5 4 3 2 1 0 PARE FRAME OVRE ENDTX ENDRX – TXRDY RXRDY • RXRDY: Receiver Ready 0: No character has been received since the last read of the UART_RHR, or the receiver is disabled. 1: At least one complete character has been received, transferred to UART_RHR and not yet read. • TXRDY: Transmitter Ready 0: A character has been written to UART_THR and not yet transferred to the internal shift register, or the transmitter is disabled. 1: There is no character written to UART_THR not yet transferred to the internal shift register. • ENDRX: End of Receiver Transfer 0: The end of transfer signal from the receiver PDC channel is inactive. 1: The end of transfer signal from the receiver PDC channel is active. • ENDTX: End of Transmitter Transfer 0: The end of transfer signal from the transmitter PDC channel is inactive. 1: The end of transfer signal from the transmitter PDC channel is active. • OVRE: Overrun Error 0: No overrun error has occurred since the last RSTSTA. 1: At least one overrun error has occurred since the last RSTSTA. • FRAME: Framing Error 0: No framing error has occurred since the last RSTSTA. 1: At least one framing error has occurred since the last RSTSTA. • PARE: Parity Error 0: No parity error has occurred since the last RSTSTA. 1: At least one parity error has occurred since the last RSTSTA. • TXEMPTY: Transmitter Empty 0: There are characters in UART_THR, or characters being processed by the transmitter, or the transmitter is disabled. 1: There are no characters in UART_THR and there are no characters being processed by the transmitter. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 873 • TXBUFE: Transmission Buffer Empty 0: The buffer empty signal from the transmitter PDC channel is inactive. 1: The buffer empty signal from the transmitter PDC channel is active. • RXBUFF: Receive Buffer Full 0: The buffer full signal from the receiver PDC channel is inactive. 1: The buffer full signal from the receiver PDC channel is active. 874 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 36.6.7 UART Receiver Holding Register Name: UART_RHR Address: 0x400E0618 (0), 0x40060618 (1) Access: Read-only 31 30 29 28 27 26 25 24 – – – – – – – – 23 22 21 20 19 18 17 16 – – – – – – – – 15 14 13 12 11 10 9 8 – – – – – – – – 7 6 5 4 3 2 1 0 RXCHR • RXCHR: Received Character Last received character if RXRDY is set. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 875 36.6.8 UART Transmit Holding Register Name: UART_THR Address: 0x400E061C (0), 0x4006061C (1) Access: Write-only 31 30 29 28 27 26 25 24 – – – – – – – – 23 22 21 20 19 18 17 16 – – – – – – – – 15 14 13 12 11 10 9 8 – – – – – – – – 7 6 5 4 3 2 1 0 TXCHR • TXCHR: Character to be Transmitted Next character to be transmitted after the current character if TXRDY is not set. 876 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 36.6.9 UART Baud Rate Generator Register Name: UART_BRGR Address: 0x400E0620 (0), 0x40060620 (1) Access: Read/Write 31 30 29 28 27 26 25 24 – – – – – – – – 23 22 21 20 19 18 17 16 – – – – – – – – 15 14 13 12 11 10 9 8 3 2 1 0 CD 7 6 5 4 CD • CD: Clock Divisor 0: Baud rate clock is disabled 1 to 65,535: f peripheral clock CD = ---------------------------------16 × Baud Rate SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 877 37. Universal Synchronous Asynchronous Receiver Transmitter (USART) 37.1 Description The Universal Synchronous Asynchronous Receiver Transceiver (USART) provides one full duplex universal synchronous asynchronous serial link. Data frame format is widely programmable (data length, parity, number of stop bits) to support a maximum of standards. The receiver implements parity error, framing error and overrun error detection. The receiver time-out enables handling variable-length frames and the transmitter timeguard facilitates communications with slow remote devices. Multidrop communications are also supported through address bit handling in reception and transmission. The USART features three test modes: Remote Loopback, Local Loopback and Automatic Echo. The USART supports specific operating modes providing interfaces on RS485, and SPI buses, with ISO7816 T = 0 or T = 1 smart card slots, infrared transceivers and connection to modem ports. The hardware handshaking feature enables an out-of-band flow control by automatic management of the pins RTS and CTS. The USART supports the connection to the DMA Controller and the Peripheral DMA Controller, which enables data transfers to the transmitter and from the receiver. The PDC and DMAC provide chained buffer management without any intervention of the processor. 37.2 Embedded Characteristics  Programmable Baud Rate Generator  5- to 9-bit Full-duplex Synchronous or Asynchronous Serial Communications ̶ 1, 1.5 or 2 Stop Bits in Asynchronous Mode or 1 or 2 Stop Bits in Synchronous Mode ̶ Parity Generation and Error Detection ̶ Framing Error Detection, Overrun Error Detection ̶ Digital Filter on Receive Line ̶ MSB- or LSB-first ̶ Optional Break Generation and Detection ̶ By 8 or by 16 Oversampling Receiver Frequency ̶ Optional Hardware Handshaking RTS-CTS ̶ Optional Modem Signal Management DTR-DSR-DCD-RI ̶ Receiver Time-out and Transmitter Timeguard ̶ Optional Multidrop Mode with Address Generation and Detection  RS485 with Driver Control Signal  ISO7816, T = 0 or T = 1 Protocols for Interfacing with Smart Cards ̶  NACK Handling, Error Counter with Repetition and Iteration Limit IrDA Modulation and Demodulation ̶   Communication at up to 115.2 kbit/s SPI Mode ̶ Master or Slave ̶ Serial Clock Programmable Phase and Polarity ̶ SPI Serial Clock (SCK) Frequency up to fperipheral clock/6 Test Modes ̶  Remote Loopback, Local Loopback, Automatic Echo Supports Connection of: ̶ 878 Two DMA Controller Channels (DMAC) and Two Peripheral DMA Controller Channels (PDC) SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16  Offers Buffer Transfer without Processor Intervention  Register Write Protection SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 879 37.3 Block Diagram Figure 37-1. USART Block Diagram Interrupt Controller USART Interrupt PIO Controller USART RXD Receiver Channel RTS (Peripheral) DMA Controller TXD Channel Transmitter CTS DTR Modem Signals Control Bus clock DSR DCD Bridge APB Peripheral clock PMC 37.4 RI User Interface SCK Baud Rate Generator Peripheral clock/DIV I/O Lines Description Table 37-1. I/O Line Description Name Description Type Active Level SCK Serial Clock I/O — I/O — Input — Ring Indicator Input Low DSR Data Set Ready Input Low DCD Data Carrier Detect Input Low DTR Data Terminal Ready Output Low Input Low Output Low Transmit Serial Data TXD or Master Out Slave In (MOSI) in SPI Master mode or Master In Slave Out (MISO) in SPI Slave mode Receive Serial Data RXD or Master In Slave Out (MISO) in SPI Master mode or Master Out Slave In (MOSI) in SPI Slave mode RI CTS RTS 880 Clear to Send or Slave Select (NSS) in SPI Slave mode Request to Send or Slave Select (NSS) in SPI Master mode SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 37.5 37.5.1 Product Dependencies I/O Lines The pins used for interfacing the USART may be multiplexed with the PIO lines. The programmer must first program the PIO controller to assign the desired USART pins to their peripheral function. If I/O lines of the USART are not used by the application, they can be used for other purposes by the PIO Controller. All the pins of the modems may or may not be implemented on the USART. Only USART1 is fully equipped with all the modem signals. On USARTs not equipped with the corresponding pin, the associated control bits and statuses have no effect on the behavior of the USART. Table 37-2. 37.5.2 I/O Lines Instance Signal I/O Line Peripheral USART0 CTS0 PB2 C USART0 RTS0 PB3 C USART0 RXD0 PB0 C USART0 SCK0 PB13 C USART0 TXD0 PB1 C USART1 CTS1 PA25 A USART1 DCD1 PA26 A USART1 DSR1 PA28 A USART1 DTR1 PA27 A USART1 RI1 PA29 A USART1 RTS1 PA24 A USART1 RXD1 PA21 A USART1 SCK1 PA23 A USART1 TXD1 PA22 A Power Management The USART is not continuously clocked. The programmer must first enable the USART clock in the Power Management Controller (PMC) before using the USART. However, if the application does not require USART operations, the USART clock can be stopped when not needed and be restarted later. In this case, the USART will resume its operations where it left off. 37.5.3 Interrupt Sources The USART interrupt line is connected on one of the internal sources of the Interrupt Controller. Using the USART interrupt requires the Interrupt Controller to be programmed first. Table 37-3. Peripheral IDs Instance ID USART0 14 USART1 15 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 881 37.6 Functional Description 37.6.1 Baud Rate Generator The baud rate generator provides the bit period clock, also named the baud rate clock, to both the receiver and the transmitter. The baud rate generator clock source is selected by configuring the USCLKS field in the USART Mode Register (US_MR) to one of the following:  The peripheral clock  A division of the peripheral clock, where the divider is product-dependent, but generally set to 8  The external clock, available on the SCK pin The baud rate generator is based upon a 16-bit divider, which is programmed with the CD field of the Baud Rate Generator register (US_BRGR). If a 0 is written to CD, the baud rate generator does not generate any clock. If a 1 is written to CD, the divider is bypassed and becomes inactive. If the external SCK clock is selected, the duration of the low and high levels of the signal provided on the SCK pin must be longer than a peripheral clock period. The frequency of the signal provided on SCK must be at least 3 times lower than the frequency provided on the peripheral clock in USART mode (field USART_MODE differs from 0xE or 0xF), or 6 times lower in SPI mode (field USART_MODE equals 0xE or 0xF). Figure 37-2. Baud Rate Generator USCLKS Peripheral clock Peripheral clock/DIV Reserved SCK (CLKO = 0) CD SCK (CLKO = 1) CD 0 1 Selected Clock 2 16-bit Counter FIDI >1 3 1 Selected Clock 0 0 0 SYNC OVER Sampling Divider 0 Baud Rate Clock 1 1 SYNC USCLKS = 3 37.6.1.1 Sampling Clock Baud Rate in Asynchronous Mode If the USART is programmed to operate in Asynchronous mode, the selected clock is first divided by CD, which is field programmed in the US_BRGR. The resulting clock is provided to the receiver as a sampling clock and then divided by 16 or 8, depending on how the OVER bit in the US_MR is programmed. If OVER is set, the receiver sampling is eight times higher than the baud rate clock. If OVER is cleared, the sampling is performed at 16 times the baud rate clock. The baud rate is calculated as per the following formula: Selected Clock Baud Rate = ----------------------------------------------( 8 ( 2 – OVER )CD ) This gives a maximum baud rate of peripheral clock divided by 8, assuming that the peripheral clock is the highest possible clock and that the OVER bit is set. 882 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Baud Rate Calculation Example Table 37-4 shows calculations of CD to obtain a baud rate at 38,400 bit/s for different source clock frequencies. This table also shows the actual resulting baud rate and the error. Table 37-4. Baud Rate Example (OVER = 0) Source Clock (MHz) Expected Baud Rate (bit/s) Calculation Result CD Actual Baud Rate (bit/s) Error 3,686,400 38,400 6.00 6 38,400.00 0.00% 4,915,200 38,400 8.00 8 38,400.00 0.00% 5,000,000 38,400 8.14 8 39,062.50 1.70% 7,372,800 38,400 12.00 12 38,400.00 0.00% 8,000,000 38,400 13.02 13 38,461.54 0.16% 12,000,000 38,400 19.53 20 37,500.00 2.40% 12,288,000 38,400 20.00 20 38,400.00 0.00% 14,318,180 38,400 23.30 23 38,908.10 1.31% 14,745,600 38,400 24.00 24 38,400.00 0.00% 18,432,000 38,400 30.00 30 38,400.00 0.00% 24,000,000 38,400 39.06 39 38,461.54 0.16% 24,576,000 38,400 40.00 40 38,400.00 0.00% 25,000,000 38,400 40.69 40 38,109.76 0.76% 32,000,000 38,400 52.08 52 38,461.54 0.16% 32,768,000 38,400 53.33 53 38,641.51 0.63% 33,000,000 38,400 53.71 54 38,194.44 0.54% 40,000,000 38,400 65.10 65 38,461.54 0.16% 50,000,000 38,400 81.38 81 38,580.25 0.47% In this example, the baud rate is calculated with the following formula: Baud Rate = Selected Clock ⁄ CD × 16 The baud rate error is calculated with the following formula. It is not recommended to work with an error higher than 5%. Expected Baud Rate Error = 1 –  -------------------------------------------------  Actual Baud Rate  37.6.1.2 Fractional Baud Rate in Asynchronous Mode The baud rate generator is subject to the following limitation: the output frequency changes only by integer multiples of the reference frequency. An approach to this problem is to integrate a fractional N clock generator that has a high resolution. The generator architecture is modified to obtain baud rate changes by a fraction of the reference source clock. This fractional part is programmed with the FP field in the US_BRGR. If FP is not 0, the SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 883 fractional part is activated. The resolution is one eighth of the clock divider. This feature is only available when using USART normal mode. The fractional baud rate is calculated using the following formula: Selected Clock Baud Rate = ------------------------------------------------------------------ 8 ( 2 – OVER )  CD + FP -------    8  The modified architecture is presented in the following Figure 37-3. Figure 37-3. Fractional Baud Rate Generator FP USCLKS CD Modulus Control FP MCK MCK/DIV Reserved 1 2 Selected Clock 16-bit Counter 3 SCK (CLKO = 0) SCK (CLKO = 1) CD 0 Glitch-free Logic FIDI >1 1 0 SYNC OVER Selected Clock 0 0 Sampling Divider 0 Baud Rate Clock 1 1 SYNC USCLKS = 3 Sampling Clock Warning: When the value of field FP is greater than 0, the SCK (oversampling clock) generates non-constant duty cycles. The SCK high duration is increased by “selected clock” period from time to time. The duty cycle depends on the value of the CD field. 37.6.1.3 Baud Rate in Synchronous Mode or SPI Mode If the USART is programmed to operate in Synchronous mode, the selected clock is simply divided by the field CD in the US_BRGR. Selected Clock Baud Rate = -----------------------------------CD In Synchronous mode, if the external clock is selected (USCLKS = 3), the clock is provided directly by the signal on the USART SCK pin. No division is active. The value written in US_BRGR has no effect. The external clock frequency must be at least 3 times lower than the system clock. In Master mode, Synchronous mode (USCLKS = 0 or 1, CLKO set to 1), the receive part limits the SCK maximum frequency to Selected Clock/3 in USART mode, or Selected Clock/6 in SPI mode. When either the external clock SCK or the internal clock divided (peripheral clock/DIV) is selected, the value programmed in CD must be even if the user has to ensure a 50:50 mark/space ratio on the SCK pin. When the peripheral clock is selected, the baud rate generator ensures a 50:50 duty cycle on the SCK pin, even if the value programmed in CD is odd. 884 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 37.6.1.4 Baud Rate in ISO 7816 Mode The ISO7816 specification defines the bit rate with the following formula: Di B = ------ × f Fi where:  B is the bit rate  Di is the bit-rate adjustment factor  Fi is the clock frequency division factor  f is the ISO7816 clock frequency (Hz) Di is a binary value encoded on a 4-bit field, named DI, as represented in Table 37-5. Table 37-5. Binary and Decimal Values for Di DI field 0001 0010 0011 0100 0101 0110 1000 1001 1 2 4 8 16 32 12 20 Di (decimal) Fi is a binary value encoded on a 4-bit field, named FI, as represented in Table 37-6. Table 37-6. Binary and Decimal Values for Fi FI field 0000 0001 0010 0011 0100 0101 0110 1001 1010 1011 1100 1101 Fi (decimal) 372 372 558 744 1116 1488 1860 512 768 1024 1536 2048 Table 37-7 shows the resulting Fi/Di ratio, which is the ratio between the ISO7816 clock and the baud rate clock. Table 37-7. Possible Values for the Fi/Di Ratio Fi/Di 372 558 744 1116 1488 1806 512 768 1024 1536 2048 1 372 558 744 1116 1488 1860 512 768 1024 1536 2048 2 186 279 372 558 744 930 256 384 512 768 1024 4 93 139.5 186 279 372 465 128 192 256 384 512 8 46.5 69.75 93 139.5 186 232.5 64 96 128 192 256 16 23.25 34.87 46.5 69.75 93 116.2 32 48 64 96 128 32 11.62 17.43 23.25 34.87 46.5 58.13 16 24 32 48 64 12 31 46.5 62 93 124 155 42.66 64 85.33 128 170.6 20 18.6 27.9 37.2 55.8 74.4 93 25.6 38.4 51.2 76.8 102.4 If the USART is configured in ISO7816 mode, the clock selected by the USCLKS field in US_MR is first divided by the value programmed in the field CD in the US_BRGR. The resulting clock can be provided to the SCK pin to feed the smart card clock inputs. This means that the CLKO bit can be set in US_MR. This clock is then divided by the value programmed in the FI_DI_RATIO field in the FI_DI_Ratio register (US_FIDI). This is performed by the Sampling Divider, which performs a division by up to 2047 in ISO7816 mode. The non-integer values of the Fi/Di Ratio are not supported and the user must program the FI_DI_RATIO field to a value as close as possible to the expected value. The FI_DI_RATIO field resets to the value 0x174 (372 in decimal) and is the most common divider between the ISO7816 clock and the bit rate (Fi = 372, Di = 1). SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 885 Figure 37-4 shows the relation between the Elementary Time Unit, corresponding to a bit time, and the ISO 7816 clock. Figure 37-4. Elementary Time Unit (ETU) FI_DI_RATIO ISO7816 Clock Cycles ISO7816 Clock on SCK ISO7816 I/O Line on TXD 1 ETU 37.6.2 Receiver and Transmitter Control After reset, the receiver is disabled. The user must enable the receiver by setting the RXEN bit in the Control register (US_CR). However, the receiver registers can be programmed before the receiver clock is enabled. After reset, the transmitter is disabled. The user must enable it by setting the TXEN bit in the US_CR. However, the transmitter registers can be programmed before being enabled. The receiver and the transmitter can be enabled together or independently. At any time, the software can perform a reset on the receiver or the transmitter of the USART by setting the corresponding bit, RSTRX and RSTTX respectively, in the US_CR. The software resets clear the status flag and reset internal state machines but the user interface configuration registers hold the value configured prior to software reset. Regardless of what the receiver or the transmitter is performing, the communication is immediately stopped. The user can also independently disable the receiver or the transmitter by setting RXDIS and TXDIS respectively in the US_CR. If the receiver is disabled during a character reception, the USART waits until the end of reception of the current character, then the reception is stopped. If the transmitter is disabled while it is operating, the USART waits the end of transmission of both the current character and character being stored in the Transmit Holding register (US_THR). If a timeguard is programmed, it is handled normally. 37.6.3 Synchronous and Asynchronous Modes 37.6.3.1 Transmitter Operations The transmitter performs the same in both Synchronous and Asynchronous operating modes (SYNC = 0 or SYNC = 1). One start bit, up to 9 data bits, one optional parity bit and up to two stop bits are successively shifted out on the TXD pin at each falling edge of the programmed serial clock. The number of data bits is selected by the CHRL field and the MODE 9 bit in US_MR. Nine bits are selected by setting the MODE 9 bit regardless of the CHRL field. The parity bit is set according to the PAR field in US_MR. The even, odd, space, marked or none parity bit can be configured. The MSBF field in the US_MR configures which data bit is sent first. If written to 1, the most significant bit is sent first. If written to 0, the less significant bit is sent first. The number of stop bits is selected by the NBSTOP field in the US_MR. The 1.5 stop bit is supported in Asynchronous mode only. 886 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 37-5. Character Transmit Example: 8-bit, Parity Enabled One Stop Baud Rate Clock TXD D0 Start Bit D1 D2 D3 D4 D5 D6 D7 Parity Bit Stop Bit The characters are sent by writing in the Transmit Holding register (US_THR). The transmitter reports two status bits in the Channel Status register (US_CSR): TXRDY (Transmitter Ready), which indicates that US_THR is empty and TXEMPTY, which indicates that all the characters written in US_THR have been processed. When the current character processing is completed, the last character written in US_THR is transferred into the Shift register of the transmitter and US_THR becomes empty, thus TXRDY rises. Both TXRDY and TXEMPTY bits are low when the transmitter is disabled. Writing a character in US_THR while TXRDY is low has no effect and the written character is lost. Figure 37-6. Transmitter Status Baud Rate Clock TXD Start D0 Bit D1 D2 D3 D4 D5 D6 D7 Parity Stop Start D0 Bit Bit Bit D1 D2 D3 D4 D5 D6 D7 Parity Stop Bit Bit Write US_THR TXRDY TXEMPTY 37.6.3.2 Manchester Encoder When the Manchester encoder is in use, characters transmitted through the USART are encoded based on biphase Manchester II format. To enable this mode, set the MAN bit in the US_MR to 1. Depending on polarity configuration, a logic level (zero or one), is transmitted as a coded signal one-to-zero or zero-to-one. Thus, a transition always occurs at the midpoint of each bit time. It consumes more bandwidth than the original NRZ signal (2x) but the receiver has more error control since the expected input must show a change at the center of a bit cell. An example of Manchester encoded sequence is: the byte 0xB1 or 10110001 encodes to 10 01 10 10 01 01 01 10, assuming the default polarity of the encoder. Figure 37-7 illustrates this coding scheme. Figure 37-7. NRZ to Manchester Encoding NRZ encoded data 1 0 1 1 0 0 0 1 Manchester encoded Txd data The Manchester encoded character can also be encapsulated by adding both a configurable preamble and a start frame delimiter pattern. Depending on the configuration, the preamble is a training sequence, composed of a SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 887 predefined pattern with a programmable length from 1 to 15 bit times. If the preamble length is set to 0, the preamble waveform is not generated prior to any character. The preamble pattern is chosen among the following sequences: ALL_ONE, ALL_ZERO, ONE_ZERO or ZERO_ONE, writing the field TX_PP in the US_MAN register, the field TX_PL is used to configure the preamble length. Figure 37-8 illustrates and defines the valid patterns. To improve flexibility, the encoding scheme can be configured using the TX_MPOL field in the US_MAN register. If the TX_MPOL field is set to zero (default), a logic zero is encoded with a zero-to-one transition and a logic one is encoded with a one-to-zero transition. If the TX_MPOL field is set to 1, a logic one is encoded with a one-to-zero transition and a logic zero is encoded with a zero-to-one transition. Figure 37-8. Preamble Patterns, Default Polarity Assumed Manchester encoded data Txd SFD DATA SFD DATA SFD DATA SFD DATA 8-bit width "ALL_ONE" Preamble Manchester encoded data Txd 8-bit width "ALL_ZERO" Preamble Manchester encoded data Txd 8-bit width "ZERO_ONE" Preamble Manchester encoded data Txd 8-bit width "ONE_ZERO" Preamble A start frame delimiter is to be configured using the ONEBIT bit in the US_MR. It consists of a user-defined pattern that indicates the beginning of a valid data. Figure 37-9 illustrates these patterns. If the start frame delimiter, also known as the start bit, is one bit, (ONEBIT = 1), a logic zero is Manchester encoded and indicates that a new character is being sent serially on the line. If the start frame delimiter is a synchronization pattern also referred to as sync (ONE BIT to 0), a sequence of three bit times is sent serially on the line to indicate the start of a new character. The sync waveform is in itself an invalid Manchester waveform as the transition occurs at the middle of the second bit time. Two distinct sync patterns are used: the command sync and the data sync. The command sync has a logic one level for one and a half bit times, then a transition to logic zero for the second one and a half bit times. If the MODSYNC bit in the US_MR is set to 1, the next character is a command. If it is set to 0, the next character is a data. When direct memory access is used, the MODSYNC field can be immediately updated with a modified character located in memory. To enable this mode, VAR_SYNC bit in US_MR must be set to 1. In this case, the MODSYNC bit in the US_MR is bypassed and the sync configuration is held in the TXSYNH in the US_THR. The USART character format is modified and includes sync information. 888 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 37-9. Start Frame Delimiter Preamble Length is set to 0 SFD Manchester encoded data DATA Txd One bit start frame delimiter SFD Manchester encoded data DATA Txd SFD Manchester encoded data Command Sync start frame delimiter DATA Txd Data Sync start frame delimiter Drift Compensation Drift compensation is available only in 16X Oversampling mode. An hardware recovery system allows a larger clock drift. To enable the hardware system, the bit in the USART_MAN register must be set. If the RXD edge is one 16X clock cycle from the expected edge, this is considered as normal jitter and no corrective actions is taken. If the RXD event is between 4 and 2 clock cycles before the expected edge, then the current period is shortened by one clock cycle. If the RXD event is between 2 and 3 clock cycles after the expected edge, then the current period is lengthened by one clock cycle. These intervals are considered to be drift and so corrective actions are automatically taken. Figure 37-10. Bit Resynchronization Oversampling 16x Clock RXD Sampling point Expected edge Synchro. Error 37.6.3.3 Synchro. Jump Tolerance Sync Jump Synchro. Error Asynchronous Receiver If the USART is programmed in Asynchronous operating mode (SYNC = 0), the receiver oversamples the RXD input line. The oversampling is either 16 or 8 times the baud rate clock, depending on the OVER bit in the US_MR. The receiver samples the RXD line. If the line is sampled during one half of a bit time to 0, a start bit is detected and data, parity and stop bits are successively sampled on the bit rate clock. If the oversampling is 16 (OVER = 0), a start is detected at the eighth sample to 0. Data bits, parity bit and stop bit are assumed to have a duration corresponding to 16 oversampling clock cycles. If the oversampling is 8 (OVER = 1), a start bit is detected at the fourth sample to 0. Data bits, parity bit and stop bit are assumed to have a duration corresponding to 8 oversampling clock cycles. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 889 The number of data bits, first bit sent and Parity mode are selected by the same fields and bits as the transmitter, i.e., respectively CHRL, MODE9, MSBF and PAR. For the synchronization mechanism only, the number of stop bits has no effect on the receiver as it considers only one stop bit, regardless of the field NBSTOP, so that resynchronization between the receiver and the transmitter can occur. Moreover, as soon as the stop bit is sampled, the receiver starts looking for a new start bit so that resynchronization can also be accomplished when the transmitter is operating with one stop bit. Figure 37-11 and Figure 37-12 illustrate start detection and character reception when USART operates in Asynchronous mode. Figure 37-11. Asynchronous Start Detection Baud Rate Clock Sampling Clock (x16) RXD Sampling 1 2 3 4 5 6 7 8 1 2 3 4 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 D0 Sampling Start Detection RXD Sampling 1 2 3 4 5 6 7 0 1 Start Rejection Figure 37-12. Asynchronous Character Reception Example: 8-bit, Parity Enabled Baud Rate Clock RXD Start Detection 16 16 16 16 16 16 16 16 16 16 samples samples samples samples samples samples samples samples samples samples D0 37.6.3.4 D1 D2 D3 D4 D5 D6 D7 Parity Bit Stop Bit Manchester Decoder When the MAN bit in the US_MR is set to 1, the Manchester decoder is enabled. The decoder performs both preamble and start frame delimiter detection. One input line is dedicated to Manchester encoded input data. An optional preamble sequence can be defined, its length is user-defined and totally independent of the emitter side. Use RX_PL in US_MAN register to configure the length of the preamble sequence. If the length is set to 0, no preamble is detected and the function is disabled. In addition, the polarity of the input stream is programmable with RX_MPOL bit in US_MAN register. Depending on the desired application the preamble pattern matching is to be defined via the RX_PP field in US_MAN. See Figure 37-8 for available preamble patterns. Unlike preamble, the start frame delimiter is shared between Manchester Encoder and Decoder. So, if ONEBIT field is set to 1, only a zero encoded Manchester can be detected as a valid start frame delimiter. If ONEBIT is set 890 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 to 0, only a sync pattern is detected as a valid start frame delimiter. Decoder operates by detecting transition on incoming stream. If RXD is sampled during one quarter of a bit time to zero, a start bit is detected. See Figure 3713. The sample pulse rejection mechanism applies. Figure 37-13. Asynchronous Start Bit Detection Sampling Clock (16 x) Manchester encoded data Txd Start Detection 1 2 3 4 The receiver is activated and starts preamble and frame delimiter detection, sampling the data at one quarter and then three quarters. If a valid preamble pattern or start frame delimiter is detected, the receiver continues decoding with the same synchronization. If the stream does not match a valid pattern or a valid start frame delimiter, the receiver resynchronizes on the next valid edge.The minimum time threshold to estimate the bit value is three quarters of a bit time. If a valid preamble (if used) followed with a valid start frame delimiter is detected, the incoming stream is decoded into NRZ data and passed to USART for processing. Figure 37-14 illustrates Manchester pattern mismatch. When incoming data stream is passed to the USART, the receiver is also able to detect Manchester code violation. A code violation is a lack of transition in the middle of a bit cell. In this case, the MANERR flag in the US_CSR is raised. It is cleared by writing a 1 to the RSTSTA in the US_CR. See Figure 37-15 for an example of Manchester error detection during data phase. Figure 37-14. Preamble Pattern Mismatch Preamble Mismatch Manchester coding error Manchester encoded data Preamble Mismatch invalid pattern SFD Txd DATA Preamble Length is set to 8 Figure 37-15. Manchester Error Flag Preamble Length is set to 4 Elementary character bit time SFD Manchester encoded data Txd Entering USART character area sampling points Preamble subpacket and Start Frame Delimiter were successfully decoded Manchester Coding Error detected SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 891 When the start frame delimiter is a sync pattern (ONEBIT field to 0), both command and data delimiter are supported. If a valid sync is detected, the received character is written as RXCHR field in the US_RHR and the RXSYNH is updated. RXCHR is set to 1 when the received character is a command, and it is set to 0 if the received character is a data. This mechanism alleviates and simplifies the direct memory access as the character contains its own sync field in the same register. As the decoder is setup to be used in Unipolar mode, the first bit of the frame has to be a zero-to-one transition. 37.6.3.5 Radio Interface: Manchester Encoded USART Application This section describes low data rate RF transmission systems and their integration with a Manchester encoded USART. These systems are based on transmitter and receiver ICs that support ASK and FSK modulation schemes. The goal is to perform full duplex radio transmission of characters using two different frequency carriers. See the configuration in Figure 37-16. Figure 37-16. Manchester Encoded Characters RF Transmission Fup frequency Carrier ASK/FSK Upstream Receiver Upstream Emitter LNA VCO RF filter Demod Serial Configuration Interface control Fdown frequency Carrier bi-dir line Manchester decoder USART Receiver Manchester encoder USART Emitter ASK/FSK downstream transmitter Downstream Receiver PA RF filter Mod VCO control The USART peripheral is configured as a Manchester encoder/decoder. Looking at the downstream communication channel, Manchester encoded characters are serially sent to the RF emitter. This may also include a user defined preamble and a start frame delimiter. Mostly, preamble is used in the RF receiver to distinguish between a valid data from a transmitter and signals due to noise. The Manchester stream is then modulated. See Figure 37-17 for an example of ASK modulation scheme. When a logic one is sent to the ASK modulator, the power amplifier, referred to as PA, is enabled and transmits an RF signal at downstream frequency. When a logic zero is transmitted, the RF signal is turned off. If the FSK modulator is activated, two different frequencies are used to transmit data. When a logic 1 is sent, the modulator outputs an RF signal at frequency F0 and switches to F1 if the data sent is a 0. See Figure 37-18. From the receiver side, another carrier frequency is used. The RF receiver performs a bit check operation examining demodulated data stream. If a valid pattern is detected, the receiver switches to Receiving mode. The demodulated stream is sent to the Manchester decoder. Because of bit checking inside RF IC, the data transferred to the microcontroller is reduced by a user-defined number of bits. The Manchester preamble length is to be defined in accordance with the RF IC configuration. 892 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 37-17. ASK Modulator Output 1 0 0 1 0 0 1 NRZ stream Manchester encoded data default polarity unipolar output Txd ASK Modulator Output Uptstream Frequency F0 Figure 37-18. FSK Modulator Output 1 NRZ stream Manchester encoded data default polarity unipolar output Txd FSK Modulator Output Uptstream Frequencies [F0, F0+offset] 37.6.3.6 Synchronous Receiver In Synchronous mode (SYNC = 1), the receiver samples the RXD signal on each rising edge of the baud rate clock. If a low level is detected, it is considered as a start. All data bits, the parity bit and the stop bits are sampled and the receiver waits for the next start bit. Synchronous mode operations provide a high-speed transfer capability. Configuration fields and bits are the same as in Asynchronous mode. Figure 37-19 illustrates a character reception in Synchronous mode. Figure 37-19. Synchronous Mode Character Reception Example: 8-bit, Parity Enabled 1 Stop Baud Rate Clock RXD Sampling Start D0 D1 D2 D3 D4 D5 D6 D7 Stop Bit Parity Bit 37.6.3.7 Receiver Operations When a character reception is completed, it is transferred to the Receive Holding register (US_RHR) and the RXRDY bit in US_CSR rises. If a character is completed while the RXRDY is set, the OVRE (Overrun Error) bit is set. The last character is transferred into US_RHR and overwrites the previous one. The OVRE bit is cleared by writing a 1 to the RSTSTA (Reset Status) bit in the US_CR. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 893 Figure 37-20. Receiver Status Baud Rate Clock RXD Start D0 Bit D1 D2 D3 D4 D5 D6 D7 Parity Stop Start D0 Bit Bit Bit D1 D2 D3 D4 D5 D6 D7 Parity Stop Bit Bit RSTSTA = 1 Write US_CR Read US_RHR RXRDY OVRE 37.6.3.8 Parity The USART supports five Parity modes that are selected by writing to the PAR field in the US_MR. The PAR field also enables the Multidrop mode, see Section 37.6.3.9 ”Multidrop Mode”. Even and odd parity bit generation and error detection are supported. If even parity is selected, the parity generator of the transmitter drives the parity bit to 0 if a number of 1s in the character data bit is even, and to 1 if the number of 1s is odd. Accordingly, the receiver parity checker counts the number of received 1s and reports a parity error if the sampled parity bit does not correspond. If odd parity is selected, the parity generator of the transmitter drives the parity bit to 1 if a number of 1s in the character data bit is even, and to 0 if the number of 1s is odd. Accordingly, the receiver parity checker counts the number of received 1s and reports a parity error if the sampled parity bit does not correspond. If the mark parity is used, the parity generator of the transmitter drives the parity bit to 1 for all characters. The receiver parity checker reports an error if the parity bit is sampled to 0. If the space parity is used, the parity generator of the transmitter drives the parity bit to 0 for all characters. The receiver parity checker reports an error if the parity bit is sampled to 1. If parity is disabled, the transmitter does not generate any parity bit and the receiver does not report any parity error. Table 37-8 shows an example of the parity bit for the character 0x41 (character ASCII “A”) depending on the configuration of the USART. Because there are two bits set to 1 in the character value, the parity bit is set to 1 when the parity is odd, or configured to 0 when the parity is even. Table 37-8. Parity Bit Examples Character Hexadecimal Binary Parity Bit Parity Mode A 0x41 0100 0001 1 Odd A 0x41 0100 0001 0 Even A 0x41 0100 0001 1 Mark A 0x41 0100 0001 0 Space A 0x41 0100 0001 None None When the receiver detects a parity error, it sets the PARE (Parity Error) bit in the US_CSR. The PARE bit can be cleared by writing a 1 to the RSTSTA bit the US_CR. Figure 37-21 illustrates the parity bit status setting and clearing. 894 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 37-21. Parity Error Baud Rate Clock RXD Start D0 Bit D1 D2 D3 D4 D5 D6 D7 Bad Stop Parity Bit Bit RSTSTA = 1 Write US_CR PARE Parity Error Detect Time Flags Report Time RXRDY 37.6.3.9 Multidrop Mode If the value 0x6 or 0x07 is written to the PAR field in the US_MR, the USART runs in Multidrop mode. This mode differentiates the data characters and the address characters. Data is transmitted with the parity bit at 0 and addresses are transmitted with the parity bit at 1. If the USART is configured in Multidrop mode, the receiver sets the PARE parity error bit when the parity bit is high and the transmitter is able to send a character with the parity bit high when a 1 is written to the SENTA bit in the US_CR. To handle parity error, the PARE bit is cleared when a 1 is written to the RSTSTA bit in the US_CR. The transmitter sends an address byte (parity bit set) when SENDA is written to in the US_CR. In this case, the next byte written to the US_THR is transmitted as an address. Any character written in the US_THR without having written the command SENDA is transmitted normally with the parity at 0. 37.6.3.10 Transmitter Timeguard The timeguard feature enables the USART interface with slow remote devices. The timeguard function enables the transmitter to insert an idle state on the TXD line between two characters. This idle state actually acts as a long stop bit. The duration of the idle state is programmed in the TG field of the Transmitter Timeguard register (US_TTGR). When this field is written to zero no timeguard is generated. Otherwise, the transmitter holds a high level on TXD after each transmitted byte during the number of bit periods programmed in TG in addition to the number of stop bits. As illustrated in Figure 37-22, the behavior of TXRDY and TXEMPTY status bits is modified by the programming of a timeguard. TXRDY rises only when the start bit of the next character is sent, and thus remains to 0 during the timeguard transmission if a character has been written in US_THR. TXEMPTY remains low until the timeguard transmission is completed as the timeguard is part of the current character being transmitted. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 895 Figure 37-22. Timeguard Operations TG = 4 TG = 4 Baud Rate Clock TXD Start D0 Bit D1 D2 D3 D4 D5 D6 D7 Parity Stop Bit Bit Start D0 Bit D1 D2 D3 D4 D5 D6 D7 Parity Stop Bit Bit Write US_THR TXRDY TXEMPTY Table 37-9 indicates the maximum length of a timeguard period that the transmitter can handle depending on the baud rate. Table 37-9. 37.6.3.11 Maximum Timeguard Length Depending on Baud Rate Baud Rate (bit/s) Bit Time (µs) Timeguard (ms) 1,200 833 212.50 9,600 104 26.56 14,400 69.4 17.71 19,200 52.1 13.28 28,800 34.7 8.85 38,400 26 6.63 56,000 17.9 4.55 57,600 17.4 4.43 115,200 8.7 2.21 Receiver Time-out The Receiver Time-out provides support in handling variable-length frames. This feature detects an idle condition on the RXD line. When a time-out is detected, the bit TIMEOUT in the US_CSR rises and can generate an interrupt, thus indicating to the driver an end of frame. The time-out delay period (during which the receiver waits for a new character) is programmed in the TO field of the Receiver Time-out register (US_RTOR). If the TO field is written to 0, the Receiver Time-out is disabled and no time-out is detected. The TIMEOUT bit in the US_CSR remains at 0. Otherwise, the receiver loads a 16-bit counter with the value programmed in TO. This counter is decremented at each bit period and reloaded each time a new character is received. If the counter reaches 0, the TIMEOUT bit in US_CSR rises. Then, the user can either: 896  Stop the counter clock until a new character is received. This is performed by writing a 1 to the STTTO (Start Time-out) bit in the US_CR. In this case, the idle state on RXD before a new character is received will not provide a time-out. This prevents having to handle an interrupt before a character is received and allows waiting for the next idle state on RXD after a frame is received.  Obtain an interrupt while no character is received. This is performed by writing a 1 to the RETTO (Reload and Start Time-out) bit in the US_CR. If RETTO is performed, the counter starts counting down immediately from the value TO. This enables generation of a periodic interrupt so that a user time-out can be handled, for example when no key is pressed on a keyboard. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 If STTTO is performed, the counter clock is stopped until a first character is received. The idle state on RXD before the start of the frame does not provide a time-out. This prevents having to obtain a periodic interrupt and enables a wait of the end of frame when the idle state on RXD is detected. If RETTO is performed, the counter starts counting down immediately from the value TO. This enables generation of a periodic interrupt so that a user time-out can be handled, for example when no key is pressed on a keyboard. Figure 37-23 shows the block diagram of the Receiver Time-out feature. Figure 37-23. Receiver Time-out Block Diagram TO Baud Rate Clock 1 D Clock Q 16-bit Time-out Counter 16-bit Value = STTTO Character Received RETTO Load Clear TIMEOUT 0 Table 37-10 gives the maximum time-out period for some standard baud rates. Table 37-10. 37.6.3.12 Maximum Time-out Period Baud Rate (bit/s) Bit Time (µs) Time-out (ms) 600 1,667 109,225 1,200 833 54,613 2,400 417 27,306 4,800 208 13,653 9,600 104 6,827 14,400 69 4,551 19,200 52 3,413 28,800 35 2,276 38,400 26 1,704 56,000 18 1,170 57,600 17 1,138 200,000 5 328 Framing Error The receiver is capable of detecting framing errors. A framing error happens when the stop bit of a received character is detected at level 0. This can occur if the receiver and the transmitter are fully desynchronized. A framing error is reported on the FRAME bit of US_CSR. The FRAME bit is asserted in the middle of the stop bit as soon as the framing error is detected. It is cleared by writing a 1 to the RSTSTA bit in the US_CR. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 897 Figure 37-24. Framing Error Status Baud Rate Clock RXD Start D0 Bit D1 D2 D3 D4 D5 D6 D7 Parity Stop Bit Bit RSTSTA = 1 Write US_CR FRAME RXRDY 37.6.3.13 Transmit Break The user can request the transmitter to generate a break condition on the TXD line. A break condition drives the TXD line low during at least one complete character. It appears the same as a 0x00 character sent with the parity and the stop bits at 0. However, the transmitter holds the TXD line at least during one character until the user requests the break condition to be removed. A break is transmitted by writing a 1 to the STTBRK bit in the US_CR. This can be performed at any time, either while the transmitter is empty (no character in either the Shift register or in US_THR) or when a character is being transmitted. If a break is requested while a character is being shifted out, the character is first completed before the TXD line is held low. Once STTBRK command is requested further STTBRK commands are ignored until the end of the break is completed. The break condition is removed by writing a 1 to the STPBRK bit in the US_CR. If the STPBRK is requested before the end of the minimum break duration (one character, including start, data, parity and stop bits), the transmitter ensures that the break condition completes. The transmitter considers the break as though it is a character, i.e., the STTBRK and STPBRK commands are processed only if the TXRDY bit in US_CSR is to 1 and the start of the break condition clears the TXRDY and TXEMPTY bits as if a character is processed. Writing US_CR with both STTBRK and STPBRK bits to 1 can lead to an unpredictable result. All STPBRK commands requested without a previous STTBRK command are ignored. A byte written into the Transmit Holding register while a break is pending, but not started, is ignored. After the break condition, the transmitter returns the TXD line to 1 for a minimum of 12 bit times. Thus, the transmitter ensures that the remote receiver detects correctly the end of break and the start of the next character. If the timeguard is programmed with a value higher than 12, the TXD line is held high for the timeguard period. After holding the TXD line for this period, the transmitter resumes normal operations. Figure 37-25 illustrates the effect of both the Start Break (STTBRK) and Stop Break (STPBRK) commands on the TXD line. 898 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 37-25. Break Transmission Baud Rate Clock TXD Start D0 Bit D1 D2 D3 D4 D5 D6 D7 Parity Stop Bit Bit STTBRK = 1 Break Transmission End of Break STPBRK = 1 Write US_CR TXRDY TXEMPTY 37.6.3.14 Receive Break The receiver detects a break condition when all data, parity and stop bits are low. This corresponds to detecting a framing error with data to 0x00, but FRAME remains low. When the low stop bit is detected, the receiver asserts the RXBRK bit in US_CSR. This bit may be cleared by writing a 1 to the RSTSTA bit in the US_CR. An end of receive break is detected by a high level for at least 2/16 of a bit period in Asynchronous operating mode or one sample at high level in Synchronous operating mode. The end of break detection also asserts the RXBRK bit. 37.6.3.15 Hardware Handshaking The USART features a hardware handshaking out-of-band flow control. The RTS and CTS pins are used to connect with the remote device, as shown in Figure 37-26. Figure 37-26. Connection with a Remote Device for Hardware Handshaking USART Remote Device TXD RXD RXD TXD CTS RTS RTS CTS Setting the USART to operate with hardware handshaking is performed by writing the USART_MODE field in US_MR to the value 0x2. When hardware handshaking is enabled, the USART displays similar behavior as in standard Synchronous or Asynchronous modes, with the difference that the receiver drives the RTS pin and the level on the CTS pin modifies the behavior of the transmitter, as shown in the figures below. Using this mode requires using the PDC channel for reception. The transmitter can handle hardware handshaking in any case. Figure 37-27 shows how the receiver operates if hardware handshaking is enabled. The RTS pin is driven high if the receiver is disabled or if the status RXBUFF (Receive Buffer Full) coming from the PDC channel is high. Normally, the remote device does not start transmitting while its CTS pin (driven by RTS) is high. As soon as the receiver is enabled, the RTS falls, indicating to the remote device that it can start transmitting. Defining a new buffer in the PDC clears the status bit RXBUFF and, as a result, asserts the pin RTS low. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 899 Figure 37-27. Receiver Behavior when Operating with Hardware Handshaking RXD RXEN = 1 RXDIS = 1 Write US_CR RTS RXBUFF Figure 37-28 shows how the transmitter operates if hardware handshaking is enabled. The CTS pin disables the transmitter. If a character is being processed, the transmitter is disabled only after the completion of the current character and transmission of the next character happens as soon as the pin CTS falls. Figure 37-28. Transmitter Behavior when Operating with Hardware Handshaking CTS TXD 37.6.4 ISO7816 Mode The USART features an ISO7816-compatible operating mode. This mode permits interfacing with smart cards and Security Access Modules (SAM) communicating through an ISO7816 link. Both T = 0 and T = 1 protocols defined by the ISO7816 specification are supported. Setting the USART in ISO7816 mode is performed by writing the USART_MODE field in US_MR to the value 0x4 for protocol T = 0 and to the value 0x5 for protocol T = 1. 37.6.4.1 ISO7816 Mode Overview The ISO7816 is a half duplex communication on only one bidirectional line. The baud rate is determined by a division of the clock provided to the remote device (see Section 37-2 ”Baud Rate Generator”). The USART connects to a smart card as shown in Figure 37-29. The TXD line becomes bidirectional and the baud rate generator feeds the ISO7816 clock on the SCK pin. As the TXD pin becomes bidirectional, its output remains driven by the output of the transmitter but only when the transmitter is active while its input is directed to the input of the receiver. The USART is considered as the master of the communication as it generates the clock. Figure 37-29. Connection of a Smart Card to the USART USART SCK TXD CLK I/O Smart Card When operating in ISO7816, either in T = 0 or T = 1 modes, the character format is fixed. The configuration is 8 data bits, even parity and 1 or 2 stop bits, regardless of the values programmed in the CHRL, MODE9, PAR and CHMODE fields. MSBF can be used to transmit LSB or MSB first. Parity Bit (PAR) can be used to transmit in Normal or Inverse mode. Refer to Section 37.7.3 ”USART Mode Register” and “PAR: Parity Type” . 900 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 The USART cannot operate concurrently in both Receiver and Transmitter modes as the communication is unidirectional at a time. It has to be configured according to the required mode by enabling or disabling either the receiver or the transmitter as desired. Enabling both the receiver and the transmitter at the same time in ISO7816 mode may lead to unpredictable results. The ISO7816 specification defines an inverse transmission format. Data bits of the character must be transmitted on the I/O line at their negative value. 37.6.4.2 Protocol T = 0 In T = 0 protocol, a character is made up of one start bit, eight data bits, one parity bit and one guard time, which lasts two bit times. The transmitter shifts out the bits and does not drive the I/O line during the guard time. If no parity error is detected, the I/O line remains at 1 during the guard time and the transmitter can continue with the transmission of the next character, as shown in Figure 37-30. If a parity error is detected by the receiver, it drives the I/O line to 0 during the guard time, as shown in Figure 3731. This error bit is also named NACK, for Non Acknowledge. In this case, the character lasts 1 bit time more, as the guard time length is the same and is added to the error bit time which lasts 1 bit time. When the USART is the receiver and it detects an error, it does not load the erroneous character in the Receive Holding register (US_RHR). It appropriately sets the PARE bit in the Status register (US_SR) so that the software can handle the error. Figure 37-30. T = 0 Protocol without Parity Error Baud Rate Clock RXD Start Bit D0 D1 D2 D3 D4 D5 D6 D7 Parity Guard Guard Next Bit Time 1 Time 2 Start Bit Figure 37-31. T = 0 Protocol with Parity Error Baud Rate Clock Error I/O Start Bit D0 D1 D2 D3 D4 D5 D6 D7 Parity Guard Bit Time 1 Guard Start Time 2 Bit D0 D1 Repetition Receive Error Counter The USART receiver also records the total number of errors. This can be read in the Number of Error (US_NER) register. The NB_ERRORS field can record up to 255 errors. Reading US_NER automatically clears the NB_ERRORS field. Receive NACK Inhibit The USART can also be configured to inhibit an error. This can be achieved by setting the INACK bit in US_MR. If INACK is to 1, no error signal is driven on the I/O line even if a parity bit is detected. Moreover, if INACK is set, the erroneous received character is stored in the Receive Holding register, as if no error occurred and the RXRDY bit does rise. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 901 Transmit Character Repetition When the USART is transmitting a character and gets a NACK, it can automatically repeat the character before moving on to the next one. Repetition is enabled by writing the MAX_ITERATION field in the US_MR at a value higher than 0. Each character can be transmitted up to eight times; the first transmission plus seven repetitions. If MAX_ITERATION does not equal zero, the USART repeats the character as many times as the value loaded in MAX_ITERATION. When the USART repetition number reaches MAX_ITERATION and the last repeated character is not acknowledged, the ITER bit is set in US_CSR. If the repetition of the character is acknowledged by the receiver, the repetitions are stopped and the iteration counter is cleared. The ITER bit in US_CSR can be cleared by writing a 1 to the RSTIT bit in the US_CR. Disable Successive Receive NACK The receiver can limit the number of successive NACKs sent back to the remote transmitter. This is programmed by setting the bit DSNACK in the US_MR. The maximum number of NACKs transmitted is programmed in the MAX_ITERATION field. As soon as MAX_ITERATION is reached, no error signal is driven on the I/O line and the ITER bit in the US_CSR is set. 37.6.4.3 Protocol T = 1 When operating in ISO7816 protocol T = 1, the transmission is similar to an asynchronous format with only one stop bit. The parity is generated when transmitting and checked when receiving. Parity error detection sets the PARE bit in the US_CSR. 37.6.5 IrDA Mode The USART features an IrDA mode supplying half-duplex point-to-point wireless communication. It embeds the modulator and demodulator which allows a glueless connection to the infrared transceivers, as shown in Figure 37-32. The modulator and demodulator are compliant with the IrDA specification version 1.1 and support data transfer speeds ranging from 2.4 kbit/s to 115.2 kbit/s. The IrDA mode is enabled by setting the USART_MODE field in US_MR to the value 0x8. The IrDA Filter register (US_IF) is used to configure the demodulator filter. The USART transmitter and receiver operate in a normal Asynchronous mode and all parameters are accessible. Note that the modulator and the demodulator are activated. Figure 37-32. Connection to IrDA Transceivers USART IrDA Transceivers Receiver Demodulator Transmitter Modulator RXD RX TX TXD The receiver and the transmitter must be enabled or disabled depending on the direction of the transmission to be managed. To receive IrDA signals, the following needs to be done: 902  Disable TX and Enable RX  Configure the TXD pin as PIO and set it as an output to 0 (to avoid LED emission). Disable the internal pullup (better for power consumption). SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16  37.6.5.1 Receive data IrDA Modulation For baud rates up to and including 115.2 kbit/s, the RZI modulation scheme is used. “0” is represented by a light pulse of 3/16th of a bit time. Some examples of signal pulse duration are shown in Table 37-11. Table 37-11. IrDA Pulse Duration Baud Rate Pulse Duration (3/16) 2.4 kbit/s 78.13 µs 9.6 kbit/s 19.53 µs 19.2 kbit/s 9.77 µs 38.4 kbit/s 4.88 µs 57.6 kbit/s 3.26 µs 115.2 kbit/s 1.63 µs Figure 37-33 shows an example of character transmission. Figure 37-33. IrDA Modulation Start Bit Transmitter Output 0 Stop Bit Data Bits 0 1 1 0 0 1 1 0 1 TXD Bit Period 37.6.5.2 3/16 Bit Period IrDA Baud Rate Table 37-12 gives some examples of CD values, baud rate error and pulse duration. Note that the requirement on the maximum acceptable error of ±1.87% must be met. Table 37-12. IrDA Baud Rate Error Peripheral Clock Baud Rate (bit/s) CD Baud Rate Error Pulse Time (µs) 3,686,400 115,200 2 0.00% 1.63 20,000,000 115,200 11 1.38% 1.63 32,768,000 115,200 18 1.25% 1.63 40,000,000 115,200 22 1.38% 1.63 3,686,400 57,600 4 0.00% 3.26 20,000,000 57,600 22 1.38% 3.26 32,768,000 57,600 36 1.25% 3.26 40,000,000 57,600 43 0.93% 3.26 3,686,400 38,400 6 0.00% 4.88 20,000,000 38,400 33 1.38% 4.88 32,768,000 38,400 53 0.63% 4.88 40,000,000 38,400 65 0.16% 4.88 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 903 Table 37-12. IrDA Baud Rate Error (Continued) Peripheral Clock 37.6.5.3 Baud Rate (bit/s) CD Baud Rate Error Pulse Time (µs) 3,686,400 19,200 12 0.00% 9.77 20,000,000 19,200 65 0.16% 9.77 32,768,000 19,200 107 0.31% 9.77 40,000,000 19,200 130 0.16% 9.77 3,686,400 9,600 24 0.00% 19.53 20,000,000 9,600 130 0.16% 19.53 32,768,000 9,600 213 0.16% 19.53 40,000,000 9,600 260 0.16% 19.53 3,686,400 2,400 96 0.00% 78.13 20,000,000 2,400 521 0.03% 78.13 32,768,000 2,400 853 0.04% 78.13 IrDA Demodulator The demodulator is based on the IrDA Receive filter comprised of an 8-bit down counter which is loaded with the value programmed in US_IF. When a falling edge is detected on the RXD pin, the Filter Counter starts counting down at the peripheral clock speed. If a rising edge is detected on the RXD pin, the counter stops and is reloaded with US_IF. If no rising edge is detected when the counter reaches 0, the input of the receiver is driven low during one bit time. Figure 37-34 illustrates the operations of the IrDA demodulator. Figure 37-34. IrDA Demodulator Operations MCK RXD Counter Value Receiver Input 6 5 4 3 Pulse Rejected 2 6 6 5 4 3 2 1 0 Pulse Accepted The programmed value in the US_IF register must always meet the following criteria: tperipheral clock × (IRDA_FILTER + 3) < 1.41 µs As the IrDA mode uses the same logic as the ISO7816, note that the FI_DI_RATIO field in US_FIDI must be set to a value higher than 0 in order to make sure IrDA communications operate correctly. 37.6.6 RS485 Mode The USART features the RS485 mode to enable line driver control. While operating in RS485 mode, the USART behaves as though in Asynchronous or Synchronous mode and configuration of all the parameters is possible. The difference is that the RTS pin is driven high when the transmitter is operating. The behavior of the RTS pin is controlled by the TXEMPTY bit. A typical connection of the USART to an RS485 bus is shown in Figure 37-35. 904 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 37-35. Typical Connection to a RS485 Bus USART RXD Differential Bus TXD RTS The USART is set in RS485 mode by writing the value 0x1 to the USART_MODE field in US_MR. The RTS pin is at a level inverse to the TXEMPTY bit. Significantly, the RTS pin remains high when a timeguard is programmed so that the line can remain driven after the last character completion. Figure 37-36 gives an example of the RTS waveform during a character transmission when the timeguard is enabled. Figure 37-36. Example of RTS Drive with Timeguard TG = 4 1 Baud Rate Clock TXD Start D0 Bit D1 D2 D3 D4 D5 D6 D7 Parity Stop Bit Bit RTS Write US_THR TXRDY TXEMPTY 37.6.7 Modem Mode The USART features Modem mode, which enables control of the signals: DTR (Data Terminal Ready), DSR (Data Set Ready), RTS (Request to Send), CTS (Clear to Send), DCD (Data Carrier Detect) and RI (Ring Indicator). While operating in Modem mode, the USART behaves as a DTE (Data Terminal Equipment) as it drives DTR and RTS and can detect level change on DSR, DCD, CTS and RI. Setting the USART in Modem mode is performed by writing the USART_MODE field in US_MR to the value 0x3. While operating in Modem mode, the USART behaves as though in Asynchronous mode and all the parameter configurations are available. Table 37-13 gives the correspondence of the USART signals with modem connection standards. Table 37-13. Circuit References USART Pin V24 CCITT Direction TXD 2 103 From terminal to modem RTS 4 105 From terminal to modem DTR 20 108.2 From terminal to modem SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 905 Table 37-13. Circuit References USART Pin V24 CCITT Direction RXD 3 104 From modem to terminal CTS 5 106 From terminal to modem DSR 6 107 From terminal to modem DCD 8 109 From terminal to modem RI 22 125 From terminal to modem The control of the DTR output pin is performed by writing a 1 to the DTRDIS and DTREN bits respectively in US_CR. The disable command forces the corresponding pin to its inactive level, i.e., high. The enable command forces the corresponding pin to its active level, i.e., low. The RTS output pin is automatically controlled in this mode. The level changes are detected on the RI, DSR, DCD and CTS pins. If an input change is detected, the RIIC, DSRIC, DCDIC and CTSIC bits in US_CSR are set respectively and can trigger an interrupt. The status is automatically cleared when US_CSR is read. Furthermore, the CTS automatically disables the transmitter when it is detected at its inactive state. If a character is being transmitted when the CTS rises, the character transmission is completed before the transmitter is actually disabled. 37.6.8 SPI Mode The Serial Peripheral Interface (SPI) mode is a synchronous serial data link that provides communication with external devices in Master or Slave mode. It also enables communication between processors if an external processor is connected to the system. The Serial Peripheral Interface is essentially a shift register that serially transmits data bits to other SPIs. During a data transfer, one SPI system acts as the “master” which controls the data flow, while the other devices act as “slaves'' which have data shifted into and out by the master. Different CPUs can take turns being masters and one master may simultaneously shift data into multiple slaves. (Multiple master protocol is the opposite of single master protocol, where one CPU is always the master while all of the others are always slaves.) However, only one slave may drive its output to write data back to the master at any given time. A slave device is selected when its NSS signal is asserted by the master. The USART in SPI Master mode can address only one SPI slave because it can generate only one NSS signal. The SPI system consists of two data lines and two control lines:  Master Out Slave In (MOSI): This data line supplies the output data from the master shifted into the input of the slave.  Master In Slave Out (MISO): This data line supplies the output data from a slave to the input of the master.  Serial Clock (SCK): This control line is driven by the master and regulates the flow of the data bits. The master may transmit data at a variety of baud rates. The SCK line cycles once for each bit that is transmitted.  Slave Select (NSS): This control line allows the master to select or deselect the slave. 37.6.8.1 Modes of Operation The USART can operate in SPI Master mode or in SPI Slave mode. Operation in SPI Master mode is programmed by writing 0xE to the USART_MODE field in US_MR. In this case the SPI lines must be connected as described below: 906  The MOSI line is driven by the output pin TXD  The MISO line drives the input pin RXD  The SCK line is driven by the output pin SCK SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16  The NSS line is driven by the output pin RTS Operation in SPI Slave mode is programmed by writing to 0xF the USART_MODE field in US_MR. In this case the SPI lines must be connected as described below:  The MOSI line drives the input pin RXD  The MISO line is driven by the output pin TXD  The SCK line drives the input pin SCK  The NSS line drives the input pin CTS In order to avoid unpredictable behavior, any change of the SPI mode must be followed by a software reset of the transmitter and of the receiver (except the initial configuration after a hardware reset). (See Section 37.6.8.4). 37.6.8.2 Baud Rate In SPI mode, the baud rate generator operates in the same way as in USART Synchronous mode. See Section 37.6.1.3 ”Baud Rate in Synchronous Mode or SPI Mode”. However, there are some restrictions: In SPI Master mode:  The external clock SCK must not be selected (USCLKS ≠ 0x3), and the bit CLKO must be set to 1 in the US_MR, in order to generate correctly the serial clock on the SCK pin.  To obtain correct behavior of the receiver and the transmitter, the value programmed in CD must be superior or equal to 6.  If the divided peripheral clock is selected, the value programmed in CD must be even to ensure a 50:50 mark/space ratio on the SCK pin, this value can be odd if the peripheral clock is selected. In SPI Slave mode: 37.6.8.3  The external clock (SCK) selection is forced regardless of the value of the USCLKS field in the US_MR. Likewise, the value written in US_BRGR has no effect, because the clock is provided directly by the signal on the USART SCK pin.  To obtain correct behavior of the receiver and the transmitter, the external clock (SCK) frequency must be at least 6 times lower than the system clock. Data Transfer Up to nine data bits are successively shifted out on the TXD pin at each rising or falling edge (depending of CPOL and CPHA) of the programmed serial clock. There is no Start bit, no Parity bit and no Stop bit. The number of data bits is selected by the CHRL field and the MODE 9 bit in the US_MR. The nine bits are selected by setting the MODE 9 bit regardless of the CHRL field. The MSB data bit is always sent first in SPI mode (Master or Slave). Four combinations of polarity and phase are available for data transfers. The clock polarity is programmed with the CPOL bit in the US_MR. The clock phase is programmed with the CPHA bit. These two parameters determine the edges of the clock signal upon which data is driven and sampled. Each of the two parameters has two possible states, resulting in four possible combinations that are incompatible with one another. Thus, a master/slave pair must use the same parameter pair values to communicate. If multiple slaves are used and fixed in different configurations, the master must reconfigure itself each time it needs to communicate with a different slave. Table 37-14. SPI Bus Protocol Mode SPI Bus Protocol Mode CPOL CPHA 0 0 1 1 0 0 2 1 1 3 1 0 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 907 Figure 37-37. SPI Transfer Format (CPHA = 1, 8 bits per transfer) SCK cycle (for reference) 1 2 3 4 6 5 7 8 SCK (CPOL = 0) SCK (CPOL = 1) MOSI SPI Master ->TXD SPI Slave -> RXD MISO SPI Master -> RXD SPI Slave -> TXD MSB MSB 6 5 4 3 2 1 LSB 6 5 4 3 2 1 LSB 7 8 NSS SPI Master -> RTS SPI Slave -> CTS Figure 37-38. SPI Transfer Format (CPHA = 0, 8 bits per transfer) SCK cycle (for reference) 1 2 3 4 5 6 SCK (CPOL = 0) SCK (CPOL = 1) MOSI SPI Master -> TXD SPI Slave -> RXD MSB 6 5 4 3 2 1 LSB MISO SPI Master -> RXD SPI Slave -> TXD MSB 6 5 4 3 2 1 LSB NSS SPI Master -> RTS SPI Slave -> CTS 37.6.8.4 Receiver and Transmitter Control See Section 37.6.2 ”Receiver and Transmitter Control” 37.6.8.5 Character Transmission The characters are sent by writing in the Transmit Holding register (US_THR). An additional condition for transmitting a character can be added when the USART is configured in SPI Master mode. In the USART Mode Register (SPI_MODE) (USART_MR), the value configured on the bit WRDBT can prevent any character 908 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 transmission (even if US_THR has been written) while the receiver side is not ready (character not read). When WRDBT equals 0, the character is transmitted whatever the receiver status. If WRDBT is set to 1, the transmitter waits for the Receive Holding register (US_RHR) to be read before transmitting the character (RXRDY flag cleared), thus preventing any overflow (character loss) on the receiver side. The chip select line is de-asserted for a period equivalent to three bits between the transmission of two data. The transmitter reports two status bits in US_CSR: TXRDY (Transmitter Ready), which indicates that US_THR is empty and TXEMPTY, which indicates that all the characters written in US_THR have been processed. When the current character processing is completed, the last character written in US_THR is transferred into the Shift register of the transmitter and US_THR becomes empty, thus TXRDY rises. Both TXRDY and TXEMPTY bits are low when the transmitter is disabled. Writing a character in US_THR while TXRDY is low has no effect and the written character is lost. If the USART is in SPI Slave mode and if a character must be sent while the US_THR is empty, the UNRE (Underrun Error) bit is set. The TXD transmission line stays at high level during all this time. The UNRE bit is cleared by writing a 1 to the RSTSTA (Reset Status) bit in US_CR. In SPI Master mode, the slave select line (NSS) is asserted at low level one tbit (tbit being the nominal time required to transmit a bit) before the transmission of the MSB bit and released at high level one tbit after the transmission of the LSB bit. So, the slave select line (NSS) is always released between each character transmission and a minimum delay of three tbit always inserted. However, in order to address slave devices supporting the CSAAT mode (Chip Select Active After Transfer), the slave select line (NSS) can be forced at low level by writing a 1 to the RCS bit in the US_CR. The slave select line (NSS) can be released at high level only by writing a 1 to the FCS bit in the US_CR (for example, when all data have been transferred to the slave device). In SPI Slave mode, the transmitter does not require a falling edge of the slave select line (NSS) to initiate a character transmission but only a low level. However, this low level must be present on the slave select line (NSS) at least one tbit before the first serial clock cycle corresponding to the MSB bit. 37.6.8.6 Character Reception When a character reception is completed, it is transferred to the Receive Holding register (US_RHR) and the RXRDY bit in the Status register (US_CSR) rises. If a character is completed while RXRDY is set, the OVRE (Overrun Error) bit is set. The last character is transferred into US_RHR and overwrites the previous one. The OVRE bit is cleared by writing a 1 to the RSTSTA (Reset Status) bit in the US_CR. To ensure correct behavior of the receiver in SPI Slave mode, the master device sending the frame must ensure a minimum delay of one tbit between each character transmission. The receiver does not require a falling edge of the slave select line (NSS) to initiate a character reception but only a low level. However, this low level must be present on the slave select line (NSS) at least one tbit before the first serial clock cycle corresponding to the MSB bit. 37.6.8.7 Receiver Timeout Because the receiver baud rate clock is active only during data transfers in SPI mode, a receiver timeout is impossible in this mode, whatever the time-out value is (field TO) in the US_RTOR. 37.6.9 Test Modes The USART can be programmed to operate in three different test modes. The internal loopback capability allows on-board diagnostics. In Loopback mode, the USART interface pins are disconnected or not and reconfigured for loopback internally or externally. 37.6.9.1 Normal Mode Normal mode connects the RXD pin on the receiver input and the transmitter output on the TXD pin. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 909 Figure 37-39. Normal Mode Configuration RXD Receiver TXD Transmitter 37.6.9.2 Automatic Echo Mode Automatic Echo mode allows bit-by-bit retransmission. When a bit is received on the RXD pin, it is sent to the TXD pin, as shown in Figure 37-40. Programming the transmitter has no effect on the TXD pin. The RXD pin is still connected to the receiver input, thus the receiver remains active. Figure 37-40. Automatic Echo Mode Configuration RXD Receiver TXD Transmitter 37.6.9.3 Local Loopback Mode Local Loopback mode connects the output of the transmitter directly to the input of the receiver, as shown in Figure 37-41. The TXD and RXD pins are not used. The RXD pin has no effect on the receiver and the TXD pin is continuously driven high, as in idle state. Figure 37-41. Local Loopback Mode Configuration RXD Receiver 1 Transmitter 37.6.9.4 TXD Remote Loopback Mode Remote Loopback mode directly connects the RXD pin to the TXD pin, as shown in Figure 37-42. The transmitter and the receiver are disabled and have no effect. This mode allows bit-by-bit retransmission. Figure 37-42. Remote Loopback Mode Configuration Receiver 1 RXD TXD Transmitter 910 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 37.6.10 Register Write Protection To prevent any single software error from corrupting USART behavior, certain registers in the address space can be write-protected by setting the WPEN bit in the USART Write Protection Mode Register (US_WPMR). If a write access to a write-protected register is detected, the WPVS flag in the USART Write Protection Status Register (US_WPSR) is set and the field WPVSRC indicates the register in which the write access has been attempted. The WPVS bit is automatically cleared after reading the US_WPSR. The following registers can be write-protected:  USART Mode Register  USART Baud Rate Generator Register  USART Receiver Time-out Register  USART Transmitter Timeguard Register  USART FI DI RATIO Register  USART IrDA Filter Register  USART Manchester Configuration Register SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 911 37.7 Universal Synchronous Asynchronous Receiver Transmitter (USART) User Interface Table 37-15. Register Mapping Offset Register Name Access Reset 0x0000 Control Register US_CR Write-only – 0x0004 Mode Register US_MR Read/Write 0x0 0x0008 Interrupt Enable Register US_IER Write-only – 0x000C Interrupt Disable Register US_IDR Write-only – 0x0010 Interrupt Mask Register US_IMR Read-only 0x0 0x0014 Channel Status Register US_CSR Read-only 0x0 0x0018 Receive Holding Register US_RHR Read-only 0x0 0x001C Transmit Holding Register US_THR Write-only – 0x0020 Baud Rate Generator Register US_BRGR Read/Write 0x0 0x0024 Receiver Time-out Register US_RTOR Read/Write 0x0 0x0028 Transmitter Timeguard Register US_TTGR Read/Write 0x0 Reserved – – – 0x0040 FI DI Ratio Register US_FIDI Read/Write 0x174 0x0044 Number of Errors Register US_NER Read-only 0x0 0x0048 Reserved – – – 0x004C IrDA Filter Register US_IF Read/Write 0x0 0x0050 Manchester Configuration Register US_MAN Read/Write 0x30011004 0x0054–0x005C Reserved – – – 0x0060–0x00E0 Reserved – – – 0x00E4 Write Protection Mode Register US_WPMR Read/Write 0x0 0x00E8 Write Protection Status Register US_WPSR Read-only 0x0 0x00EC–0x00FC Reserved – – – 0x0100–0x0128 Reserved for PDC Registers – – – 0x002C–0x003C 912 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 37.7.1 USART Control Register Name: US_CR Address: 0x400A0000 (0), 0x400A4000 (1) Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 RTSDIS 18 RTSEN 17 DTRDIS 16 DTREN 15 RETTO 14 RSTNACK 13 RSTIT 12 SENDA 11 STTTO 10 STPBRK 9 STTBRK 8 RSTSTA 7 TXDIS 6 TXEN 5 RXDIS 4 RXEN 3 RSTTX 2 RSTRX 1 – 0 – For SPI control, see Section 37.7.2 ”USART Control Register (SPI_MODE)”. • RSTRX: Reset Receiver 0: No effect. 1: Resets the receiver. • RSTTX: Reset Transmitter 0: No effect. 1: Resets the transmitter. • RXEN: Receiver Enable 0: No effect. 1: Enables the receiver, if RXDIS is 0. • RXDIS: Receiver Disable 0: No effect. 1: Disables the receiver. • TXEN: Transmitter Enable 0: No effect. 1: Enables the transmitter if TXDIS is 0. • TXDIS: Transmitter Disable 0: No effect. 1: Disables the transmitter. • RSTSTA: Reset Status Bits 0: No effect. 1: Resets the status bits PARE, FRAME, OVRE, MANERR and RXBRK in US_CSR. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 913 • STTBRK: Start Break 0: No effect. 1: Starts transmission of a break after the characters present in US_THR and the Transmit Shift Register have been transmitted. No effect if a break is already being transmitted. • STPBRK: Stop Break 0: No effect. 1: Stops transmission of the break after a minimum of one character length and transmits a high level during 12-bit periods. No effect if no break is being transmitted. • STTTO: Clear TIMEOUT Flag and Start Time-out After Next Character Received 0: No effect. 1: Starts waiting for a character before enabling the time-out counter. Immediately disables a time-out period in progress. Resets the status bit TIMEOUT in US_CSR. • SENDA: Send Address 0: No effect. 1: In Multidrop mode only, the next character written to the US_THR is sent with the address bit set. • RSTIT: Reset Iterations 0: No effect. 1: Resets ITER in US_CSR. No effect if the ISO7816 is not enabled. • RSTNACK: Reset Non Acknowledge 0: No effect 1: Resets NACK in US_CSR. • RETTO: Start Time-out Immediately 0: No effect 1: Immediately restarts time-out period. • DTREN: Data Terminal Ready Enable 0: No effect. 1: Drives the pin DTR to 0. • DTRDIS: Data Terminal Ready Disable 0: No effect. 1: Drives the pin DTR to 1. • RTSEN: Request to Send Pin Control 0: No effect. 1: Drives RTS pin to 0 if US_MR.USART_MODE field = 0. • RTSDIS: Request to Send Pin Control 0: No effect. 1: Drives RTS pin to 1 if US_MR.USART_MODE field = 0. 914 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 37.7.2 USART Control Register (SPI_MODE) Name: US_CR (SPI_MODE) Address: 0x400A0000 (0), 0x400A4000 (1) Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 RCS 18 FCS 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 RSTSTA 7 TXDIS 6 TXEN 5 RXDIS 4 RXEN 3 RSTTX 2 RSTRX 1 – 0 – This configuration is relevant only if USART_MODE = 0xE or 0xF in the USART Mode Register. • RSTRX: Reset Receiver 0: No effect. 1: Resets the receiver. • RSTTX: Reset Transmitter 0: No effect. 1: Resets the transmitter. • RXEN: Receiver Enable 0: No effect. 1: Enables the receiver, if RXDIS is 0. • RXDIS: Receiver Disable 0: No effect. 1: Disables the receiver. • TXEN: Transmitter Enable 0: No effect. 1: Enables the transmitter if TXDIS is 0. • TXDIS: Transmitter Disable 0: No effect. 1: Disables the transmitter. • RSTSTA: Reset Status Bits 0: No effect. 1: Resets the status bits OVRE, UNRE in US_CSR. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 915 • FCS: Force SPI Chip Select Applicable if USART operates in SPI Master mode (USART_MODE = 0xE): 0: No effect. 1: Forces the Slave Select Line NSS (RTS pin) to 0, even if USART is not transmitting, in order to address SPI slave devices supporting the CSAAT mode (Chip Select Active After Transfer). • RCS: Release SPI Chip Select Applicable if USART operates in SPI Master mode (USART_MODE = 0xE): 0: No effect. 1: Releases the Slave Select Line NSS (RTS pin). 916 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 37.7.3 USART Mode Register Name: US_MR Address: 0x400A0004 (0), 0x400A4004 (1) Access: Read/Write 31 ONEBIT 30 MODSYNC 29 MAN 28 FILTER 27 – 26 25 MAX_ITERATION 24 23 INVDATA 22 VAR_SYNC 21 DSNACK 20 INACK 19 OVER 18 CLKO 17 MODE9 16 MSBF 15 14 13 12 11 10 PAR 9 8 SYNC 4 3 2 1 0 CHMODE 7 NBSTOP 6 5 CHRL USCLKS USART_MODE This register can only be written if the WPEN bit is cleared in the USART Write Protection Mode Register. For SPI configuration, see Section 37.7.4 ”USART Mode Register (SPI_MODE)”. • USART_MODE: USART Mode of Operation Value Name Description 0x0 NORMAL Normal mode 0x1 RS485 RS485 0x2 HW_HANDSHAKING Hardware Handshaking 0x3 MODEM Modem 0x4 IS07816_T_0 IS07816 Protocol: T = 0 0x6 IS07816_T_1 IS07816 Protocol: T = 1 0x8 IRDA IrDA 0xE SPI_MASTER SPI master mode (CLKO must be written to 1 and USCLKS = 0, 1 or 2) 0xF SPI_SLAVE SPI Slave mode The PDC transfers are supported in all USART modes of operation. • USCLKS: Clock Selection Value Name Description 0 MCK Peripheral clock is selected 1 DIV Peripheral clock divided (DIV=8) is selected 2 — Reserved 3 SCK Serial clock (SCK) is selected SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 917 • CHRL: Character Length Value Name Description 0 5_BIT Character length is 5 bits 1 6_BIT Character length is 6 bits 2 7_BIT Character length is 7 bits 3 8_BIT Character length is 8 bits • SYNC: Synchronous Mode Select 0: USART operates in Asynchronous mode. 1: USART operates in Synchronous mode. • PAR: Parity Type Value Name Description 0 EVEN Even parity 1 ODD Odd parity 2 SPACE Parity forced to 0 (Space) 3 MARK Parity forced to 1 (Mark) 4 NO No parity 6 MULTIDROP Multidrop mode • NBSTOP: Number of Stop Bits Value Name Description 0 1_BIT 1 stop bit 1 1_5_BIT 1.5 stop bit (SYNC = 0) or reserved (SYNC = 1) 2 2_BIT 2 stop bits • CHMODE: Channel Mode Value Name Description 0 NORMAL Normal mode 1 AUTOMATIC Automatic Echo. Receiver input is connected to the TXD pin. 2 LOCAL_LOOPBACK Local Loopback. Transmitter output is connected to the Receiver Input. 3 REMOTE_LOOPBACK Remote Loopback. RXD pin is internally connected to the TXD pin. • MSBF: Bit Order 0: Least significant bit is sent/received first. 1: Most significant bit is sent/received first. • MODE9: 9-bit Character Length 0: CHRL defines character length 1: 9-bit character length 918 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 • CLKO: Clock Output Select 0: The USART does not drive the SCK pin. 1: The USART drives the SCK pin if USCLKS does not select the external clock SCK. • OVER: Oversampling Mode 0: 16 × Oversampling 1: 8 × Oversampling • INACK: Inhibit Non Acknowledge 0: The NACK is generated. 1: The NACK is not generated. • DSNACK: Disable Successive NACK 0: NACK is sent on the ISO line as soon as a parity error occurs in the received character (unless INACK is set). 1: Successive parity errors are counted up to the value specified in the MAX_ITERATION field. These parity errors generate a NACK on the ISO line. As soon as this value is reached, no additional NACK is sent on the ISO line. The flag ITER is asserted. Note: MAX_ITERATION field must be set to 0 if DSNACK is cleared. • INVDATA: Inverted Data 0: The data field transmitted on TXD line is the same as the one written in US_THR or the content read in US_RHR is the same as RXD line. Normal mode of operation. 1: The data field transmitted on TXD line is inverted (voltage polarity only) compared to the value written on US_THR or the content read in US_RHR is inverted compared to what is received on RXD line (or ISO7816 IO line). Inverted mode of operation, useful for contactless card application. To be used with configuration bit MSBF. • VAR_SYNC: Variable Synchronization of Command/Data Sync Start Frame Delimiter 0: User defined configuration of command or data sync field depending on MODSYNC value. 1: The sync field is updated when a character is written into US_THR. • MAX_ITERATION: Maximum Number of Automatic Iteration 0–7: Defines the maximum number of iterations in mode ISO7816, protocol T = 0. • FILTER: Receive Line Filter 0: The USART does not filter the receive line. 1: The USART filters the receive line using a three-sample filter (1/16-bit clock) (2 over 3 majority). • MAN: Manchester Encoder/Decoder Enable 0: Manchester encoder/decoder are disabled. 1: Manchester encoder/decoder are enabled. • MODSYNC: Manchester Synchronization Mode 0:The Manchester start bit is a 0 to 1 transition 1: The Manchester start bit is a 1 to 0 transition. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 919 • ONEBIT: Start Frame Delimiter Selector 0: Start frame delimiter is COMMAND or DATA SYNC. 1: Start frame delimiter is one bit. 920 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 37.7.4 USART Mode Register (SPI_MODE) Name: US_MR (SPI_MODE) Address: 0x400A0004 (0), 0x400A4004 (1) Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 WRDBT 19 – 18 CLKO 17 – 16 CPOL 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 CPHA 6 5 4 3 2 1 0 7 CHRL USCLKS USART_MODE This configuration is relevant only if USART_MODE = 0xE or 0xF in the USART Mode Register. This register can only be written if the WPEN bit is cleared in the USART Write Protection Mode Register. • USART_MODE: USART Mode of Operation Value Name Description 0xE SPI_MASTER SPI master 0xF SPI_SLAVE SPI Slave • USCLKS: Clock Selection Value Name Description 0 MCK Peripheral clock is selected 1 DIV Peripheral clock divided (DIV=8) is selected 3 SCK Serial Clock SLK is selected • CHRL: Character Length Value Name Description 3 8_BIT Character length is 8 bits • CPHA: SPI Clock Phase – Applicable if USART operates in SPI mode (USART_MODE = 0xE or 0xF): 0: Data is changed on the leading edge of SPCK and captured on the following edge of SPCK. 1: Data is captured on the leading edge of SPCK and changed on the following edge of SPCK. CPHA determines which edge of SPCK causes data to change and which edge causes data to be captured. CPHA is used with CPOL to produce the required clock/data relationship between master and slave devices. • CPOL: SPI Clock Polarity Applicable if USART operates in SPI mode (Slave or Master, USART_MODE = 0xE or 0xF): 0: The inactive state value of SPCK is logic level zero. 1: The inactive state value of SPCK is logic level one. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 921 CPOL is used to determine the inactive state value of the serial clock (SPCK). It is used with CPHA to produce the required clock/data relationship between master and slave devices. • CLKO: Clock Output Select 0: The USART does not drive the SCK pin. 1: The USART drives the SCK pin if USCLKS does not select the external clock SCK. • WRDBT: Wait Read Data Before Transfer 0: The character transmission starts as soon as a character is written into US_THR (assuming TXRDY was set). 1: The character transmission starts when a character is written and only if RXRDY flag is cleared (Receive Holding Register has been read). 922 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 37.7.5 USART Interrupt Enable Register Name: US_IER Address: 0x400A0008 (0), 0x400A4008 (1) Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 MANE 23 – 22 – 21 – 20 – 19 CTSIC 18 DCDIC 17 DSRIC 16 RIIC 15 – 14 – 13 NACK 12 RXBUFF 11 TXBUFE 10 ITER 9 TXEMPTY 8 TIMEOUT 7 PARE 6 FRAME 5 OVRE 4 ENDTX 3 ENDRX 2 RXBRK 1 TXRDY 0 RXRDY For SPI specific configuration, see Section 37.7.6 ”USART Interrupt Enable Register (SPI_MODE)”. The following configuration values are valid for all listed bit names of this register: 0: No effect 1: Enables the corresponding interrupt. • RXRDY: RXRDY Interrupt Enable • TXRDY: TXRDY Interrupt Enable • RXBRK: Receiver Break Interrupt Enable • ENDRX: End of Receive Buffer Interrupt Enable (available in all USART modes of operation) • ENDTX: End of Transmit Buffer Interrupt Enable (available in all USART modes of operation) • OVRE: Overrun Error Interrupt Enable • FRAME: Framing Error Interrupt Enable • PARE: Parity Error Interrupt Enable • TIMEOUT: Time-out Interrupt Enable • TXEMPTY: TXEMPTY Interrupt Enable • ITER: Max number of Repetitions Reached Interrupt Enable • TXBUFE: Transmit Buffer Empty Interrupt Enable (available in all USART modes of operation) • RXBUFF: Receive Buffer Full Interrupt Enable (available in all USART modes of operation) • NACK: Non Acknowledge Interrupt Enable • RIIC: Ring Indicator Input Change Enable SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 923 • DSRIC: Data Set Ready Input Change Enable • DCDIC: Data Carrier Detect Input Change Interrupt Enable • CTSIC: Clear to Send Input Change Interrupt Enable • MANE: Manchester Error Interrupt Enable 924 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 37.7.6 USART Interrupt Enable Register (SPI_MODE) Name: US_IER (SPI_MODE) Address: 0x400A0008 (0), 0x400A4008 (1) Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 NSSE 18 – 17 – 16 – 15 – 14 – 13 – 12 RXBUFF 11 TXBUFE 10 UNRE 9 TXEMPTY 8 – 7 – 6 – 5 OVRE 4 ENDTX 3 ENDRX 2 – 1 TXRDY 0 RXRDY This configuration is relevant only if USART_MODE = 0xE or 0xF in the USART Mode Register. The following configuration values are valid for all listed bit names of this register: 0: No effect 1: Enables the corresponding interrupt. • RXRDY: RXRDY Interrupt Enable • TXRDY: TXRDY Interrupt Enable • ENDRX: End of Receive Buffer Interrupt Enable • ENDTX: End of Transmit Buffer Interrupt Enable • OVRE: Overrun Error Interrupt Enable • TXEMPTY: TXEMPTY Interrupt Enable • UNRE: SPI Underrun Error Interrupt Enable • TXBUFE: Transmit Buffer Empty Interrupt Enable • RXBUFF: Receive Buffer Full Interrupt Enable • NSSE: NSS Line (Driving CTS Pin) Rising or Falling Edge Event Interrupt Enable SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 925 37.7.7 USART Interrupt Disable Register Name: US_IDR Address: 0x400A000C (0), 0x400A400C (1) Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 MANE 23 – 22 – 21 – 20 – 19 CTSIC 18 DCDIC 17 DSRIC 16 RIIC 15 – 14 – 13 NACK 12 RXBUFF 11 TXBUFE 10 ITER 9 TXEMPTY 8 TIMEOUT 7 PARE 6 FRAME 5 OVRE 4 ENDTX 3 ENDRX 2 RXBRK 1 TXRDY 0 RXRDY For SPI specific configuration, see Section 37.7.8 ”USART Interrupt Disable Register (SPI_MODE)”. The following configuration values are valid for all listed bit names of this register: 0: No effect 1: Disables the corresponding interrupt. • RXRDY: RXRDY Interrupt Disable • TXRDY: TXRDY Interrupt Disable • RXBRK: Receiver Break Interrupt Disable • ENDRX: End of Receive Buffer Transfer Interrupt Disable (available in all USART modes of operation) • ENDTX: End of Transmit Buffer Interrupt Disable (available in all USART modes of operation) • OVRE: Overrun Error Interrupt Enable • FRAME: Framing Error Interrupt Disable • PARE: Parity Error Interrupt Disable • TIMEOUT: Time-out Interrupt Disable • TXEMPTY: TXEMPTY Interrupt Disable • ITER: Max Number of Repetitions Reached Interrupt Disable • TXBUFE: Transmit Buffer Empty Interrupt Disable (available in all USART modes of operation) • RXBUFF: Receive Buffer Full Interrupt Disable (available in all USART modes of operation) • NACK: Non Acknowledge Interrupt Disable • RIIC: Ring Indicator Input Change Disable 926 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 • DSRIC: Data Set Ready Input Change Disable • DCDIC: Data Carrier Detect Input Change Interrupt Disable • CTSIC: Clear to Send Input Change Interrupt Disable • MANE: Manchester Error Interrupt Disable SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 927 37.7.8 USART Interrupt Disable Register (SPI_MODE) Name: US_IDR (SPI_MODE) Address: 0x400A000C (0), 0x400A400C (1) Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 NSSE 18 – 17 – 16 – 15 – 14 – 13 – 12 RXBUFF 11 TXBUFE 10 UNRE 9 TXEMPTY 8 – 7 – 6 – 5 OVRE 4 ENDTX 3 ENDRX 2 – 1 TXRDY 0 RXRDY This configuration is relevant only if USART_MODE = 0xE or 0xF in the USART Mode Register. The following configuration values are valid for all listed bit names of this register: 0: No effect 1: Disables the corresponding interrupt. • RXRDY: RXRDY Interrupt Disable • TXRDY: TXRDY Interrupt Disable • ENDRX: End of Receive Buffer Transfer Interrupt Disable • ENDTX: End of Transmit Buffer Interrupt Disable • OVRE: Overrun Error Interrupt Disable • TXEMPTY: TXEMPTY Interrupt Disable • UNRE: SPI Underrun Error Interrupt Disable • TXBUFE: Transmit Buffer Empty Interrupt Disable • RXBUFF: Receive Buffer Full Interrupt Disable • NSSE: NSS Line (Driving CTS Pin) Rising or Falling Edge Event Interrupt Disable 928 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 37.7.9 USART Interrupt Mask Register Name: US_IMR Address: 0x400A0010 (0), 0x400A4010 (1) Access: Read-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 MANE 23 – 22 – 21 – 20 – 19 CTSIC 18 DCDIC 17 DSRIC 16 RIIC 15 – 14 – 13 NACK 12 RXBUFF 11 TXBUFE 10 ITER 9 TXEMPTY 8 TIMEOUT 7 PARE 6 FRAME 5 OVRE 4 ENDTX 3 ENDRX 2 RXBRK 1 TXRDY 0 RXRDY For SPI specific configuration, see Section 37.7.10 ”USART Interrupt Mask Register (SPI_MODE)”. The following configuration values are valid for all listed bit names of this register: 0: The corresponding interrupt is not enabled. 1: The corresponding interrupt is enabled. • RXRDY: RXRDY Interrupt Mask • TXRDY: TXRDY Interrupt Mask • RXBRK: Receiver Break Interrupt Mask • ENDRX: End of Receive Buffer Interrupt Mask (available in all USART modes of operation) • ENDTX: End of Transmit Buffer Interrupt Mask (available in all USART modes of operation) • OVRE: Overrun Error Interrupt Mask • FRAME: Framing Error Interrupt Mask • PARE: Parity Error Interrupt Mask • TIMEOUT: Time-out Interrupt Mask • TXEMPTY: TXEMPTY Interrupt Mask • ITER: Max Number of Repetitions Reached Interrupt Mask • TXBUFE: Transmit Buffer Empty Interrupt Mask (available in all USART modes of operation) • RXBUFF: Receive Buffer Full Interrupt Mask (available in all USART modes of operation) • NACK: Non Acknowledge Interrupt Mask • RIIC: Ring Indicator Input Change Mask SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 929 • DSRIC: Data Set Ready Input Change Mask • DCDIC: Data Carrier Detect Input Change Interrupt Mask • CTSIC: Clear to Send Input Change Interrupt Mask • MANE: Manchester Error Interrupt Mask 930 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 37.7.10 USART Interrupt Mask Register (SPI_MODE) Name: US_IMR (SPI_MODE) Address: 0x400A0010 (0), 0x400A4010 (1) Access: Read-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 NSSE 18 – 17 – 16 – 15 – 14 – 13 – 12 RXBUFF 11 TXBUFE 10 UNRE 9 TXEMPTY 8 – 7 – 6 – 5 OVRE 4 ENDTX 3 ENDRX 2 – 1 TXRDY 0 RXRDY This configuration is relevant only if USART_MODE = 0xE or 0xF in the USART Mode Register. The following configuration values are valid for all listed bit names of this register: 0: The corresponding interrupt is not enabled. 1: The corresponding interrupt is enabled. • RXRDY: RXRDY Interrupt Mask • TXRDY: TXRDY Interrupt Mask • ENDRX: End of Receive Buffer Interrupt Mask • ENDTX: End of Transmit Buffer Interrupt Mask • OVRE: Overrun Error Interrupt Mask • TXEMPTY: TXEMPTY Interrupt Mask • UNRE: SPI Underrun Error Interrupt Mask • TXBUFE: Transmit Buffer Empty Interrupt Mask • RXBUFF: Receive Buffer Full Interrupt Mask • NSSE: NSS Line (Driving CTS Pin) Rising or Falling Edge Event Interrupt Mask SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 931 37.7.11 USART Channel Status Register Name: US_CSR Address: 0x400A0014 (0), 0x400A4014 (1) Access: Read-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 MANERR 23 CTS 22 DCD 21 DSR 20 RI 19 CTSIC 18 DCDIC 17 DSRIC 16 RIIC 15 – 14 – 13 NACK 12 RXBUFF 11 TXBUFE 10 ITER 9 TXEMPTY 8 TIMEOUT 7 PARE 6 FRAME 5 OVRE 4 ENDTX 3 ENDRX 2 RXBRK 1 TXRDY 0 RXRDY For SPI specific configuration, see Section 37.7.12 ”USART Channel Status Register (SPI_MODE)”. • RXRDY: Receiver Ready (cleared by reading US_RHR) 0: No complete character has been received since the last read of US_RHR or the receiver is disabled. If characters were being received when the receiver was disabled, RXRDY changes to 1 when the receiver is enabled. 1: At least one complete character has been received and US_RHR has not yet been read. • TXRDY: Transmitter Ready (cleared by writing US_THR) 0: A character is in the US_THR waiting to be transferred to the Transmit Shift Register, or an STTBRK command has been requested, or the transmitter is disabled. As soon as the transmitter is enabled, TXRDY becomes 1. 1: There is no character in the US_THR. • RXBRK: Break Received/End of Break (cleared by writing a one to bit US_CR.RSTSTA) 0: No break received or end of break detected since the last RSTSTA. 1: Break received or end of break detected since the last RSTSTA. • ENDRX: End of RX Buffer (cleared by writing US_RCR or US_RNCR) 0: The Receive Counter Register has not reached 0 since the last write in US_RCR or US_RNCR(1). 1: The Receive Counter Register has reached 0 since the last write in US_RCR or US_RNCR(1). • ENDTX: End of TX Buffer (cleared by writing US_TCR or US_TNCR) 0: The Transmit Counter Register has not reached 0 since the last write in US_TCR or US_TNCR(1). 1: The Transmit Counter Register has reached 0 since the last write in US_TCR or US_TNCR(1). • OVRE: Overrun Error (cleared by writing a one to bit US_CR.RSTSTA) 0: No overrun error has occurred since the last RSTSTA. 1: At least one overrun error has occurred since the last RSTSTA. 932 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 • FRAME: Framing Error (cleared by writing a one to bit US_CR.RSTSTA) 0: No stop bit has been detected low since the last RSTSTA. 1: At least one stop bit has been detected low since the last RSTSTA. • PARE: Parity Error (cleared by writing a one to bit US_CR.RSTSTA) 0: No parity error has been detected since the last RSTSTA. 1: At least one parity error has been detected since the last RSTSTA. • TIMEOUT: Receiver Time-out (cleared by writing a one to bit US_CR.STTTO) 0: There has not been a time-out since the last Start Time-out command (STTTO in US_CR) or the Time-out Register is 0. 1: There has been a time-out since the last Start Time-out command (STTTO in US_CR). • TXEMPTY: Transmitter Empty (cleared by writing US_THR) 0: There are characters in either US_THR or the Transmit Shift Register, or the transmitter is disabled. 1: There are no characters in US_THR, nor in the Transmit Shift Register. • ITER: Max Number of Repetitions Reached (cleared by writing a one to bit US_CR.RSTIT) 0: Maximum number of repetitions has not been reached since the last RSTIT. 1: Maximum number of repetitions has been reached since the last RSTIT. • TXBUFE: TX Buffer Empty (cleared by writing US_TCR or US_TNCR) 0: US_TCR or US_TNCR have a value other than 0(1). 1: Both US_TCR and US_TNCR have a value of 0(1). • RXBUFF: RX Buffer Full (cleared by writing US_RCR or US_RNCR) 0: US_RCR or US_RNCR have a value other than 0(1). 1: Both US_RCR and US_RNCR have a value of 0(1). Note: 1. US_RCR, US_RNCR, US_TCR and US_TNCR are PDC registers. • NACK: Non Acknowledge Interrupt (cleared by writing a one to bit US_CR.RSTNACK) 0: Non acknowledge has not been detected since the last RSTNACK. 1: At least one non acknowledge has been detected since the last RSTNACK. • RIIC: Ring Indicator Input Change Flag (cleared on read) 0: No input change has been detected on the RI pin since the last read of US_CSR. 1: At least one input change has been detected on the RI pin since the last read of US_CSR. • DSRIC: Data Set Ready Input Change Flag (cleared on read) 0: No input change has been detected on the DSR pin since the last read of US_CSR. 1: At least one input change has been detected on the DSR pin since the last read of US_CSR. • DCDIC: Data Carrier Detect Input Change Flag (cleared on read) 0: No input change has been detected on the DCD pin since the last read of US_CSR. 1: At least one input change has been detected on the DCD pin since the last read of US_CSR. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 933 • CTSIC: Clear to Send Input Change Flag (cleared on read) 0: No input change has been detected on the CTS pin since the last read of US_CSR. 1: At least one input change has been detected on the CTS pin since the last read of US_CSR. • RI: Image of RI Input 0: RI input is driven low. 1: RI input is driven high. • DSR: Image of DSR Input 0: DSR input is driven low. 1: DSR input is driven high. • DCD: Image of DCD Input 0: DCD input is driven low. 1: DCD input is driven high. • CTS: Image of CTS Input 0: CTS input is driven low. 1: CTS input is driven high. • MANERR: Manchester Error (cleared by writing a one to the bit US_CR.RSTSTA) 0: No Manchester error has been detected since the last RSTSTA. 1: At least one Manchester error has been detected since the last RSTSTA. 934 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 37.7.12 USART Channel Status Register (SPI_MODE) Name: US_CSR (SPI_MODE) Address: 0x400A0014 (0), 0x400A4014 (1) Access: Read-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 NSS 22 – 21 – 20 – 19 NSSE 18 – 17 – 16 – 15 – 14 – 13 – 12 RXBUFF 11 TXBUFE 10 UNRE 9 TXEMPTY 8 – 7 – 6 – 5 OVRE 4 ENDTX 3 ENDRX 2 – 1 TXRDY 0 RXRDY This configuration is relevant only if USART_MODE = 0xE or 0xF in the USART Mode Register. • RXRDY: Receiver Ready (cleared by reading US_RHR) 0: No complete character has been received since the last read of US_RHR or the receiver is disabled. If characters were being received when the receiver was disabled, RXRDY changes to 1 when the receiver is enabled. 1: At least one complete character has been received and US_RHR has not yet been read. • TXRDY: Transmitter Ready (cleared by writing US_THR) 0: A character is in the US_THR waiting to be transferred to the Transmit Shift Register or the transmitter is disabled. As soon as the transmitter is enabled, TXRDY becomes 1. 1: There is no character in the US_THR. • ENDRX: End of RX Buffer (cleared by writing US_RCR or US_RNCR) 0: The Receive Counter Register has not reached 0 since the last write in US_RCR or US_RNCR(1). 1: The Receive Counter Register has reached 0 since the last write in US_RCR or US_RNCR(1). • ENDTX: End of TX Buffer (cleared by writing US_TCR or US_TNCR) 0: The Transmit Counter Register has not reached 0 since the last write in US_TCR or US_TNCR(1). 1: The Transmit Counter Register has reached 0 since the last write in US_TCR or US_TNCR(1). • OVRE: Overrun Error (cleared by writing a one to bit US_CR.RSTSTA) 0: No overrun error has occurred since the last RSTSTA. 1: At least one overrun error has occurred since the last RSTSTA. • TXEMPTY: Transmitter Empty (cleared by writing US_THR) 0: There are characters in either US_THR or the Transmit Shift Register, or the transmitter is disabled. 1: There are no characters in US_THR, nor in the Transmit Shift Register. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 935 • UNRE: Underrun Error (cleared by writing a one to bit US_CR.RSTSTA) 0: No SPI underrun error has occurred since the last RSTSTA. 1: At least one SPI underrun error has occurred since the last RSTSTA. • TXBUFE: TX Buffer Empty (cleared by writing US_TCR or US_TNCR) 0: US_TCR or US_TNCR have a value other than 0(1). 1: Both US_TCR and US_TNCR have a value of 0(1). • RXBUFF: RX Buffer Full (cleared by writing US_RCR or US_RNCR) 0: US_RCR or US_RNCR have a value other than 0(1). 1: Both US_RCR and US_RNCR have a value of 0(1). Note: 1. US_RCR, US_RNCR, US_TCR and US_TNCR are PDC registers. • NSSE: NSS Line (Driving CTS Pin) Rising or Falling Edge Event (cleared on read) 0: No NSS line event has been detected since the last read of US_CSR. 1: A rising or falling edge event has been detected on NSS line since the last read of US_CSR . • NSS: Image of NSS Line 0: NSS line is driven low (if NSSE = 1, falling edge occurred on NSS line). 1: NSS line is driven high (if NSSE = 1, rising edge occurred on NSS line). 936 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 37.7.13 USART Receive Holding Register Name: US_RHR Address: 0x400A0018 (0), 0x400A4018 (1) Access: Read-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 RXSYNH 14 – 13 – 12 – 11 – 10 – 9 – 8 RXCHR 7 6 5 4 3 2 1 0 RXCHR • RXCHR: Received Character Last character received if RXRDY is set. • RXSYNH: Received Sync 0: Last character received is a data. 1: Last character received is a command. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 937 37.7.14 USART Transmit Holding Register Name: US_THR Address: 0x400A001C (0), 0x400A401C (1) Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 TXSYNH 14 – 13 – 12 – 11 – 10 – 9 – 8 TXCHR 7 6 5 4 3 2 1 0 TXCHR • TXCHR: Character to be Transmitted Next character to be transmitted after the current character if TXRDY is not set. • TXSYNH: Sync Field to be Transmitted 0: The next character sent is encoded as a data. Start frame delimiter is DATA SYNC. 1: The next character sent is encoded as a command. Start frame delimiter is COMMAND SYNC. 938 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 37.7.15 USART Baud Rate Generator Register Name: US_BRGR Address: 0x400A0020 (0), 0x400A4020 (1) Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 17 FP 16 15 14 13 12 11 10 9 8 3 2 1 0 CD 7 6 5 4 CD This register can only be written if the WPEN bit is cleared in the USART Write Protection Mode Register. • CD: Clock Divider USART_MODE ≠ ISO7816 SYNC = 0 CD OVER = 0 OVER = 1 0 1 to 65535 SYNC = 1 or USART_MODE = SPI (Master or Slave) USART_MODE = ISO7816 Baud Rate Clock Disabled CD = Selected Clock / (16 × Baud Rate) CD = Selected Clock / (8 × Baud Rate) CD = Selected Clock / Baud Rate CD = Selected Clock / (FI_DI_RATIO × Baud Rate) • FP: Fractional Part 0: Fractional divider is disabled. 1–7: Baud rate resolution, defined by FP × 1/8. Warning: When the value of field FP is greater than 0, the SCK (oversampling clock) generates non-constant duty cycles. The SCK high duration is increased by “selected clock” period from time to time. The duty cycle depends on the value of the CD field. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 939 37.7.16 USART Receiver Time-out Register Name: US_RTOR Address: 0x400A0024 (0), 0x400A4024 (1) Access: Read/Write 31 30 29 28 27 26 25 24 – – – – – – – – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 14 13 12 11 10 9 8 3 2 1 0 TO 7 6 5 4 TO This register can only be written if the WPEN bit is cleared in the USART Write Protection Mode Register. • TO: Time-out Value 0: The receiver time-out is disabled. 1–65535: The receiver time-out is enabled and TO is Time-out Delay / Bit Period. 940 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 37.7.17 USART Transmitter Timeguard Register Name: US_TTGR Address: 0x400A0028 (0), 0x400A4028 (1) Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 6 5 4 3 2 1 0 TG This register can only be written if the WPEN bit is cleared in the USART Write Protection Mode Register. • TG: Timeguard Value 0: The transmitter timeguard is disabled. 1–255: The transmitter timeguard is enabled and TG is Timeguard Delay / Bit Period. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 941 37.7.18 USART FI DI RATIO Register Name: US_FIDI Address: 0x400A0040 (0), 0x400A4040 (1) Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 9 FI_DI_RATIO 8 7 6 5 4 3 2 1 0 FI_DI_RATIO This register can only be written if the WPEN bit is cleared in the USART Write Protection Mode Register. • FI_DI_RATIO: FI Over DI Ratio Value 0: If ISO7816 mode is selected, the baud rate generator generates no signal. 1–2: Do not use. 3–2047: If ISO7816 mode is selected, the baud rate is the clock provided on SCK divided by FI_DI_RATIO. 942 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 37.7.19 USART Number of Errors Register Name: US_NER Address: 0x400A0044 (0), 0x400A4044 (1) Access: Read-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 6 5 4 3 2 1 0 NB_ERRORS This register is relevant only if USART_MODE = 0x4 or 0x6 in the USART Mode Register. • NB_ERRORS: Number of Errors Total number of errors that occurred during an ISO7816 transfer. This register automatically clears when read. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 943 37.7.20 USART IrDA Filter Register Name: US_IF Address: 0x400A004C (0), 0x400A404C (1) Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 6 5 4 3 2 1 0 IRDA_FILTER This register is relevant only if USART_MODE = 0x8 in the USART Mode Register. This register can only be written if the WPEN bit is cleared in the USART Write Protection Mode Register. • IRDA_FILTER: IrDA Filter The IRDA_FILTER value must be defined to meet the following criteria: tperipheral clock × (IRDA_FILTER + 3) < 1.41 µs 944 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 37.7.21 USART Manchester Configuration Register Name: US_MAN Address: 0x400A0050 (0), 0x400A4050 (1) Access: Read/Write 31 – 30 DRIFT 29 ONE 28 RX_MPOL 27 – 26 – 25 23 – 22 – 21 – 20 – 19 18 17 15 – 14 – 13 – 12 TX_MPOL 11 – 10 – 9 7 – 6 – 5 – 4 – 3 2 1 24 RX_PP 16 RX_PL 8 TX_PP 0 TX_PL This register can only be written if the WPEN bit is cleared in the USART Write Protection Mode Register. • TX_PL: Transmitter Preamble Length 0: The transmitter preamble pattern generation is disabled 1–15: The preamble length is TX_PL × Bit Period • TX_PP: Transmitter Preamble Pattern The following values assume that TX_MPOL field is not set: Value Name Description 0 ALL_ONE The preamble is composed of ‘1’s 1 ALL_ZERO The preamble is composed of ‘0’s 2 ZERO_ONE The preamble is composed of ‘01’s 3 ONE_ZERO The preamble is composed of ‘10’s • TX_MPOL: Transmitter Manchester Polarity 0: Logic zero is coded as a zero-to-one transition, Logic one is coded as a one-to-zero transition. 1: Logic zero is coded as a one-to-zero transition, Logic one is coded as a zero-to-one transition. • RX_PL: Receiver Preamble Length 0: The receiver preamble pattern detection is disabled 1–15: The detected preamble length is RX_PL × Bit Period SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 945 • RX_PP: Receiver Preamble Pattern detected The following values assume that RX_MPOL field is not set: Value Name Description 00 ALL_ONE The preamble is composed of ‘1’s 01 ALL_ZERO The preamble is composed of ‘0’s 10 ZERO_ONE The preamble is composed of ‘01’s 11 ONE_ZERO The preamble is composed of ‘10’s • RX_MPOL: Receiver Manchester Polarity 0: Logic zero is coded as a zero-to-one transition, Logic one is coded as a one-to-zero transition. 1: Logic zero is coded as a one-to-zero transition, Logic one is coded as a zero-to-one transition. • ONE: Must Be Set to 1 Bit 29 must always be set to 1 when programming the US_MAN register. • DRIFT: Drift Compensation 0: The USART cannot recover from an important clock drift 1: The USART can recover from clock drift. The 16X clock mode must be enabled. 946 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 37.7.22 USART Write Protection Mode Register Name: US_WPMR Address: 0x400A00E4 (0), 0x400A40E4 (1) Access: Read/Write 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 – 2 – 1 – 0 WPEN WPKEY 23 22 21 20 WPKEY 15 14 13 12 WPKEY 7 – 6 – 5 – 4 – • WPEN: Write Protection Enable 0: Disables the write protection if WPKEY corresponds to 0x555341 (“USA” in ASCII). 1: Enables the write protection if WPKEY corresponds to 0x555341 (“USA” in ASCII). See Section 37.6.10 ”Register Write Protection” for the list of registers that can be write-protected. • WPKEY: Write Protection Key Value 0x555341 Name Description PASSWD Writing any other value in this field aborts the write operation of the WPEN bit. Always reads as 0. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 947 37.7.23 USART Write Protection Status Register Name: US_WPSR Address: 0x400A00E8 (0), 0x400A40E8 (1) Access: Read-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 22 21 20 19 18 17 16 11 10 9 8 3 – 2 – 1 – 0 WPVS WPVSRC 15 14 13 12 WPVSRC 7 – 6 – 5 – 4 – • WPVS: Write Protection Violation Status 0: No write protection violation has occurred since the last read of the US_WPSR. 1: A write protection violation has occurred since the last read of the US_WPSR. If this violation is an unauthorized attempt to write a protected register, the associated violation is reported into field WPVSRC. • WPVSRC: Write Protection Violation Source When WPVS = 1, WPVSRC indicates the register address offset at which a write access has been attempted. 948 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 38. Timer Counter (TC) 38.1 Description A Timer Counter (TC) module includes three identical TC channels. The number of implemented TC modules is device-specific. Each TC channel can be independently programmed to perform a wide range of functions including frequency measurement, event counting, interval measurement, pulse generation, delay timing and pulse width modulation. Each channel has three external clock inputs, five internal clock inputs and two multi-purpose input/output signals which can be configured by the user. Each channel drives an internal interrupt signal which can be programmed to generate processor interrupts. The TC embeds a quadrature decoder (QDEC) connected in front of the timers and driven by TIOA0, TIOB0 and TIOB1 inputs. When enabled, the QDEC performs the input lines filtering, decoding of quadrature signals and connects to the timers/counters in order to read the position and speed of the motor through the user interface. The TC block has two global registers which act upon all TC channels: 38.2  Block Control Register (TC_BCR)—allows channels to be started simultaneously with the same instruction  Block Mode Register (TC_BMR)—defines the external clock inputs for each channel, allowing them to be chained Embedded Characteristics  Total number of TC channels implemented on this device: nine  TC channel size: 32-bit  Wide range of functions including:  ̶ Frequency measurement ̶ Event counting ̶ Interval measurement ̶ Pulse generation ̶ Delay timing ̶ Pulse Width Modulation ̶ Up/down capabilities ̶ Quadrature decoder ̶ 2-bit Gray up/down count for stepper motor Each channel is user-configurable and contains: Three external clock inputs ̶ Five Internal clock inputs ̶ ̶ ̶ Two multi-purpose input/output signals acting as trigger event Trigger/capture events can be directly synchronized by PWM signals  Internal interrupt signal  Read of the Capture registers by the PDC  Compare event fault generation for PWM  Register Write Protection SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 949 38.3 Block Diagram Table 38-1. Timer Counter Clock Assignment Name Definition TIMER_CLOCK1 MCK/2 TIMER_CLOCK2 MCK/8 TIMER_CLOCK3 MCK/32 TIMER_CLOCK4 MCK/128 (1) SLCK TIMER_CLOCK5 Note: 1. When SLCK is selected for Peripheral Clock (CSS = 0 in PMC Master Clock Register), SLCK input is equivalent to Peripheral Clock. Figure 38-1. Timer Counter Block Diagram Timer Counter Parallel I/O Controller TIMER_CLOCK1 TCLK0 TIMER_CLOCK2 TIOA1 TIOA2 TIMER_CLOCK3 TIMER_CLOCK4 XC0 TCLK1 XC1 TCLK2 XC2 Timer/Counter Channel 0 TIOA TIOA0 TIOB0 TIOA0 TIOB TIMER_CLOCK5 TIOB0 TC0XC0S SYNC TCLK0 TCLK1 TCLK2 INT0 TCLK0 TCLK1 XC0 TIOA0 Timer/Counter Channel 1 XC1 TIOA TIOA1 TIOB1 TIOA1 TIOB TIOA2 TCLK2 TIOB1 XC2 SYNC TC1XC1S TCLK0 XC0 TCLK1 XC1 Timer/Counter Channel 2 INT1 TIOA TIOA2 TIOB2 TIOA2 TIOB TCLK2 XC2 TIOB2 TIOA0 TIOA1 SYNC TC2XC2S INT2 FAULT PWM Note: The QDEC connections are detailed in Figure 38-17. Table 38-2. Channel Signal Description Signal Name XC0, XC1, XC2 TIOAx 950 Description External Clock Inputs Capture Mode: Timer Counter Input Waveform Mode: Timer Counter Output SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Interrupt Controller Table 38-2. Channel Signal Description (Continued) Capture Mode: Timer Counter Input Waveform Mode: Timer Counter Input/Output TIOBx INT Interrupt Signal Output (internal signal) SYNC 38.4 Synchronization Input Signal (from configuration register) Pin List Table 38-3. Pin List Pin Name Description Type TCLK0–TCLK2 External Clock Input Input TIOA0–TIOA2 I/O Line A I/O TIOB0–TIOB2 I/O Line B I/O 38.5 38.5.1 Product Dependencies I/O Lines The pins used for interfacing the compliant external devices may be multiplexed with PIO lines. The programmer must first program the PIO controllers to assign the TC pins to their peripheral functions. Table 38-4. I/O Lines Instance Signal I/O Line Peripheral TC0 TCLK0 PA4 B TC0 TCLK1 PA28 B TC0 TCLK2 PA29 B TC0 TIOA0 PA0 B TC0 TIOA1 PA15 B TC0 TIOA2 PA26 B TC0 TIOB0 PA1 B TC0 TIOB1 PA16 B TC0 TIOB2 PA27 B TC1 TCLK3 PC25 B TC1 TCLK4 PC28 B TC1 TCLK5 PC31 B TC1 TIOA3 PC23 B TC1 TIOA4 PC26 B TC1 TIOA5 PC29 B TC1 TIOB3 PC24 B TC1 TIOB4 PC27 B TC1 TIOB5 PC30 B TC2 TCLK6 PC7 B TC2 TCLK7 PC10 B SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 951 Table 38-4. 38.5.2 I/O Lines TC2 TCLK8 PC14 B TC2 TIOA6 PC5 B TC2 TIOA7 PC8 B TC2 TIOA8 PC11 B TC2 TIOB6 PC6 B TC2 TIOB7 PC9 B TC2 TIOB8 PC12 B Power Management The TC is clocked through the Power Management Controller (PMC), thus the programmer must first configure the PMC to enable the Timer Counter clock of each channel. 38.5.3 Interrupt Sources The TC has an interrupt line per channel connected to the interrupt controller. Handling the TC interrupt requires programming the interrupt controller before configuring the TC. Table 38-5. 38.5.4 Peripheral IDs Instance ID TC0 21 TC1 22 TC2 23 Synchronization Inputs from PWM The TC has trigger/capture inputs internally connected to the PWM. Refer to Section 38.6.14 “Synchronization with PWM” and to the implementation of the Pulse Width Modulation (PWM) in this product. 38.5.5 Fault Output The TC has the FAULT output internally connected to the fault input of PWM. Refer to Section 38.6.18 “Fault Mode” and to the implementation of the Pulse Width Modulation (PWM) in this product. 38.6 38.6.1 Functional Description Description All channels of the Timer Counter are independent and identical in operation except when the QDEC is enabled. The registers for channel programming are listed in Table 38-6 “Register Mapping”. 38.6.2 32-bit Counter Each 32-bit channel is organized around a 32-bit counter. The value of the counter is incremented at each positive edge of the selected clock. When the counter has reached the value 232-1 and passes to zero, an overflow occurs and the COVFS bit in the TC Status Register (TC_SR) is set. The current value of the counter is accessible in real time by reading the TC Counter Value Register (TC_CV). The counter can be reset by a trigger. In this case, the counter value passes to zero on the next valid edge of the selected clock. 952 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 38.6.3 Clock Selection At block level, input clock signals of each channel can be connected either to the external inputs TCLKx, or to the internal I/O signals TIOAx for chaining(1) by programming the TC Block Mode Register (TC_BMR). See Figure 382. Each channel can independently select an internal or external clock source for its counter(2):  External clock signals: XC0, XC1 or XC2  Internal clock signals: MCK/2, MCK/8, MCK/32, MCK/128, SLCK This selection is made by the TCCLKS bits in the TC Channel Mode Register (TC_CMR). The selected clock can be inverted with the CLKI bit in the TC_CMR. This allows counting on the opposite edges of the clock. The burst function allows the clock to be validated when an external signal is high. The BURST parameter in the TC_CMR defines this signal (none, XC0, XC1, XC2). See Figure 38-3. Notes: 1. 2. Figure 38-2. In Waveform mode, to chain two timers, it is mandatory to initialize some parameters: - Configure TIOx outputs to 1 or 0 by writing the required value to TC_CMR.ASWTRG. - Bit TC_BCR.SYNC must be written to 1 to start the channels at the same time. In all cases, if an external clock is used, the duration of each of its levels must be longer than the peripheral clock period, so the clock frequency will be at least 2.5 times lower than the peripheral clock. Clock Chaining Selection TC0XC0S Timer/Counter Channel 0 TCLK0 TIOA1 XC0 TIOA2 TIOA0 XC1 = TCLK1 XC2 = TCLK2 TIOB0 SYNC TC1XC1S Timer/Counter Channel 1 TCLK1 XC0 = TCLK0 TIOA0 TIOA1 XC1 TIOA2 XC2 = TCLK2 TIOB1 SYNC Timer/Counter Channel 2 TC2XC2S XC0 = TCLK0 TCLK2 TIOA2 XC1 = TCLK1 TIOA0 XC2 TIOB2 TIOA1 SYNC SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 953 Figure 38-3. Clock Selection TCCLKS TIMER_CLOCK1 Synchronous Edge Detection TIMER_CLOCK2 CLKI TIMER_CLOCK3 TIMER_CLOCK4 Selected Clock TIMER_CLOCK5 XC0 XC1 XC2 Peripheral Clock BURST 1 38.6.4 Clock Control The clock of each counter can be controlled in two different ways: it can be enabled/disabled and started/stopped. See Figure 38-4. 954  The clock can be enabled or disabled by the user with the CLKEN and the CLKDIS commands in the TC Channel Control Register (TC_CCR). In Capture mode it can be disabled by an RB load event if LDBDIS is set to 1 in the TC_CMR. In Waveform mode, it can be disabled by an RC Compare event if CPCDIS is set to 1 in TC_CMR. When disabled, the start or the stop actions have no effect: only a CLKEN command in the TC_CCR can re-enable the clock. When the clock is enabled, the CLKSTA bit is set in the TC_SR.  The clock can also be started or stopped: a trigger (software, synchro, external or compare) always starts the clock. The clock can be stopped by an RB load event in Capture mode (LDBSTOP = 1 in TC_CMR) or an RC compare event in Waveform mode (CPCSTOP = 1 in TC_CMR). The start and the stop commands are effective only if the clock is enabled. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 38-4. Clock Control Selected Clock Trigger CLKSTA CLKEN Q Q CLKDIS S R S R Stop Event Counter Clock 38.6.5 Disable Event Operating Modes Each channel can operate independently in two different modes:  Capture mode provides measurement on signals.  Waveform mode provides wave generation. The TC operating mode is programmed with the WAVE bit in the TC_CMR. In Capture mode, TIOAx and TIOBx are configured as inputs. In Waveform mode, TIOAx is always configured to be an output and TIOBx is an output if it is not selected to be the external trigger. 38.6.6 Trigger A trigger resets the counter and starts the counter clock. Three types of triggers are common to both modes, and a fourth external trigger is available to each mode. Regardless of the trigger used, it will be taken into account at the following active edge of the selected clock. This means that the counter value can be read differently from zero just after a trigger, especially when a low frequency signal is selected as the clock. The following triggers are common to both modes:  Software Trigger: Each channel has a software trigger, available by setting SWTRG in TC_CCR.  SYNC: Each channel has a synchronization signal SYNC. When asserted, this signal has the same effect as a software trigger. The SYNC signals of all channels are asserted simultaneously by writing TC_BCR (Block Control) with SYNC set.  Compare RC Trigger: RC is implemented in each channel and can provide a trigger when the counter value matches the RC value if CPCTRG is set in the TC_CMR. The channel can also be configured to have an external trigger. In Capture mode, the external trigger signal can be selected between TIOAx and TIOBx. In Waveform mode, an external event can be programmed on one of the following signals: TIOBx, XC0, XC1 or XC2. This external event can then be programmed to perform a trigger by setting bit ENETRG in the TC_CMR. If an external trigger is used, the duration of the pulses must be longer than the peripheral clock period in order to be detected. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 955 38.6.7 Capture Mode Capture mode is entered by clearing the WAVE bit in the TC_CMR. Capture mode allows the TC channel to perform measurements such as pulse timing, frequency, period, duty cycle and phase on TIOAx and TIOBx signals which are considered as inputs. Figure 38-6 shows the configuration of the TC channel when programmed in Capture mode. 38.6.8 Capture Registers A and B Registers A and B (RA and RB) are used as capture registers. They can be loaded with the counter value when a programmable event occurs on the signal TIOAx. The LDRA field in the TC_CMR defines the TIOAx selected edge for the loading of register A, and the LDRB field defines the TIOAx selected edge for the loading of Register B. The subsampling ratio defined by the SBSMPLR field in TC_CMR is applied to these selected edges, so that the loading of Register A and Register B occurs once every 1, 2, 4, 8 or 16 selected edges. RA is loaded only if it has not been loaded since the last trigger or if RB has been loaded since the last loading of RA. RB is loaded only if RA has been loaded since the last trigger or the last loading of RB. Loading RA or RB before the read of the last value loaded sets the Overrun Error Flag (LOVRS bit) in the TC_SR. In this case, the old value is overwritten. When DMA is used, the RAB register address must be configured as source address of the transfer. The RAB register provides the next unread value from Register A and Register B. It may be read by the DMA after a request has been triggered upon loading Register A or Register B. 38.6.9 Transfer with PDC in Capture Mode The PDC can perform access from the TC to system memory in Capture mode only. Figure 38-5 illustrates how TC_RA and TC_RB can be loaded in the system memory without CPU intervention. 956 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 38-5. Example of Transfer with PDC in Capture Mode ETRGEDG = 1, LDRA = 1, LDRB = 2, ABETRG = 0 TIOB TIOA RA RB Internal Peripheral Trigger (when RA or RB loaded) Transfer to System Memory RA RB RA RB T1 T2 T3 T4 T1,T2,T3,T4 = System Bus load dependent (tmin = 8 Peripheral Clocks) ETRGEDG = 3, LDRA = 3, LDRB = 0, ABETRG = 0 TIOB TIOA RA Internal Peripheral Trigger (when RA loaded) Transfer to System Memory RA RA RA RA T1 T2 T3 T4 T1,T2,T3,T4 = System Bus load dependent (tmin = 8 Peripheral Clocks) 38.6.10 Trigger Conditions In addition to the SYNC signal, the software trigger and the RC compare trigger, an external trigger can be defined. The ABETRG bit in the TC_CMR selects TIOAx or TIOBx input signal as an external trigger or the trigger signal from the output comparator of the PWM module. The External Trigger Edge Selection parameter (ETRGEDG field in TC_CMR) defines the edge (rising, falling, or both) detected to generate an external trigger. If ETRGEDG = 0 (none), the external trigger is disabled. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 957 Figure 38-6. 958 CLKI Synchronous Edge Detection TIMER_CLOCK1 TIMER_CLOCK2 CLKSTA TIMER_CLOCK3 CLKEN Q TIMER_CLOCK4 TIMER_CLOCK5 Q S R S XC0 CLKDIS R XC1 XC2 LDBSTOP Peripheral Clock LDBDIS BURST Register C Capture Register A 1 Capture Register B Compare RC = Counter SWTRG CLK OVF RESET SYNC Trig ABETRG CPCTRG ETRGEDG MTIOB Edge Detector TIOB INT CPCS If RA is loaded LOVRS LDRBS Edge Detector COVFS TIOA Edge Detector LDRAS If RA is not loaded or RB is loaded LDRB TC1_IMR MTIOA LDRA ETRGS Edge Subsampler TC1_SR SBSMPLR Capture Mode SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Timer/Counter Channel TCCLKS 38.6.11 Waveform Mode Waveform mode is entered by setting the TC_CMRx.WAVE bit. In Waveform mode, the TC channel generates one or two PWM signals with the same frequency and independently programmable duty cycles, or generates different types of one-shot or repetitive pulses. In this mode, TIOAx is configured as an output and TIOBx is defined as an output if it is not used as an external event (EEVT parameter in TC_CMR). Figure 38-7 shows the configuration of the TC channel when programmed in Waveform operating mode. 38.6.12 Waveform Selection Depending on the WAVSEL parameter in TC_CMR, the behavior of TC_CV varies. With any selection, TC_RA, TC_RB and TC_RC can all be used as compare registers. RA Compare is used to control the TIOAx output, RB Compare is used to control the TIOBx output (if correctly configured) and RC Compare is used to control TIOAx and/or TIOBx outputs. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 959 960 Figure 38-7. CLKSTA TIMER_CLOCK1 Synchronous Edge Detection TIMER_CLOCK2 CLKEN CLKDIS ACPC CLKI TIMER_CLOCK3 Q TIMER_CLOCK4 S CPCDIS Q XC0 R S ACPA R XC1 XC2 CPCSTOP Peripheral Clock BURST Register A Register B Register C Compare RA = Compare RB = Compare RC = AEEVT MTIOA Output Controller TIMER_CLOCK5 TIOA WAVSEL ASWTRG 1 Counter CLK RESET SWTRG OVF BCPC SYNC Trig MTIOB BCPB EEVT BEEVT CPBS CPCS CPAS COVFS ENETRG ETRGS Edge Detector TC1_SR EEVTEDG BSWTRG TIOB TC1_IMR Timer/Counter Channel INT Output Controller WAVSEL TIOB Waveform Mode SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 TCCLKS 38.6.12.1 WAVSEL = 00 When WAVSEL = 00, the value of TC_CV is incremented from 0 to 232-1. Once 232-1 has been reached, the value of TC_CV is reset. Incrementation of TC_CV starts again and the cycle continues. See Figure 38-8. An external event trigger or a software trigger can reset the value of TC_CV. It is important to note that the trigger may occur at any time. See Figure 38-9. RC Compare cannot be programmed to generate a trigger in this configuration. At the same time, RC Compare can stop the counter clock (CPCSTOP = 1 in TC_CMR) and/or disable the counter clock (CPCDIS = 1 in TC_CMR). Figure 38-8. WAVSEL = 00 without Trigger Counter Value Counter cleared by compare match with 0xFFFF 0xFFFF RC RB RA Time Waveform Examples TIOB TIOA Figure 38-9. WAVSEL = 00 with Trigger Counter Value Counter cleared by compare match with 0xFFFF 0xFFFF RC Counter cleared by trigger RB RA Waveform Examples Time TIOB TIOA SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 961 38.6.12.2 WAVSEL = 10 When WAVSEL = 10, the value of TC_CV is incremented from 0 to the value of RC, then automatically reset on a RC Compare. Once the value of TC_CV has been reset, it is then incremented and so on. See Figure 38-10. It is important to note that TC_CV can be reset at any time by an external event or a software trigger if both are programmed correctly. See Figure 38-11. In addition, RC Compare can stop the counter clock (CPCSTOP = 1 in TC_CMR) and/or disable the counter clock (CPCDIS = 1 in TC_CMR). Figure 38-10. WAVSEL = 10 without Trigger Counter Value 2n-1 (n = counter size) Counter cleared by compare match with RC RC RB RA Waveform Examples Time TIOB TIOA Figure 38-11. WAVSEL = 10 with Trigger Counter Value 2n-1 (n = counter size) Counter cleared by compare match with RC Counter cleared by trigger RC RB RA Waveform Examples TIOB TIOA 962 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Time 38.6.12.3 WAVSEL = 01 When WAVSEL = 01, the value of TC_CV is incremented from 0 to 232-1 . Once 232-1 is reached, the value of TC_CV is decremented to 0, then re-incremented to 232-1 and so on. See Figure 38-12. A trigger such as an external event or a software trigger can modify TC_CV at any time. If a trigger occurs while TC_CV is incrementing, TC_CV then decrements. If a trigger is received while TC_CV is decrementing, TC_CV then increments. See Figure 38-13. RC Compare cannot be programmed to generate a trigger in this configuration. At the same time, RC Compare can stop the counter clock (CPCSTOP = 1) and/or disable the counter clock (CPCDIS = 1). Figure 38-12. WAVSEL = 01 without Trigger Counter Value Counter decremented by compare match with 0xFFFF 0xFFFF RC RB RA Time Waveform Examples TIOB TIOA Figure 38-13. WAVSEL = 01 with Trigger Counter Value Counter decremented by compare match with 0xFFFF 0xFFFF Counter decremented by trigger RC RB Counter incremented by trigger RA Waveform Examples Time TIOB TIOA SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 963 38.6.12.4 WAVSEL = 11 When WAVSEL = 11, the value of TC_CV is incremented from 0 to RC. Once RC is reached, the value of TC_CV is decremented to 0, then re-incremented to RC and so on. See Figure 38-14. A trigger such as an external event or a software trigger can modify TC_CV at any time. If a trigger occurs while TC_CV is incrementing, TC_CV then decrements. If a trigger is received while TC_CV is decrementing, TC_CV then increments. See Figure 38-15. RC Compare can stop the counter clock (CPCSTOP = 1) and/or disable the counter clock (CPCDIS = 1). Figure 38-14. WAVSEL = 11 without Trigger Counter Value 2n-1 (n = counter size) Counter decremented by compare match with RC RC RB RA Time Waveform Examples TIOB TIOA Figure 38-15. WAVSEL = 11 with Trigger Counter Value 2n-1 (n = counter size) Counter decremented by compare match with RC RC RB Counter decremented by trigger Counter incremented by trigger RA Waveform Examples TIOB TIOA 964 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Time 38.6.13 External Event/Trigger Conditions An external event can be programmed to be detected on one of the clock sources (XC0, XC1, XC2) or TIOBx. The external event selected can then be used as a trigger. The EEVT parameter in TC_CMR selects the external trigger. The EEVTEDG parameter defines the trigger edge for each of the possible external triggers (rising, falling or both). If EEVTEDG is cleared (none), no external event is defined. If TIOBx is defined as an external event signal (EEVT = 0), TIOBx is no longer used as an output and the compare register B is not used to generate waveforms and subsequently no IRQs. In this case the TC channel can only generate a waveform on TIOAx. When an external event is defined, it can be used as a trigger by setting bit ENETRG in the TC_CMR. As in Capture mode, the SYNC signal and the software trigger are also available as triggers. RC Compare can also be used as a trigger depending on the parameter WAVSEL. 38.6.14 Synchronization with PWM The inputs TIOAx/TIOBx can be bypassed, and thus channel trigger/capture events can be directly driven by the independent PWM module. PWM comparator outputs (internal signals without dead-time insertion - OCx), respectively source of the PWMH/L[2:0] outputs, are routed to the internal TC inputs. These specific TC inputs are multiplexed with TIOA/B input signal to drive the internal trigger/capture events. The selection can be programmed in the Extended Mode Register (TC_EMR) fields TRIGSRCA and TRIGSRCB (see Section 38.7.14 “TC Extended Mode Register”). Each channel of the TC module can be synchronized by a different PWM channel as described in Figure 38-16. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 965 Figure 38-16. Synchronization with PWM Timer/Counter TC_EMR0.TRIGSRCA Timer/Counter Channel 0 TIOA0 TIOA0 1 TC_EMR0.TRIGSRCB TIOB0 TIOB0 1 TC_EMR1.TRIGSRCA Timer/Counter Channel 1 TIOA1 TIOA1 1 TC_EMR1.TRIGSRCB TIOB1 TIOB1 1 TC_EMR2.TRIGSRCA Timer/Counter Channel 2 TIOA2 TIOA2 1 TC_EMR2.TRIGSRCB TIOB2 TIOB2 1 PWM comparator outputs (internal signals) respectively source of PWMH/L[2:0] 966 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 38.6.15 Output Controller The output controller defines the output level changes on TIOAx and TIOBx following an event. TIOBx Control is used only if TIOBx is defined as output (not as an external event). The following events control TIOAx and TIOBx:  Software Trigger  External Event  RC Compare RA Compare controls TIOAx, and RB Compare controls TIOBx. Each of these events can be programmed to set, clear or toggle the output as defined in the corresponding parameter in TC_CMR. 38.6.16 Quadrature Decoder 38.6.16.1 Description The quadrature decoder (QDEC) is driven by TIOA0, TIOB0, TIOB1 input pins and drives the timer/counter of channel 0 and 1. Channel 2 can be used as a time base in case of speed measurement requirements (refer to Figure 38-17). When writing a 0 to bit QDEN of the TC_BMR, the QDEC is bypassed and the IO pins are directly routed to the timer counter function. TIOA0 and TIOB0 are to be driven by the two dedicated quadrature signals from a rotary sensor mounted on the shaft of the off-chip motor. A third signal from the rotary sensor can be processed through pin TIOB1 and is typically dedicated to be driven by an index signal if it is provided by the sensor. This signal is not required to decode the quadrature signals PHA, PHB. Field TCCLKS of TC_CMRx must be configured to select XC0 input (i.e., 0x101). Field TC0XC0S has no effect as soon as the QDEC is enabled. Either speed or position/revolution can be measured. Position channel 0 accumulates the edges of PHA, PHB input signals giving a high accuracy on motor position whereas channel 1 accumulates the index pulses of the sensor, therefore the number of rotations. Concatenation of both values provides a high level of precision on motion system position. In Speed mode, position cannot be measured but revolution can be measured. Inputs from the rotary sensor can be filtered prior to down-stream processing. Accommodation of input polarity, phase definition and other factors are configurable. Interruptions can be generated on different events. A compare function (using TC_RC) is available on channel 0 (speed/position) or channel 1 (rotation) and can generate an interrupt by means of the CPCS flag in the TC_SRx. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 967 Figure 38-17. Predefined Connection of the Quadrature Decoder with Timer Counters Reset pulse SPEEDEN Quadrature Decoder 1 1 (Filter + Edge Detect + QD) TIOA Timer/Counter Channel 0 TIOA0 QDEN PHEdges 1 TIOB 1 XC0 TIOB0 TIOA0 PHA TIOB0 PHB TIOB1 IDX XC0 Speed/Position QDEN Index 1 TIOB 1 TIOB1 XC0 Timer/Counter Channel 1 XC0 Rotation Direction Timer/Counter Channel 2 Speed Time Base 38.6.16.2 Input Pre-processing Input pre-processing consists of capabilities to take into account rotary sensor factors such as polarities and phase definition followed by configurable digital filtering. Each input can be negated and swapping PHA, PHB is also configurable. The MAXFILT field in the TC_BMR is used to configure a minimum duration for which the pulse is stated as valid. When the filter is active, pulses with a duration lower than MAXFILT +1 × tperipheral clock ns are not passed to downstream logic. 968 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 38-18. Input Stage Input Pre-Processing MAXFILT SWAP 1 PHA Filter TIOA0 MAXFILT > 0 1 PHedge Direction and Edge Detection INVA 1 PHB Filter TIOB0 1 DIR 1 IDX INVB 1 1 IDX Filter TIOB1 IDXPHB INVIDX Input filtering can efficiently remove spurious pulses that might be generated by the presence of particulate contamination on the optical or magnetic disk of the rotary sensor. Spurious pulses can also occur in environments with high levels of electro-magnetic interference. Or, simply if vibration occurs even when rotation is fully stopped and the shaft of the motor is in such a position that the beginning of one of the reflective or magnetic bars on the rotary sensor disk is aligned with the light or magnetic (Hall) receiver cell of the rotary sensor. Any vibration can make the PHA, PHB signals toggle for a short duration. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 969 Figure 38-19. Filtering Examples MAXFILT = 2 Peripheral Clock particulate contamination PHA,B Filter Out Optical/Magnetic disk strips PHA PHB motor shaft stopped in such a position that rotary sensor cell is aligned with an edge of the disk rotation stop PHA PHB Edge area due to system vibration PHB Resulting PHA, PHB electrical waveforms PHA stop mechanical shock on system PHB vibration PHA, PHB electrical waveforms after filtering PHA PHB 970 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 38.6.16.3 Direction Status and Change Detection After filtering, the quadrature signals are analyzed to extract the rotation direction and edges of the two quadrature signals detected in order to be counted by timer/counter logic downstream. The direction status can be directly read at anytime in the TC_QISR. The polarity of the direction flag status depends on the configuration written in TC_BMR. INVA, INVB, INVIDX, SWAP modify the polarity of DIR flag. Any change in rotation direction is reported in the TC_QISR and can generate an interrupt. The direction change condition is reported as soon as two consecutive edges on a phase signal have sampled the same value on the other phase signal and there is an edge on the other signal. The two consecutive edges of one phase signal sampling the same value on other phase signal is not sufficient to declare a direction change, for the reason that particulate contamination may mask one or more reflective bars on the optical or magnetic disk of the sensor. Refer to Figure 38-20 for waveforms. Figure 38-20. Rotation Change Detection Direction Change under normal conditions PHA change condition Report Time PHB DIR DIRCHG No direction change due to particulate contamination masking a reflective bar missing pulse PHA same phase PHB DIR spurious change condition (if detected in a simple way) DIRCHG The direction change detection is disabled when QDTRANS is set in the TC_BMR. In this case, the DIR flag report must not be used. A quadrature error is also reported by the QDEC via the QERR flag in the TC_QISR. This error is reported if the time difference between two edges on PHA, PHB is lower than a predefined value. This predefined value is SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 971 configurable and corresponds to (MAXFILT + 1) × tperipheral clock ns. After being filtered there is no reason to have two edges closer than (MAXFILT + 1) × tperipheral clock ns under normal mode of operation. Figure 38-21. Quadrature Error Detection MAXFILT = 2 Peripheral Clock Abnormally formatted optical disk strips (theoretical view) PHA PHB strip edge inaccuracy due to disk etching/printing process PHA PHB resulting PHA, PHB electrical waveforms PHA Even with an abnormally formatted disk, there is no occurrence of PHA, PHB switching at the same time. PHB duration < MAXFILT QERR MAXFILT must be tuned according to several factors such as the peripheral clock frequency, type of rotary sensor and rotation speed to be achieved. 38.6.16.4 Position and Rotation Measurement When the POSEN bit is set in the TC_BMR, the motor axis position is processed on channel 0 (by means of the PHA, PHB edge detections) and the number of motor revolutions are recorded on channel 1 if the IDX signal is provided on the TIOB1 input. If no IDX signal is available, the internal counter can be cleared for each revolution if the number of counts per revolution is configured in TC_RC0.RC and the TC_CMR.CPCTRG bit is written to 1. The position measurement can be read in the TC_CV0 register and the rotation measurement can be read in the TC_CV1 register. Channel 0 and 1 must be configured in Capture mode (TC_CMR0.WAVE = 0). ‘Rising edge’ must be selected as the External Trigger Edge (TC_CMR.ETRGEDG = 0x01) and ‘TIOAx’ must be selected as the External Trigger (TC_CMR.ABETRG = 0x1). In parallel, the number of edges are accumulated on timer/counter channel 0 and can be read on the TC_CV0 register. Therefore, the accurate position can be read on both TC_CV registers and concatenated to form a 32-bit word. 972 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 The timer/counter channel 0 is cleared for each increment of IDX count value. Depending on the quadrature signals, the direction is decoded and allows to count up or down in timer/counter channels 0 and 1. The direction status is reported on TC_QISR. 38.6.16.5 Speed Measurement When SPEEDEN is set in the TC_BMR, the speed measure is enabled on channel 0. A time base must be defined on channel 2 by writing the TC_RC2 period register. Channel 2 must be configured in Waveform mode (WAVE bit set) in TC_CMR2. The WAVSEL field must be defined with 0x10 to clear the counter by comparison and matching with TC_RC value. Field ACPC must be defined at 0x11 to toggle TIOAx output. This time base is automatically fed back to TIOAx of channel 0 when QDEN and SPEEDEN are set. Channel 0 must be configured in Capture mode (WAVE = 0 in TC_CMR0). The ABETRG bit of TC_CMR0 must be configured at 1 to select TIOAx as a trigger for this channel. EDGTRG must be set to 0x01, to clear the counter on a rising edge of the TIOAx signal and field LDRA must be set accordingly to 0x01, to load TC_RA0 at the same time as the counter is cleared (LDRB must be set to 0x01). As a consequence, at the end of each time base period the differentiation required for the speed calculation is performed. The process must be started by configuring bits CLKEN and SWTRG in the TC_CCR. The speed can be read on field RA in TC_RA0. Channel 1 can still be used to count the number of revolutions of the motor. 38.6.16.6 Detecting a Missing Index Pulse To detect a missing index pulse due contamination, dust, etc., the TC_SR0.CPCS flag can be used. It is also possible to assert the interrupt line if the TC_SR0.CPCS flag is enabled as a source of the interrupt by writing a ‘1’ to TC_IER0.CPCS. The TC_RC0.RC field must be written with the nominal number of counts per revolution provided by the rotary encoder, plus a margin to eliminate potential noise (e.g., if nominal count per revolution is 1024, then TC_RC0.RC=1028). If the index pulse is missing, the timer value is not cleared and the nominal value is exceeded, then the comparator on the RC triggers an event, TC_SR0.CPCS=1, and the interrupt line is asserted if TC_IER0.CPCS=1. 38.6.17 2-bit Gray Up/Down Counter for Stepper Motor Each channel can be independently configured to generate a 2-bit Gray count waveform on corresponding TIOAx, TIOBx outputs by means of the GCEN bit in TC_SMMRx. Up or Down count can be defined by writing bit DOWN in TC_SMMRx. It is mandatory to configure the channel in Waveform mode in the TC_CMR. The period of the counters can be programmed in TC_RCx. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 973 Figure 38-22. 2-bit Gray Up/Down Counter WAVEx = GCENx =1 TIOAx TC_RCx TIOBx DOWNx 38.6.18 Fault Mode At any time, the TC_RCx registers can be used to perform a comparison on the respective current channel counter value (TC_CVx) with the value of TC_RCx register. The CPCSx flags can be set accordingly and an interrupt can be generated. This interrupt is processed but requires an unpredictable amount of time to be achieve the required action. It is possible to trigger the FAULT output of the TIMER1 with CPCS from TC_SR0 and/or CPCS from TC_SR1. Each source can be independently enabled/disabled in the TC_FMR. This can be useful to detect an overflow on speed and/or position when QDEC is processed and to act immediately by using the FAULT output. Figure 38-23. Fault Output Generation AND TC_SR0 flag CPCS OR TC_FMR / ENCF0 AND TC_SR1 flag CPCS TC_FMR / ENCF1 974 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 FAULT (to PWM input) 38.6.19 Register Write Protection To prevent any single software error from corrupting TC behavior, certain registers in the address space can be write-protected by setting the WPEN bit in the TC Write Protection Mode Register (TC_WPMR). The Timer Counter clock of the first channel must be enabled to access TC_WPMR. The following registers can be write-protected:  TC Block Mode Register  TC Channel Mode Register: Capture Mode  TC Channel Mode Register: Waveform Mode  TC Fault Mode Register  TC Stepper Motor Mode Register  TC Register A  TC Register B  TC Register C  TC Extended Mode Register SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 975 38.7 Timer Counter (TC) User Interface Table 38-6. Register Mapping Offset(1) Register Name Access Reset 0x00 + channel * 0x40 + 0x00 Channel Control Register TC_CCR Write-only – 0x00 + channel * 0x40 + 0x04 Channel Mode Register TC_CMR Read/Write 0 0x00 + channel * 0x40 + 0x08 Stepper Motor Mode Register TC_SMMR Read/Write 0 0x00 + channel * 0x40 + 0x0C Register AB TC_RAB Read-only 0 0x00 + channel * 0x40 + 0x10 Counter Value TC_CV Read-only 0 0x00 + channel * 0x40 + 0x14 Register A TC_RA Read/Write (2) 0 (2) 0 0x00 + channel * 0x40 + 0x18 Register B TC_RB 0x00 + channel * 0x40 + 0x1C Register C TC_RC Read/Write 0 0x00 + channel * 0x40 + 0x20 Status Register TC_SR Read-only 0 0x00 + channel * 0x40 + 0x24 Interrupt Enable Register TC_IER Write-only – 0x00 + channel * 0x40 + 0x28 Interrupt Disable Register TC_IDR Write-only – 0x00 + channel * 0x40 + 0x2C Interrupt Mask Register TC_IMR Read-only 0 0x00 + channel * 0x40 + 0x30 Extended Mode Register TC_EMR Read/Write 0 0xC0 Block Control Register TC_BCR Write-only – 0xC4 Block Mode Register TC_BMR Read/Write 0 0xC8 QDEC Interrupt Enable Register TC_QIER Write-only – 0xCC QDEC Interrupt Disable Register TC_QIDR Write-only – 0xD0 QDEC Interrupt Mask Register TC_QIMR Read-only 0 0xD4 QDEC Interrupt Status Register TC_QISR Read-only 0 0xD8 Fault Mode Register TC_FMR Read/Write 0 0xE4 Write Protection Mode Register TC_WPMR Read/Write 0 Reserved – – – Reserved for PDC Registers – – – 0xE8–0xFC 0x100–0x1A4 Notes: 1. Channel index ranges from 0 to 2. 2. Read-only if TC_CMRx.WAVE = 0 976 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Read/Write 38.7.1 TC Channel Control Register Name: TC_CCRx [x=0..2] Address: 0x40090000 (0)[0], 0x40090040 (0)[1], 0x40090080 (0)[2], 0x40094000 (1)[0], 0x40094040 (1)[1], 0x40094080 (1)[2], 0x40098000 (2)[0], 0x40098040 (2)[1], 0x40098080 (2)[2] Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 – 2 SWTRG 1 CLKDIS 0 CLKEN • CLKEN: Counter Clock Enable Command 0: No effect. 1: Enables the clock if CLKDIS is not 1. • CLKDIS: Counter Clock Disable Command 0: No effect. 1: Disables the clock. • SWTRG: Software Trigger Command 0: No effect. 1: A software trigger is performed: the counter is reset and the clock is started. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 977 38.7.2 TC Channel Mode Register: Capture Mode Name: TC_CMRx [x=0..2] (CAPTURE_MODE) Address: 0x40090004 (0)[0], 0x40090044 (0)[1], 0x40090084 (0)[2], 0x40094004 (1)[0], 0x40094044 (1)[1], 0x40094084 (1)[2], 0x40098004 (2)[0], 0x40098044 (2)[1], 0x40098084 (2)[2] Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 18 17 24 – 23 – 22 21 SBSMPLR 20 19 15 WAVE 14 CPCTRG 13 – 12 – 11 – 10 ABETRG 9 7 LDBDIS 6 LDBSTOP 5 4 3 CLKI 2 1 TCCLKS 16 LDRB BURST LDRA 8 ETRGEDG This register can only be written if the WPEN bit is cleared in the TC Write Protection Mode Register. • TCCLKS: Clock Selection Value Name Description 0 TIMER_CLOCK1 Clock selected: internal MCK/2 clock signal (from PMC) 1 TIMER_CLOCK2 Clock selected: internal MCK/8 clock signal (from PMC) 2 TIMER_CLOCK3 Clock selected: internal MCK/32 clock signal (from PMC) 3 TIMER_CLOCK4 Clock selected: internal MCK/128 clock signal (from PMC) 4 TIMER_CLOCK5 Clock selected: internal SLCK clock signal (from PMC) 5 XC0 Clock selected: XC0 6 XC1 Clock selected: XC1 7 XC2 Clock selected: XC2 To operate at maximum peripheral clock frequency, refer to Section 38.7.14 “TC Extended Mode Register”. • CLKI: Clock Invert 0: Counter is incremented on rising edge of the clock. 1: Counter is incremented on falling edge of the clock. • BURST: Burst Signal Selection 978 Value Name Description 0 NONE The clock is not gated by an external signal. 1 XC0 XC0 is ANDed with the selected clock. 2 XC1 XC1 is ANDed with the selected clock. 3 XC2 XC2 is ANDed with the selected clock. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 0 • LDBSTOP: Counter Clock Stopped with RB Loading 0: Counter clock is not stopped when RB loading occurs. 1: Counter clock is stopped when RB loading occurs. • LDBDIS: Counter Clock Disable with RB Loading 0: Counter clock is not disabled when RB loading occurs. 1: Counter clock is disabled when RB loading occurs. • ETRGEDG: External Trigger Edge Selection Value Name Description 0 NONE The clock is not gated by an external signal. 1 RISING Rising edge 2 FALLING Falling edge 3 EDGE Each edge • ABETRG: TIOAx or TIOBx External Trigger Selection 0: TIOBx is used as an external trigger. 1: TIOAx is used as an external trigger. • CPCTRG: RC Compare Trigger Enable 0: RC Compare has no effect on the counter and its clock. 1: RC Compare resets the counter and starts the counter clock. • WAVE: Waveform Mode 0: Capture mode is enabled. 1: Capture mode is disabled (Waveform mode is enabled). • LDRA: RA Loading Edge Selection Value Name Description 0 NONE None 1 RISING Rising edge of TIOAx 2 FALLING Falling edge of TIOAx 3 EDGE Each edge of TIOAx • LDRB: RB Loading Edge Selection Value Name Description 0 NONE None 1 RISING Rising edge of TIOAx 2 FALLING Falling edge of TIOAx 3 EDGE Each edge of TIOAx SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 979 • SBSMPLR: Loading Edge Subsampling Ratio 980 Value Name Description 0 ONE Load a Capture Register each selected edge 1 HALF Load a Capture Register every 2 selected edges 2 FOURTH Load a Capture Register every 4 selected edges 3 EIGHTH Load a Capture Register every 8 selected edges 4 SIXTEENTH Load a Capture Register every 16 selected edges SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 38.7.3 TC Channel Mode Register: Waveform Mode Name: TC_CMRx [x=0..2] (WAVEFORM_MODE) Address: 0x40090004 (0)[0], 0x40090044 (0)[1], 0x40090084 (0)[2], 0x40094004 (1)[0], 0x40094044 (1)[1], 0x40094084 (1)[2], 0x40098004 (2)[0], 0x40098044 (2)[1], 0x40098084 (2)[2] Access: Read/Write 31 30 29 BSWTRG 23 28 27 BEEVT 22 21 ASWTRG 20 14 13 7 CPCDIS 6 CPCSTOP WAVSEL 25 24 BCPB 19 AEEVT 15 WAVE 26 BCPC 18 17 16 ACPC 12 ENETRG 11 4 3 CLKI 5 BURST ACPA 10 9 EEVT 8 EEVTEDG 2 1 TCCLKS 0 This register can only be written if the WPEN bit is cleared in the TC Write Protection Mode Register. • TCCLKS: Clock Selection Value Name Description 0 TIMER_CLOCK1 Clock selected: internal MCK/2 clock signal (from PMC) 1 TIMER_CLOCK2 Clock selected: internal MCK/8 clock signal (from PMC) 2 TIMER_CLOCK3 Clock selected: internal MCK/32 clock signal (from PMC) 3 TIMER_CLOCK4 Clock selected: internal MCK/128 clock signal (from PMC) 4 TIMER_CLOCK5 Clock selected: internal SLCK clock signal (from PMC) 5 XC0 Clock selected: XC0 6 XC1 Clock selected: XC1 7 XC2 Clock selected: XC2 To operate at maximum peripheral clock frequency, refer to Section 38.7.14 “TC Extended Mode Register”. • CLKI: Clock Invert 0: Counter is incremented on rising edge of the clock. 1: Counter is incremented on falling edge of the clock. • BURST: Burst Signal Selection Value Name Description 0 NONE The clock is not gated by an external signal. 1 XC0 XC0 is ANDed with the selected clock. 2 XC1 XC1 is ANDed with the selected clock. 3 XC2 XC2 is ANDed with the selected clock. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 981 • CPCSTOP: Counter Clock Stopped with RC Compare 0: Counter clock is not stopped when counter reaches RC. 1: Counter clock is stopped when counter reaches RC. • CPCDIS: Counter Clock Disable with RC Compare 0: Counter clock is not disabled when counter reaches RC. 1: Counter clock is disabled when counter reaches RC. • EEVTEDG: External Event Edge Selection Value Name Description 0 NONE None 1 RISING Rising edge 2 FALLING Falling edge 3 EDGE Each edge • EEVT: External Event Selection Signal selected as external event. Value Note: Name Description 0 TIOB (1) TIOB Direction TIOB Input 1 XC0 XC0 Output 2 XC1 XC1 Output 3 XC2 XC2 Output 1. If TIOB is chosen as the external event signal, it is configured as an input and no longer generates waveforms and subsequently no IRQs. • ENETRG: External Event Trigger Enable 0: The external event has no effect on the counter and its clock. 1: The external event resets the counter and starts the counter clock. Note: Whatever the value programmed in ENETRG, the selected external event only controls the TIOAx output and TIOBx if not used as input (trigger event input or other input used). • WAVSEL: Waveform Selection Value Name Description 0 UP UP mode without automatic trigger on RC Compare 1 UPDOWN UPDOWN mode without automatic trigger on RC Compare 2 UP_RC UP mode with automatic trigger on RC Compare 3 UPDOWN_RC UPDOWN mode with automatic trigger on RC Compare • WAVE: Waveform Mode 0: Waveform mode is disabled (Capture mode is enabled). 1: Waveform mode is enabled. 982 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 • ACPA: RA Compare Effect on TIOAx Value Name Description 0 NONE None 1 SET Set 2 CLEAR Clear 3 TOGGLE Toggle • ACPC: RC Compare Effect on TIOAx Value Name Description 0 NONE None 1 SET Set 2 CLEAR Clear 3 TOGGLE Toggle • AEEVT: External Event Effect on TIOAx Value Name Description 0 NONE None 1 SET Set 2 CLEAR Clear 3 TOGGLE Toggle • ASWTRG: Software Trigger Effect on TIOAx Value Name Description 0 NONE None 1 SET Set 2 CLEAR Clear 3 TOGGLE Toggle • BCPB: RB Compare Effect on TIOBx Value Name Description 0 NONE None 1 SET Set 2 CLEAR Clear 3 TOGGLE Toggle SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 983 • BCPC: RC Compare Effect on TIOBx Value Name Description 0 NONE None 1 SET Set 2 CLEAR Clear 3 TOGGLE Toggle • BEEVT: External Event Effect on TIOBx Value Name Description 0 NONE None 1 SET Set 2 CLEAR Clear 3 TOGGLE Toggle • BSWTRG: Software Trigger Effect on TIOBx 984 Value Name Description 0 NONE None 1 SET Set 2 CLEAR Clear 3 TOGGLE Toggle SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 38.7.4 TC Stepper Motor Mode Register Name: TC_SMMRx [x=0..2] Address: 0x40090008 (0)[0], 0x40090048 (0)[1], 0x40090088 (0)[2], 0x40094008 (1)[0], 0x40094048 (1)[1], 0x40094088 (1)[2], 0x40098008 (2)[0], 0x40098048 (2)[1], 0x40098088 (2)[2] Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 – 2 – 1 DOWN 0 GCEN This register can only be written if the WPEN bit is cleared in the TC Write Protection Mode Register. • GCEN: Gray Count Enable 0: TIOAx [x=0..2] and TIOBx [x=0..2] are driven by internal counter of channel x. 1: TIOAx [x=0..2] and TIOBx [x=0..2] are driven by a 2-bit Gray counter. • DOWN: Down Count 0: Up counter. 1: Down counter. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 985 38.7.5 TC Register AB Name: TC_RABx [x=0..2] Address: 0x4009000C (0)[0], 0x4009004C (0)[1], 0x4009008C (0)[2], 0x4009400C (1)[0], 0x4009404C (1)[1], 0x4009408C (1)[2], 0x4009800C (2)[0], 0x4009804C (2)[1], 0x4009808C (2)[2] Access: Read-only 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 RAB 23 22 21 20 RAB 15 14 13 12 RAB 7 6 5 4 RAB • RAB: Register A or Register B RAB contains the next unread capture Register A or Register B value in real time. It is usually read by the DMA after a request due to a valid load edge on TIOAx. When DMA is used, the RAB register address must be configured as source address of the transfer. 986 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 38.7.6 TC Counter Value Register Name: TC_CVx [x=0..2] Address: 0x40090010 (0)[0], 0x40090050 (0)[1], 0x40090090 (0)[2], 0x40094010 (1)[0], 0x40094050 (1)[1], 0x40094090 (1)[2], 0x40098010 (2)[0], 0x40098050 (2)[1], 0x40098090 (2)[2] Access: Read-only 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 CV 23 22 21 20 CV 15 14 13 12 CV 7 6 5 4 CV • CV: Counter Value CV contains the counter value in real time. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 987 38.7.7 TC Register A Name: TC_RAx [x=0..2] Address: 0x40090014 (0)[0], 0x40090054 (0)[1], 0x40090094 (0)[2], 0x40094014 (1)[0], 0x40094054 (1)[1], 0x40094094 (1)[2], 0x40098014 (2)[0], 0x40098054 (2)[1], 0x40098094 (2)[2] Access: Read-only if TC_CMRx.WAVE = 0, Read/Write if TC_CMRx.WAVE = 1 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 RA 23 22 21 20 RA 15 14 13 12 RA 7 6 5 4 RA This register can only be written if the WPEN bit is cleared in the TC Write Protection Mode Register. • RA: Register A RA contains the Register A value in real time. 988 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 38.7.8 TC Register B Name: TC_RBx [x=0..2] Address: 0x40090018 (0)[0], 0x40090058 (0)[1], 0x40090098 (0)[2], 0x40094018 (1)[0], 0x40094058 (1)[1], 0x40094098 (1)[2], 0x40098018 (2)[0], 0x40098058 (2)[1], 0x40098098 (2)[2] Access: Read-only if TC_CMRx.WAVE = 0, Read/Write if TC_CMRx.WAVE = 1 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 RB 23 22 21 20 RB 15 14 13 12 RB 7 6 5 4 RB This register can only be written if the WPEN bit is cleared in the TC Write Protection Mode Register. • RB: Register B RB contains the Register B value in real time. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 989 38.7.9 TC Register C Name: TC_RCx [x=0..2] Address: 0x4009001C (0)[0], 0x4009005C (0)[1], 0x4009009C (0)[2], 0x4009401C (1)[0], 0x4009405C (1)[1], 0x4009409C (1)[2], 0x4009801C (2)[0], 0x4009805C (2)[1], 0x4009809C (2)[2] Access: Read/Write 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 RC 23 22 21 20 RC 15 14 13 12 RC 7 6 5 4 RC This register can only be written if the WPEN bit is cleared in the TC Write Protection Mode Register. • RC: Register C RC contains the Register C value in real time. 990 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 38.7.10 TC Status Register Name: TC_SRx [x=0..2] Address: 0x40090020 (0)[0], 0x40090060 (0)[1], 0x400900A0 (0)[2], 0x40094020 (1)[0], 0x40094060 (1)[1], 0x400940A0 (1)[2], 0x40098020 (2)[0], 0x40098060 (2)[1], 0x400980A0 (2)[2] Access: Read-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 MTIOB 17 MTIOA 16 CLKSTA 15 – 14 – 13 – 12 – 11 – 10 – 9 RXBUFF 8 ENDRX 7 ETRGS 6 LDRBS 5 LDRAS 4 CPCS 3 CPBS 2 CPAS 1 LOVRS 0 COVFS • COVFS: Counter Overflow Status (cleared on read) 0: No counter overflow has occurred since the last read of the Status Register. 1: A counter overflow has occurred since the last read of the Status Register. • LOVRS: Load Overrun Status (cleared on read) 0: Load overrun has not occurred since the last read of the Status Register or TC_CMRx.WAVE = 1. 1: RA or RB have been loaded at least twice without any read of the corresponding register since the last read of the Status Register, if TC_CMRx.WAVE = 0. • CPAS: RA Compare Status (cleared on read) 0: RA Compare has not occurred since the last read of the Status Register or TC_CMRx.WAVE = 0. 1: RA Compare has occurred since the last read of the Status Register, if TC_CMRx.WAVE = 1. • CPBS: RB Compare Status (cleared on read) 0: RB Compare has not occurred since the last read of the Status Register or TC_CMRx.WAVE = 0. 1: RB Compare has occurred since the last read of the Status Register, if TC_CMRx.WAVE = 1. • CPCS: RC Compare Status (cleared on read) 0: RC Compare has not occurred since the last read of the Status Register. 1: RC Compare has occurred since the last read of the Status Register. • LDRAS: RA Loading Status (cleared on read) 0: RA Load has not occurred since the last read of the Status Register or TC_CMRx.WAVE = 1. 1: RA Load has occurred since the last read of the Status Register, if TC_CMRx.WAVE = 0. • LDRBS: RB Loading Status (cleared on read) 0: RB Load has not occurred since the last read of the Status Register or TC_CMRx.WAVE = 1. 1: RB Load has occurred since the last read of the Status Register, if TC_CMRx.WAVE = 0. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 991 • ETRGS: External Trigger Status (cleared on read) 0: External trigger has not occurred since the last read of the Status Register. 1: External trigger has occurred since the last read of the Status Register. • ENDRX: End of Receiver Transfer (cleared by writing TC_RCR or TC_RNCR) 0: The Receive Counter Register has not reached 0 since the last write in TC_RCR(1) or TC_RNCR(1). 1: The Receive Counter Register has reached 0 since the last write in TC_RCR or TC_RNCR. • RXBUFF: Reception Buffer Full (cleared by writing TC_RCR or TC_RNCR) 0: TC_RCR or TC_RNCR have a value other than 0. 1: Both TC_RCR and TC_RNCR have a value of 0. Note: 1. TC_RCR and TC_RNCR are PDC registers. • CLKSTA: Clock Enabling Status 0: Clock is disabled. 1: Clock is enabled. • MTIOA: TIOAx Mirror 0: TIOAx is low. If TC_CMRx.WAVE = 0, this means that TIOAx pin is low. If TC_CMRx.WAVE = 1, this means that TIOAx is driven low. 1: TIOAx is high. If TC_CMRx.WAVE = 0, this means that TIOAx pin is high. If TC_CMRx.WAVE = 1, this means that TIOAx is driven high. • MTIOB: TIOBx Mirror 0: TIOBx is low. If TC_CMRx.WAVE = 0, this means that TIOBx pin is low. If TC_CMRx.WAVE = 1, this means that TIOBx is driven low. 1: TIOBx is high. If TC_CMRx.WAVE = 0, this means that TIOBx pin is high. If TC_CMRx.WAVE = 1, this means that TIOBx is driven high. 992 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 38.7.11 Name: TC Interrupt Enable Register TC_IERx [x=0..2] Address: 0x40090024 (0)[0], 0x40090064 (0)[1], 0x400900A4 (0)[2], 0x40094024 (1)[0], 0x40094064 (1)[1], 0x400940A4 (1)[2], 0x40098024 (2)[0], 0x40098064 (2)[1], 0x400980A4 (2)[2] Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 RXBUFF 8 ENDRX 7 ETRGS 6 LDRBS 5 LDRAS 4 CPCS 3 CPBS 2 CPAS 1 LOVRS 0 COVFS • COVFS: Counter Overflow 0: No effect. 1: Enables the Counter Overflow Interrupt. • LOVRS: Load Overrun 0: No effect. 1: Enables the Load Overrun Interrupt. • CPAS: RA Compare 0: No effect. 1: Enables the RA Compare Interrupt. • CPBS: RB Compare 0: No effect. 1: Enables the RB Compare Interrupt. • CPCS: RC Compare 0: No effect. 1: Enables the RC Compare Interrupt. • LDRAS: RA Loading 0: No effect. 1: Enables the RA Load Interrupt. • LDRBS: RB Loading 0: No effect. 1: Enables the RB Load Interrupt. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 993 • ETRGS: External Trigger 0: No effect. 1: Enables the External Trigger Interrupt. • ENDRX: End of Receiver Transfer 0: No effect. 1: Enables the PDC Receive End of Transfer Interrupt. • RXBUFF: Reception Buffer Full 0: No effect. 1: Enables the PDC Receive Buffer Full Interrupt. 994 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 38.7.12 Name: TC Interrupt Disable Register TC_IDRx [x=0..2] Address: 0x40090028 (0)[0], 0x40090068 (0)[1], 0x400900A8 (0)[2], 0x40094028 (1)[0], 0x40094068 (1)[1], 0x400940A8 (1)[2], 0x40098028 (2)[0], 0x40098068 (2)[1], 0x400980A8 (2)[2] Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 RXBUFF 8 ENDRX 7 ETRGS 6 LDRBS 5 LDRAS 4 CPCS 3 CPBS 2 CPAS 1 LOVRS 0 COVFS • COVFS: Counter Overflow 0: No effect. 1: Disables the Counter Overflow Interrupt. • LOVRS: Load Overrun 0: No effect. 1: Disables the Load Overrun Interrupt (if TC_CMRx.WAVE = 0). • CPAS: RA Compare 0: No effect. 1: Disables the RA Compare Interrupt (if TC_CMRx.WAVE = 1). • CPBS: RB Compare 0: No effect. 1: Disables the RB Compare Interrupt (if TC_CMRx.WAVE = 1). • CPCS: RC Compare 0: No effect. 1: Disables the RC Compare Interrupt. • LDRAS: RA Loading 0: No effect. 1: Disables the RA Load Interrupt (if TC_CMRx.WAVE = 0). • LDRBS: RB Loading 0: No effect. 1: Disables the RB Load Interrupt (if TC_CMRx.WAVE = 0). SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 995 • ETRGS: External Trigger 0: No effect. 1: Disables the External Trigger Interrupt. • ENDRX: End of Receiver Transfer 0: No effect. 1: Disables the PDC Receive End of Transfer Interrupt. • RXBUFF: Reception Buffer Full 0: No effect. 1: Disables the PDC Receive Buffer Full Interrupt. 996 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 38.7.13 Name: TC Interrupt Mask Register TC_IMRx [x=0..2] Address: 0x4009002C (0)[0], 0x4009006C (0)[1], 0x400900AC (0)[2], 0x4009402C (1)[0], 0x4009406C (1)[1], 0x400940AC (1)[2], 0x4009802C (2)[0], 0x4009806C (2)[1], 0x400980AC (2)[2] Access: Read-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 RXBUFF 8 ENDRX 7 ETRGS 6 LDRBS 5 LDRAS 4 CPCS 3 CPBS 2 CPAS 1 LOVRS 0 COVFS • COVFS: Counter Overflow 0: The Counter Overflow Interrupt is disabled. 1: The Counter Overflow Interrupt is enabled. • LOVRS: Load Overrun 0: The Load Overrun Interrupt is disabled. 1: The Load Overrun Interrupt is enabled. • CPAS: RA Compare 0: The RA Compare Interrupt is disabled. 1: The RA Compare Interrupt is enabled. • CPBS: RB Compare 0: The RB Compare Interrupt is disabled. 1: The RB Compare Interrupt is enabled. • CPCS: RC Compare 0: The RC Compare Interrupt is disabled. 1: The RC Compare Interrupt is enabled. • LDRAS: RA Loading 0: The Load RA Interrupt is disabled. 1: The Load RA Interrupt is enabled. • LDRBS: RB Loading 0: The Load RB Interrupt is disabled. 1: The Load RB Interrupt is enabled. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 997 • ETRGS: External Trigger 0: The External Trigger Interrupt is disabled. 1: The External Trigger Interrupt is enabled. • ENDRX: End of Receiver Transfer 0: The PDC Receive End of Transfer Interrupt is disabled. 1: The PDC Receive End of Transfer Interrupt is enabled. • RXBUFF: Reception Buffer Full 0: The PDC Receive Buffer Full Interrupt is disabled. 1: The PDC Receive Buffer Full Interrupt is enabled. 998 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 38.7.14 TC Extended Mode Register Name: TC_EMRx [x=0..2] Address: 0x40090030 (0)[0], 0x40090070 (0)[1], 0x400900B0 (0)[2], 0x40094030 (1)[0], 0x40094070 (1)[1], 0x400940B0 (1)[2], 0x40098030 (2)[0], 0x40098070 (2)[1], 0x400980B0 (2)[2] Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 NODIVCLK 7 – 6 – 5 4 3 – 2 – 1 TRIGSRCB 0 TRIGSRCA • TRIGSRCA: Trigger Source for Input A Value Name Description 0 EXTERNAL_TIOAx The trigger/capture input A is driven by external pin TIOAx 1 PWMx The trigger/capture input A is driven internally by PWMx • TRIGSRCB: Trigger Source for Input B Value Name Description 0 EXTERNAL_TIOBx The trigger/capture input B is driven by external pin TIOBx 1 PWMx The trigger/capture input B is driven internally by the comparator output (see Figure 38-16) of the PWMx. • NODIVCLK: No Divided Clock 0: The selected clock is defined by field TCCLKS in TC_CMRx. 1: The selected clock is peripheral clock and TCCLKS field (TC_CMRx) has no effect. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 999 38.7.15 TC Block Control Register Name: TC_BCR Address: 0x400900C0 (0), 0x400940C0 (1), 0x400980C0 (2) Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 – 2 – 1 – 0 SYNC • SYNC: Synchro Command 0: No effect. 1: Asserts the SYNC signal which generates a software trigger simultaneously for each of the channels. 1000 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 38.7.16 TC Block Mode Register Name: TC_BMR Address: 0x400900C4 (0), 0x400940C4 (1), 0x400980C4 (2) Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 23 22 21 20 19 – 18 – 17 IDXPHB 16 SWAP 12 EDGPHA 11 QDTRANS 10 SPEEDEN 9 POSEN 8 QDEN 4 3 2 1 0 MAXFILT 15 INVIDX 14 INVB 13 INVA 7 – 6 – 5 TC2XC2S 24 MAXFILT TC1XC1S TC0XC0S This register can only be written if the WPEN bit is cleared in the TC Write Protection Mode Register. • TC0XC0S: External Clock Signal 0 Selection Value Name Description 0 TCLK0 Signal connected to XC0: TCLK0 1 – Reserved 2 TIOA1 Signal connected to XC0: TIOA1 3 TIOA2 Signal connected to XC0: TIOA2 • TC1XC1S: External Clock Signal 1 Selection Value Name Description 0 TCLK1 Signal connected to XC1: TCLK1 1 – Reserved 2 TIOA0 Signal connected to XC1: TIOA0 3 TIOA2 Signal connected to XC1: TIOA2 • TC2XC2S: External Clock Signal 2 Selection Value Name Description 0 TCLK2 Signal connected to XC2: TCLK2 1 – Reserved 2 TIOA0 Signal connected to XC2: TIOA0 3 TIOA1 Signal connected to XC2: TIOA1 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1001 • QDEN: Quadrature Decoder Enabled 0: Disabled. 1: Enables the QDEC (filter, edge detection and quadrature decoding). Quadrature decoding (direction change) can be disabled using QDTRANS bit. One of the POSEN or SPEEDEN bits must be also enabled. • POSEN: Position Enabled 0: Disable position. 1: Enables the position measure on channel 0 and 1. • SPEEDEN: Speed Enabled 0: Disabled. 1: Enables the speed measure on channel 0, the time base being provided by channel 2. • QDTRANS: Quadrature Decoding Transparent 0: Full quadrature decoding logic is active (direction change detected). 1: Quadrature decoding logic is inactive (direction change inactive) but input filtering and edge detection are performed. • EDGPHA: Edge on PHA Count Mode 0: Edges are detected on PHA only. 1: Edges are detected on both PHA and PHB. • INVA: Inverted PHA 0: PHA (TIOA0) is directly driving the QDEC. 1: PHA is inverted before driving the QDEC. • INVB: Inverted PHB 0: PHB (TIOB0) is directly driving the QDEC. 1: PHB is inverted before driving the QDEC. • INVIDX: Inverted Index 0: IDX (TIOA1) is directly driving the QDEC. 1: IDX is inverted before driving the QDEC. • SWAP: Swap PHA and PHB 0: No swap between PHA and PHB. 1: Swap PHA and PHB internally, prior to driving the QDEC. • IDXPHB: Index Pin is PHB Pin 0: IDX pin of the rotary sensor must drive TIOA1. 1: IDX pin of the rotary sensor must drive TIOB0. • MAXFILT: Maximum Filter 1–63: Defines the filtering capabilities. Pulses with a period shorter than MAXFILT+1 peripheral clock cycles are discarded. 1002 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 38.7.17 TC QDEC Interrupt Enable Register Name: TC_QIER Address: 0x400900C8 (0), 0x400940C8 (1), 0x400980C8 (2) Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 – 2 QERR 1 DIRCHG 0 IDX • IDX: Index 0: No effect. 1: Enables the interrupt when a rising edge occurs on IDX input. • DIRCHG: Direction Change 0: No effect. 1: Enables the interrupt when a change on rotation direction is detected. • QERR: Quadrature Error 0: No effect. 1: Enables the interrupt when a quadrature error occurs on PHA, PHB. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1003 38.7.18 TC QDEC Interrupt Disable Register Name: TC_QIDR Address: 0x400900CC (0), 0x400940CC (1), 0x400980CC (2) Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 – 2 QERR 1 DIRCHG 0 IDX • IDX: Index 0: No effect. 1: Disables the interrupt when a rising edge occurs on IDX input. • DIRCHG: Direction Change 0: No effect. 1: Disables the interrupt when a change on rotation direction is detected. • QERR: Quadrature Error 0: No effect. 1: Disables the interrupt when a quadrature error occurs on PHA, PHB. 1004 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 38.7.19 TC QDEC Interrupt Mask Register Name: TC_QIMR Address: 0x400900D0 (0), 0x400940D0 (1), 0x400980D0 (2) Access: Read-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 – 2 QERR 1 DIRCHG 0 IDX • IDX: Index 0: The interrupt on IDX input is disabled. 1: The interrupt on IDX input is enabled. • DIRCHG: Direction Change 0: The interrupt on rotation direction change is disabled. 1: The interrupt on rotation direction change is enabled. • QERR: Quadrature Error 0: The interrupt on quadrature error is disabled. 1: The interrupt on quadrature error is enabled. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1005 38.7.20 TC QDEC Interrupt Status Register Name: TC_QISR Address: 0x400900D4 (0), 0x400940D4 (1), 0x400980D4 (2) Access: Read-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 DIR 7 – 6 – 5 – 4 – 3 – 2 QERR 1 DIRCHG 0 IDX • IDX: Index 0: No Index input change since the last read of TC_QISR. 1: The IDX input has changed since the last read of TC_QISR. • DIRCHG: Direction Change 0: No change on rotation direction since the last read of TC_QISR. 1: The rotation direction changed since the last read of TC_QISR. • QERR: Quadrature Error 0: No quadrature error since the last read of TC_QISR. 1: A quadrature error occurred since the last read of TC_QISR. • DIR: Direction Returns an image of the actual rotation direction. 1006 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 38.7.21 TC Fault Mode Register Name: TC_FMR Address: 0x400900D8 (0), 0x400940D8 (1), 0x400980D8 (2) Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 – 2 – 1 ENCF1 0 ENCF0 This register can only be written if the WPEN bit is cleared in the TC Write Protection Mode Register. • ENCF0: Enable Compare Fault Channel 0 0: Disables the FAULT output source (CPCS flag) from channel 0. 1: Enables the FAULT output source (CPCS flag) from channel 0. • ENCF1: Enable Compare Fault Channel 1 0: Disables the FAULT output source (CPCS flag) from channel 1. 1: Enables the FAULT output source (CPCS flag) from channel 1. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1007 38.7.22 TC Write Protection Mode Register Name: TC_WPMR Address: 0x400900E4 (0), 0x400940E4 (1), 0x400980E4 (2) Access: Read/Write 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 – 2 – 1 – 0 WPEN WPKEY 23 22 21 20 WPKEY 15 14 13 12 WPKEY 7 – 6 – 5 – 4 – • WPEN: Write Protection Enable 0: Disables the write protection if WPKEY corresponds to 0x54494D (“TIM” in ASCII). 1: Enables the write protection if WPKEY corresponds to 0x54494D (“TIM” in ASCII). The Timer Counter clock of the first channel must be enabled to access this register. See Section 38.6.19 “Register Write Protection” for a list of registers that can be write-protected and Timer Counter clock conditions. • WPKEY: Write Protection Key Value 0x54494D 1008 Name PASSWD Description Writing any other value in this field aborts the write operation of the WPEN bit. Always reads as 0. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39. Pulse Width Modulation Controller (PWM) 39.1 Description The Pulse Width Modulation Controller (PWM) generates output pulses on 4 channels independently according to parameters defined per channel. Each channel controls two complementary square output waveforms. Characteristics of the output waveforms such as period, duty-cycle, polarity and dead-times (also called deadbands or non-overlapping times) are configured through the user interface. Each channel selects and uses one of the clocks provided by the clock generator. The clock generator provides several clocks resulting from the division of the PWM peripheral clock. All accesses to the PWM are made through registers mapped on the peripheral bus. All channels integrate a double buffering system in order to prevent an unexpected output waveform while modifying the period, the spread spectrum, the duty-cycle or the dead-times. Channels can be linked together as synchronous channels to be able to update their duty-cycle or dead-times at the same time. The update of duty-cycles of synchronous channels can be performed by the DMA Controller channel which offers buffer transfer without processor Intervention. The PWM includes a spread-spectrum counter to allow a constantly varying period (only for Channel 0). This counter may be useful to minimize electromagnetic interference or to reduce the acoustic noise of a PWM driven motor. The PWM provides 8 independent comparison units capable of comparing a programmed value to the counter of the synchronous channels (counter of channel 0). These comparisons are intended to generate software interrupts, to trigger pulses on the 2 independent event lines (in order to synchronize ADC conversions with a lot of flexibility independently of the PWM outputs) and to trigger DMA Controllertransfer requests. PWM outputs can be overridden synchronously or asynchronously to their channel counter. The PWM provides a fault protection mechanism with 8 fault inputs, capable to detect a fault condition and to override the PWM outputs asynchronously (outputs forced to ‘0’, ‘1’ or Hi-Z). For safety usage, some configuration registers are write-protected. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1009 39.2 Embedded Characteristics  4 Channels  Common Clock Generator Providing Thirteen Different Clocks  ̶ A Modulo n Counter Providing Eleven Clocks ̶ Two Independent Linear Dividers Working on Modulo n Counter Outputs Independent Channels ̶ Independent 16-bit Counter for Each Channel ̶ Independent Complementary Outputs with 12-bit Dead-Time Generator (Also Called Dead-Band or Non-Overlapping Time) for Each Channel ̶ Independent Enable Disable Command for Each Channel ̶ Independent Clock Selection for Each Channel ̶ Independent Period, Duty-Cycle and Dead-Time for Each Channel ̶ Independent Double Buffering of Period, Duty-Cycle and Dead-Times for Each Channel ̶ Independent Programmable Selection of The Output Waveform Polarity for Each Channel, with Double Buffering ̶ Independent Programmable Center- or Left-aligned Output Waveform for Each Channel ̶ Independent Output Override for Each Channel ̶ Independent Interrupt for Each Channel, at Each Period for Left-Aligned or Center-Aligned Configuration ̶ Independent Update Time Selection of Double Buffering Registers (Polarity, Duty Cycle ) for Each Channel, at Each Period for Left-Aligned or Center-Aligned Configuration  2 2-bit Gray Up/Down Channels for Stepper Motor Control  Spread Spectrum Counter to Allow a Constantly Varying Duty Cycle (only for Channel 0)  Synchronous Channel Mode  ̶ Synchronous Channels Share the Same Counter ̶ Mode to Update the Synchronous Channels Registers after a Programmable Number of Periods ̶ Synchronous Channels Supports Connection of one Peripheral DMA Controller Channel Which Offers Buffer Transfer Without Processor Intervention To Update Duty-Cycle Registers 2 Independent Events Lines Intended to Synchronize ADC Conversions ̶  8 Comparison Units Intended to Generate Interrupts, Pulses on Event Lines and Peripheral DMA Controller Transfer Requests  8 Programmable Fault Inputs Providing an Asynchronous Protection of PWM Outputs  1010 Programmable delay for Events Lines to delay ADC measurements ̶ 1 User Driven through PIO Inputs ̶ PMC Driven when Crystal Oscillator Clock Fails ̶ ADC Controller Driven through Configurable Comparison Function ̶ Analog Comparator Controller Driven ̶ Timer/Counter Driven through Configurable Comparison Function Register Write Protection SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.3 Block Diagram Figure 39-1. Pulse Width Modulation Controller Block Diagram PWM Controller Channel x Update Period Duty-Cycle MUX Counter Channel x Clock Selector DTOHx Dead-Time Generator DTOLx OOOHx Output Override OOOLx PWMHx Fault Protection PWMLx PWMHx PWMLx SYNCx Comparator OCx PIO Channel 0 Update Period Comparator OC0 DTOH0 Dead-Time Generator DTOL0 OOOH0 Output Override OOOL0 PWMH0 Fault Protection PWML0 PWMH0 PWML0 Duty-Cycle Counter Channel 0 Clock Selector PWMFIx PIO PWMFI0 event line 0 event line 1 Comparison Units Events Generator ADC event line x PMC Peripheral Clock CLOCK Generator APB Interface Interrupt Generator Interrupt Controller APB 39.4 I/O Lines Description Each channel outputs two complementary external I/O lines. Table 39-1. 39.5 39.5.1 I/O Line Description Name Description Type PWMHx PWM Waveform Output High for channel x Output PWMLx PWM Waveform Output Low for channel x Output PWMFIx PWM Fault Input x Input Product Dependencies I/O Lines The pins used for interfacing the PWM are multiplexed with PIO lines. The programmer must first program the PIO controller to assign the desired PWM pins to their peripheral function. If I/O lines of the PWM are not used by the application, they can be used for other purposes by the PIO controller. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1011 All of the PWM outputs may or may not be enabled. If an application requires only four channels, then only four PIO lines are assigned to PWM outputs. 39.5.2 Power Management The PWM is not continuously clocked. The programmer must first enable the PWM clock in the Power Management Controller (PMC) before using the PWM. However, if the application does not require PWM operations, the PWM clock can be stopped when not needed and be restarted later. In this case, the PWM will resume its operations where it left off. 39.5.3 Interrupt Sources The PWM interrupt line is connected on one of the internal sources of the Interrupt Controller. Using the PWM interrupt requires the Interrupt Controller to be programmed first. 39.5.4 Fault Inputs The PWM has the fault inputs connected to the different modules. Refer to the implementation of these modules within the product for detailed information about the fault generation procedure. The PWM receives faults from:  PIO inputs  the PMC  the ADC controller  the Analog Comparator Controller  Timer/Counters Table 39-2. Fault Inputs Fault Generator External PWM Fault Input Number Polarity Level(1) Fault Input ID Main OSC (PMC) – To be configured to 1 0 ADC – To be configured to 1 1 PXyy PWMFI0 User-defined 2 PXyy PWMFI1 User-defined 3 PXyy PWMFI2 User-defined 4 PXyy PWMFI3 User-defined 5 PXyy PWMFI4 User-defined 6 PXyy PWMFI5 User-defined 7 Note: 1012 1. FPOL field in PWMC_FMR. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.6 Functional Description The PWM controller is primarily composed of a clock generator module and 4 channels. 39.6.1  Clocked by the peripheral clock, the clock generator module provides 13 clocks.  Each channel can independently choose one of the clock generator outputs.  Each channel generates an output waveform with attributes that can be defined independently for each channel through the user interface registers. PWM Clock Generator Figure 39-2. Functional View of the Clock Generator Block Diagram Peripheral Clock modulo n counter peripheral clock peripheral clock/2 peripheral clock/4 peripheral clock/8 peripheral clock/16 peripheral clock/32 peripheral clock/64 peripheral clock/128 peripheral clock/256 peripheral clock/512 peripheral clock/1024 Divider A PREA clkA DIVA PWM_MR Divider B PREB clkB DIVB PWM_MR The PWM peripheral clock is divided in the clock generator module to provide different clocks available for all channels. Each channel can independently select one of the divided clocks. The clock generator is divided into different blocks: ̶ ̶ a modulo n counter which provides 11 clocks: fperipheral clock, fperipheral clock/2, fperipheral clock/4, fperipheral clock/8, fperipheral clock/16, fperipheral clock/32, fperipheral clock/64, fperipheral clock/128, fperipheral clock/256, fperipheral clock/512, fperipheral clock/1024 two linear dividers (1, 1/2, 1/3, ... 1/255) that provide two separate clocks: clkA and clkB Each linear divider can independently divide one of the clocks of the modulo n counter. The selection of the clock to be divided is made according to the PREA (PREB) field of the PWM Clock register (PWM_CLK). The resulting clock clkA (clkB) is the clock selected divided by DIVA (DIVB) field value. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1013 After a reset of the PWM controller, DIVA (DIVB) and PREA (PREB) are set to ‘0’. This implies that after reset clkA (clkB) are turned off. At reset, all clocks provided by the modulo n counter are turned off except the peripheral clock. This situation is also true when the PWM peripheral clock is turned off through the Power Management Controller. CAUTION: Before using the PWM controller, the programmer must first enable the peripheral clock in the Power Management Controller (PMC). 39.6.2 PWM Channel 39.6.2.1 Channel Block Diagram Figure 39-3. Functional View of the Channel Block Diagram Channel x Update Period MUX Comparator x OCx PWMHx OOOHx DTOHx Dead-Time Output Fault Generator DTOLx Override OOOLx Protection PWMLx Duty-Cycle MUX from Clock Generator Clock Selector SYNCx Counter Channel x from APB Peripheral Bus Counter Channel 0 2-bit gray counter z Comparator y MUX z = 0 (x = 0, y = 1), z = 1 (x = 2, y = 3), z = 2 (x = 4, y = 5), z = 3 (x = 6, y = 7) Channel y (= x+1) OCy PWMHy OOOHy DTOHy Dead-Time Output Fault Generator DTOLy Override OOOLy Protection PWMLy Each of the 4 channels is composed of six blocks: 1014  A clock selector which selects one of the clocks provided by the clock generator (described in Section 39.6.1 “PWM Clock Generator”).  A counter clocked by the output of the clock selector. This counter is incremented or decremented according to the channel configuration and comparators matches. The size of the counter is 16 bits.  A comparator used to compute the OCx output waveform according to the counter value and the configuration. The counter value can be the one of the channel counter or the one of the channel 0 counter according to SYNCx bit in the PWM Sync Channels Mode Register (PWM_SCM).  A 2-bit configurable gray counter enables the stepper motor driver. One gray counter drives 2 channels.  A dead-time generator providing two complementary outputs (DTOHx/DTOLx) which allows to drive external power control switches safely.  An output override block that can force the two complementary outputs to a programmed value (OOOHx/OOOLx).  An asynchronous fault protection mechanism that has the highest priority to override the two complementary outputs (PWMHx/PWMLx) in case of fault detection (outputs forced to ‘0’, ‘1’ or Hi-Z). SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.6.2.2 Comparator The comparator continuously compares its counter value with the channel period defined by CPRD in the PWM Channel Period Register (PWM_CPRDx) and the duty-cycle defined by CDTY in the PWM Channel Duty Cycle Register (PWM_CDTYx) to generate an output signal OCx accordingly. The different properties of the waveform of the output OCx are:  the clock selection. The channel counter is clocked by one of the clocks provided by the clock generator described in the previous section. This channel parameter is defined in the CPRE field of the PWM Channel Mode Register (PWM_CMRx). This field is reset at ‘0’.  the waveform period. This channel parameter is defined in the CPRD field of the PWM_CPRDx register. If the waveform is left-aligned, then the output waveform period depends on the counter source clock and can be calculated: By using the PWM peripheral clock divided by a given prescaler value “X” (where X = 2PREA is 1, 2, 4, 8, 16, 32, 64, 128, 256, 512, or 1024). The resulting period formula is: ( X × CPRD )------------------------------f peripheral clock By using the PWM peripheral clock divided by a given prescaler value “X” (see above) and by either the DIVA or the DIVB divider. The formula becomes, respectively: ( X × C RPD × DIVA -) ( X × C RPD × DIVB ) --------------------------------------------------or ---------------------------------------------------f peripheral clock f peripheral clock If the waveform is center-aligned, then the output waveform period depends on the counter source clock and can be calculated: By using the PWM peripheral clock divided by a given prescaler value “X” (where X = 2PREA is 1, 2, 4, 8, 16, 32, 64, 128, 256, 512, or 1024). The resulting period formula is: (---------------------------------------2 × X × CPRD ) f peripheral clock By using the PWM peripheral clock divided by a given prescaler value “X” (see above) and by either the DIVA or the DIVB divider. The formula becomes, respectively: ( 2 × X × C PRD × DIVA ) ------------------------------------------------------------or f peripheral clock ( 2 × X × C PRD × DIVB ) ------------------------------------------------------------f peripheral clock  the waveform duty-cycle. This channel parameter is defined in the CDTY field of the PWM_CDTYx register. If the waveform is left-aligned, then: ( period – 1 ⁄ fchannel_x_clock × CDTY ) duty cycle = ---------------------------------------------------------------------------------------------------period If the waveform is center-aligned, then: ( ( period ⁄ 2 ) – 1 ⁄ fchannel_x_clock × CDTY ) ) duty cycle = ------------------------------------------------------------------------------------------------------------------( period ⁄ 2 )  the waveform polarity. At the beginning of the period, the signal can be at high or low level. This property is defined in the CPOL bit of PWM_CMRx. By default, the signal starts by a low level. the waveform alignment. The output waveform can be left- or center-aligned. Center-aligned waveforms can be used to SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1015 generate non-overlapped waveforms. This property is defined in the CALG bit of PWM_CMRx. The default mode is left-aligned. Figure 39-4. Non-Overlapped Center-Aligned Waveforms No overlap OC0 OC1 Period Note: 1. See Figure 39-5 for a detailed description of center-aligned waveforms. When center-aligned, the channel counter increases up to CPRD and decreases down to 0. This ends the period. When left-aligned, the channel counter increases up to CPRD and is reset. This ends the period. Thus, for the same CPRD value, the period for a center-aligned channel is twice the period for a left-aligned channel. Waveforms are fixed at 0 when:  CDTY = CPRD and CPOL = 0  CDTY = 0 and CPOL = 1 Waveforms are fixed at 1 (once the channel is enabled) when:  CDTY = 0 and CPOL = 0  CDTY = CPRD and CPOL = 1 The waveform polarity must be set before enabling the channel. This immediately affects the channel output level. Modifying CPOL in PWM Channel Mode Register while the channel is enabled can lead to an unexpected behavior of the device being driven by PWM. In addition to generating the output signals OCx, the comparator generates interrupts depending on the counter value. When the output waveform is left-aligned, the interrupt occurs at the end of the counter period. When the output waveform is center-aligned, the bit CES of PWM_CMRx defines when the channel counter interrupt occurs. If CES is set to ‘0’, the interrupt occurs at the end of the counter period. If CES is set to ‘1’, the interrupt occurs at the end of the counter period and at half of the counter period. Figure 39-5 illustrates the counter interrupts depending on the configuration. 1016 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 39-5. Waveform Properties Channel x slected clock CHIDx(PWM_SR) CHIDx(PWM_ENA) CHIDx(PWM_DIS) Center Aligned CALG(PWM_CMRx) = 1 PWM_CCNTx CPRD(PWM_CPRDx) CDTY(PWM_CDTYx) Period Output Waveform OCx CPOL(PWM_CMRx) = 0 Output Waveform OCx CPOL(PWM_CMRx) = 1 Counter Event CHIDx(PWM_ISR) CES(PWM_CMRx) = 0 Counter Event CHIDx(PWM_ISR) CES(PWM_CMRx) = 1 Left Aligned CALG(PWM_CMRx) = 0 PWM_CCNTx CPRD(PWM_CPRDx) CDTY(PWM_CDTYx) Period Output Waveform OCx CPOL(PWM_CMRx) = 0 Output Waveform OCx CPOL(PWM_CMRx) = 1 Counter Event CHIDx(PWM_ISR) SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1017 39.6.2.3 Trigger Selection for Timer Counter The PWM controller can be used as a trigger source for the Timer Counter (TC) to achieve the two application examples described below. Delay Measurement To measure the delay between the channel x comparator output (OCx) and the feedback from the bridge driver of the MOSFETs (see Figure 39-6), the bit TCTS in the PWM Channel Mode Register must be at 0. This defines the comparator output of the channel x as the TC trigger source. The TIOB trigger (TC internal input) is used to start the TC; the TIOA input (from PAD) is used to capture the delay. Figure 39-6. Triggering the TC: Delay Measurement Microcontroller PIO TIMER_COUNTER TIOA TIOA TIOA CH0 CH1 CH2 TIOB TIOB TIOB MOSFETs PWM Triggers BRIDGE DRIVER PWM0 PWM1 PWM2 PWM: OCx (internally routed to TIOB) TC: TIOA (from PAD) Capture event TC: Count value and capture event (TIOA/TIOB rising edge triggered) Capture event TC: Count value and capture event (TIOA/TIOB falling edge triggered) Cumulated ON Time Measurement To measure the cumulated “ON” time of MOSFETs (see Figure 39-7), the bit TCTS of the PWM Channel Mode Register must be set to 1 to define the counter event (see Figure 39-5) as the Timer Counter trigger source. 1018 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 39-7. Triggering the TC: Cumulated “ON” Time Measurement Microcontroller PIO TIMER_COUNTER TIOA TIOA TIOA CH0 CH1 CH2 TIOB TIOB TIOB MOSFETs PWM Triggers BRIDGE DRIVER PWM0 PWM1 PWM2 Center Aligned CALG(PWM_CMRx) = 1 PWM_CCNTx CPRD(PWM_CPRDx) CDTY(PWM_CDTYx) Period PWM: OCx TC: TIOA (from PAD) PWM Counter Event CES(PWM_CMRx) = 0 (internally routed to TIOB) TC: Count value (TIOA/TIOB rising edge triggered) Left Aligned CALG(PWM_CMRx) = 0 PWM_CCNTx CPRD(PWM_CPRDx) CDTY(PWM_CDTYx) Period PWM: OCx TC: TIOA (from PAD) PWM Counter Event (internally routed to TIOB) TC: Count value (TIOA/TIOB rising edge triggered) SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1019 39.6.2.4 2-bit Gray Up/Down Counter for Stepper Motor A pair of channels may provide a 2-bit gray count waveform on two outputs. Dead-time generator and other downstream logic can be configured on these channels. Up or Down Count mode can be configured on-the-fly by means of PWM_SMMR configuration registers. When GCEN0 is set to ‘1’, channels 0 and 1 outputs are driven with gray counter. Figure 39-8. 2-bit Gray Up/Down Counter GCEN0 = 1 PWMH0 PWML0 PWMH1 PWML1 DOWNx 39.6.2.5 Dead-Time Generator The dead-time generator uses the comparator output OCx to provide the two complementary outputs DTOHx and DTOLx, which allows the PWM macrocell to drive external power control switches safely. When the dead-time generator is enabled by setting the bit DTE to 1 or 0 in the PWM Channel Mode Register (PWM_CMRx), deadtimes (also called dead-bands or non-overlapping times) are inserted between the edges of the two complementary outputs DTOHx and DTOLx. Note that enabling or disabling the dead-time generator is allowed only if the channel is disabled. The dead-time is adjustable by the PWM Channel Dead Time Register (PWM_DTx). Each output of the dead-time generator can be adjusted separately by DTH and DTL. The dead-time values can be updated synchronously to the PWM period by using the PWM Channel Dead Time Update Register (PWM_DTUPDx). The dead-time is based on a specific counter which uses the same selected clock that feeds the channel counter of the comparator. Depending on the edge and the configuration of the dead-time, DTOHx and DTOLx are delayed until the counter has reached the value defined by DTH or DTL. An inverted configuration bit (DTHI and DTLI bit in PWM_CMRx) is provided for each output to invert the dead-time outputs. The following figure shows the waveform of the dead-time generator. 1020 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 39-9. Complementary Output Waveforms Output waveform OCx CPOLx = 0 Output waveform DTOHx DTHIx = 0 Output waveform DTOLx DTLIx = 0 Output waveform DTOHx DTHIx = 1 Output waveform DTOLx DTLIx = 1 DTHx DTLx DTHx DTLx Output waveform OCx CPOLx = 1 Output waveform DTOHx DTHIx = 0 Output waveform DTOLx DTLIx = 0 Output waveform DTOHx DTHIx = 1 Output waveform DTOLx DTLIx = 1 39.6.2.6 Output Override The two complementary outputs DTOHx and DTOLx of the dead-time generator can be forced to a value defined by the software. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1021 Figure 39-10. Override Output Selection DTOHx 0 OOOHx OOVHx 1 OSHx DTOLx 0 OOOLx OOVLx 1 OSLx The fields OSHx and OSLx in the PWM Output Selection Register (PWM_OS) allow the outputs of the dead-time generator DTOHx and DTOLx to be overridden by the value defined in the fields OOVHx and OOVLx in the PWM Output Override Value Register (PWM_OOV). The set registers PWM Output Selection Set Register (PWM_OSS) and PWM Output Selection Set Update Register (PWM_OSSUPD) enable the override of the outputs of a channel regardless of other channels. In the same way, the clear registers PWM Output Selection Clear Register (PWM_OSC) and PWM Output Selection Clear Update Register (PWM_OSCUPD) disable the override of the outputs of a channel regardless of other channels. By using buffer registers PWM_OSSUPD and PWM_OSCUPD, the output selection of PWM outputs is done synchronously to the channel counter, at the beginning of the next PWM period. By using registers PWM_OSS and PWM_OSC, the output selection of PWM outputs is done asynchronously to the channel counter, as soon as the register is written. The value of the current output selection can be read in PWM_OS. While overriding PWM outputs, the channel counters continue to run, only the PWM outputs are forced to user defined values. 1022 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.6.2.7 Fault Protection 8 inputs provide fault protection which can force any of the PWM output pairs to a programmable value. This mechanism has priority over output overriding. Figure 39-11. Fault Protection 0 fault input 0 Glitch Filter FIV0 1 = 0 FMOD0 SET OUT Fault 0 Status FS0 FPEx[0] CLR FPE0[0] FFIL0 0 fault input 1 Glitch Filter 1 Write FCLR0 at 1 FPOL0 FIV1 = FMOD0 0 FMOD1 SET OUT Write FCLR1 at 1 FPEx[1] FMOD1 From Output Override OOHx 0 PWMHx 1 1 FPVHx SYNCx from fault 1 1 FPE0[1] FPOL1 0 High Impedance State Fault 1 Status FS1 CLR FFIL1 from fault 0 1 1 0 FPZHx 0 Fault protection on PWM channel x 1 from fault y SYNCx fault input y High Impedance State FPVLx 1 0 FPZLx 1 OOLx From Output Override 0 PWMLx The polarity level of the fault inputs is configured by the FPOL field in the PWM Fault Mode Register (PWM_FMR). For fault inputs coming from internal peripherals such as ADC or Timer Counter, the polarity level must be FPOL = 1. For fault inputs coming from external GPIO pins the polarity level depends on the user's implementation. The configuration of the Fault Activation mode (FMOD field in PWMC_FMR) depends on the peripheral generating the fault. If the corresponding peripheral does not have “Fault Clear” management, then the FMOD configuration to use must be FMOD = 1, to avoid spurious fault detection. Refer to the corresponding peripheral documentation for details on handling fault generation. Fault inputs may or may not be glitch-filtered depending on the FFIL field in PWM_FMR. When the filter is activated, glitches on fault inputs with a width inferior to the PWM peripheral clock period are rejected. A fault becomes active as soon as its corresponding fault input has a transition to the programmed polarity level. If the corresponding bit FMOD is set to ‘0’ in PWM_FMR, the fault remains active as long as the fault input is at this polarity level. If the corresponding FMOD field is set to ‘1’, the fault remains active until the fault input is no longer at this polarity level and until it is cleared by writing the corresponding bit FCLR in the PWM Fault Clear Register (PWM_FCR). In the PWM Fault Status Register (PWM_FSR), the field FIV indicates the current level of the fault inputs and the field FIS indicates whether a fault is currently active. Each fault can be taken into account or not by the fault protection mechanism in each channel. To be taken into account in the channel x, the fault y must be enabled by the bit FPEx[y] in the PWM Fault Protection Enable registers (PWM_FPE1). However, synchronous channels (see Section 39.6.2.9 “Synchronous Channels”) do not use their own fault enable bits, but those of the channel 0 (bits FPE0[y]). The fault protection on a channel is triggered when this channel is enabled and when any one of the faults that are enabled for this channel is active. It can be triggered even if the PWM peripheral clock is not running but only by a fault input that is not glitch-filtered. When the fault protection is triggered on a channel, the fault protection mechanism resets the counter of this channel and forces the channel outputs to the values defined by the fields FPVHx and FPVLx in the PWM Fault Protection Value Register 1 (PWM_FPV) and fields FPZHx/FPZLx in the PWM Fault Protection Value Register 2, as shown in Table 39-3. The output forcing is made asynchronously to the channel counter. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1023 Table 39-3. Forcing Values of PWM Outputs by Fault Protection FPZH/Lx FPVH/Lx Forcing Value of PWMH/Lx 0 0 0 0 1 1 1 – High impedance state (Hi-Z) CAUTION:  To prevent any unexpected activation of the status flag FSy in PWM_FSR, the FMODy bit can be set to ‘1’ only if the FPOLy bit has been previously configured to its final value.  To prevent any unexpected activation of the Fault Protection on the channel x, the bit FPEx[y] can be set to ‘1’ only if the FPOLy bit has been previously configured to its final value. If a comparison unit is enabled (see Section 39.6.3 “PWM Comparison Units”) and if a fault is triggered in the channel 0, then the comparison cannot match. As soon as the fault protection is triggered on a channel, an interrupt (different from the interrupt generated at the end of the PWM period) can be generated but only if it is enabled and not masked. The interrupt is reset by reading the interrupt status register, even if the fault which has caused the trigger of the fault protection is kept active. 39.6.2.8 Spread Spectrum Counter The PWM macrocell includes a spread spectrum counter allowing the generation of a constantly varying duty cycle on the output PWM waveform (only for the channel 0). This feature may be useful to minimize electromagnetic interference or to reduce the acoustic noise of a PWM driven motor. This is achieved by varying the effective period in a range defined by a spread spectrum value which is programmed by the field SPRD in the PWM Spread Spectrum Register (PWM_SSPR). The effective period of the output waveform is the value of the spread spectrum counter added to the programmed waveform period CPRD in the PWM Channel Period Register (PWM_CPRD0). It will cause the effective period to vary from CPRD-SPRD to CPRD+SPRD. This leads to a constantly varying duty cycle on the PWM output waveform because the duty cycle value programmed is unchanged. The value of the spread spectrum counter can change in two ways depending on the bit SPRDM in PWM_SSPR. If SPRDM = 0, the Triangular mode is selected. The spread spectrum counter starts to count from -SPRD when the channel 0 is enabled or after reset and counts upwards at each period of the channel counter. When it reaches SPRD, it restarts to count from -SPRD again. If SPRDM = 1, the Random mode is selected. A new random value is assigned to the spread spectrum counter at each period of the channel counter. This random value is between -SPRD and +SPRD and is uniformly distributed. 1024 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 39-12. Spread Spectrum Counter Max value of the channel counter CPRD+SPRD Period Value: CPRD Variation of the effective period CPRD-SPRD Duty Cycle Value: CDTY 0x0 39.6.2.9 Synchronous Channels Some channels can be linked together as synchronous channels. They have the same source clock, the same period, the same alignment and are started together. In this way, their counters are synchronized together. The synchronous channels are defined by the SYNCx bits in the PWM Sync Channels Mode Register (PWM_SCM). Only one group of synchronous channels is allowed. When a channel is defined as a synchronous channel, the channel 0 is also automatically defined as a synchronous channel. This is because the channel 0 counter configuration is used by all the synchronous channels. If a channel x is defined as a synchronous channel, the fields/bits for the channel 0 are used instead of those of channel x:  CPRE in PWM_CMR0 instead of CPRE in PWM_CMRx (same source clock)  CPRD in PWM_CPRD0 instead of CPRD in PWM_CPRDx (same period)  CALG in PWM_CMR0 instead of CALG in PWM_CMRx (same alignment) Modifying the fields CPRE, CPRD and CALG of for channels with index greater than 0 has no effect on output waveforms. Because counters of synchronous channels must start at the same time, they are all enabled together by enabling the channel 0 (by the CHID0 bit in PWM_ENA register). In the same way, they are all disabled together by disabling channel 0 (by the CHID0 bit in PWM_DIS register). However, a synchronous channel x different from channel 0 can be enabled or disabled independently from others (by the CHIDx bit in PWM_ENA and PWM_DIS registers). Defining a channel as a synchronous channel while it is an asynchronous channel (by writing the bit SYNCx to ‘1’ while it was at ‘0’) is allowed only if the channel is disabled at this time (CHIDx = 0 in PWM_SR). In the same way, defining a channel as an asynchronous channel while it is a synchronous channel (by writing the SYNCx bit to ‘0’ while it was ‘1’) is allowed only if the channel is disabled at this time. The UPDM field (Update Mode) in the PWM_SCM register selects one of the three methods to update the registers of the synchronous channels:  Method 1 (UPDM = 0): The period value, the duty-cycle values and the dead-time values must be written by the processor in their respective update registers (respectively PWM_CPRDUPDx, PWM_CDTYUPDx and PWM_DTUPDx).The update is triggered at the next PWM period as soon as the bit UPDULOCK in the PWM SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1025 Sync Channels Update Control Register (PWM_SCUC) is set to ‘1’ (see “Method 1: Manual write of dutycycle values and manual trigger of the update” ).  Method 2 (UPDM = 1): The period value, the duty-cycle values, the dead-time values and the update period value must be written by the processor in their respective update registers (respectively PWM_CPRDUPDx, PWM_CDTYUPDx and PWM_DTUPD). The update of the period value and of the dead-time values is triggered at the next PWM period as soon as the bit UPDULOCK in the PWM_SCUC register is set to ‘1’. The update of the duty-cycle values and the update period value is triggered automatically after an update period defined by the field UPR in the PWM Sync Channels Update Period Register (PWM_SCUP) (see “Method 2: Manual write of duty-cycle values and automatic trigger of the update” ).  Method 3 (UPDM = 2): Same as Method 2 apart from the fact that the duty-cycle values of ALL synchronous channels are written by the Peripheral DMA Controller (see “Method 3: Automatic write of duty-cycle values and automatic trigger of the update” ). The user can choose to synchronize the Peripheral DMA Controller transfer request with a comparison match (see Section 39.6.3 “PWM Comparison Units”), by the fields PTRM and PTRCS in the PWM_SCM register. The DMA destination address must be configured to access only the PWM DMA Register (PWM_DMAR). The DMA buffer data structure must consist of sequentially repeated duty cycles. The number of duty cycles in each sequence corresponds to the number of synchronized channels. Duty cycles in each sequence must be ordered from the lowest to the highest channel index. The size of the duty cycle is 16 bits. Table 39-4. Summary of the Update of Registers of Synchronous Channels Register UPDM = 0 UPDM = 1 UPDM = 2 Write by the processor Period Value (PWM_CPRDUPDx) Update is triggered at the next PWM period as soon as the bit UPDULOCK is set to ‘1’ Write by the processor Dead-Time Values (PWM_DTUPDx) Update is triggered at the next PWM period as soon as the bit UPDULOCK is set to ‘1’ Write by the processor Duty-Cycle Values (PWM_CDTYUPDx) Write by the processor Write by the Peripheral DMA Controller Update is triggered at the next PWM period as soon as the bit UPDULOCK is set to ‘1’ Update is triggered at the next PWM period as soon as the update period counter has reached the value UPR Not applicable Write by the processor Not applicable Update is triggered at the next PWM period as soon as the update period counter has reached the value UPR Update Period Value (PWM_SCUPUPD) Method 1: Manual write of duty-cycle values and manual trigger of the update In this mode, the update of the period value, the duty-cycle values and the dead-time values must be done by writing in their respective update registers with the processor (respectively PWM_CPRDUPDx, PWM_CDTYUPDx and PWM_DTUPDx). To trigger the update, the user must use the bit UPDULOCK in the PWM_SCUC register which allows to update synchronously (at the same PWM period) the synchronous channels:  If the bit UPDULOCK is set to ‘1’, the update is done at the next PWM period of the synchronous channels.  If the UPDULOCK bit is not set to ‘1’, the update is locked and cannot be performed. After writing the UPDULOCK bit to ‘1’, it is held at this value until the update occurs, then it is read 0. Sequence for Method 1: 1. Select the manual write of duty-cycle values and the manual update by setting the UPDM field to ‘0’ in the PWM_SCM register. 2. 1026 Define the synchronous channels by the SYNCx bits in the PWM_SCM register. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 3. Enable the synchronous channels by writing CHID0 in the PWM_ENA register. 4. If an update of the period value and/or the duty-cycle values and/or the dead-time values is required, write registers that need to be updated (PWM_CPRDUPDx, PWM_CDTYUPDx and PWM_DTUPDx). 5. Set UPDULOCK to ‘1’ in PWM_SCUC. 6. The update of the registers will occur at the beginning of the next PWM period. When the UPDULOCK bit is reset, go to Step 4. for new values. Figure 39-13. Method 1 (UPDM = 0) CCNT0 CDTYUPD 0x20 0x40 0x20 0x40 0x60 UPDULOCK CDTY 0x60 Method 2: Manual write of duty-cycle values and automatic trigger of the update In this mode, the update of the period value, the duty-cycle values, the dead-time values and the update period value must be done by writing in their respective update registers with the processor (respectively PWM_CPRDUPDx, PWM_CDTYUPDx, PWM_DTUPDx and PWM_SCUPUPD). To trigger the update of the period value and the dead-time values, the user must use the bit UPDULOCK in the PWM_SCUC register, which updates synchronously (at the same PWM period) the synchronous channels:  If the bit UPDULOCK is set to ‘1’, the update is done at the next PWM period of the synchronous channels.  If the UPDULOCK bit is not set to ‘1’, the update is locked and cannot be performed. After writing the UPDULOCK bit to ‘1’, it is held at this value until the update occurs, then it is read 0. The update of the duty-cycle values and the update period is triggered automatically after an update period. To configure the automatic update, the user must define a value for the update period by the UPR field in the PWM_SCUP register. The PWM controller waits UPR+1 period of synchronous channels before updating automatically the duty values and the update period value. The status of the duty-cycle value write is reported in the PWM Interrupt Status Register 2 (PWM_ISR2) by the following flags:  WRDY: this flag is set to ‘1’ when the PWM Controller is ready to receive new duty-cycle values and a new update period value. It is reset to ‘0’ when the PWM_ISR2 register is read. Depending on the interrupt mask in the PWM Interrupt Mask Register 2 (PWM_IMR2), an interrupt can be generated by these flags. Sequence for Method 2: 1. Select the manual write of duty-cycle values and the automatic update by setting the field UPDM to ‘1’ in the PWM_SCM register 2. Define the synchronous channels by the bits SYNCx in the PWM_SCM register. 3. Define the update period by the field UPR in the PWM_SCUP register. 4. Enable the synchronous channels by writing CHID0 in the PWM_ENA register. 5. If an update of the period value and/or of the dead-time values is required, write registers that need to be updated (PWM_CPRDUPDx, PWM_DTUPDx), else go to Step 8. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1027 6. Set UPDULOCK to ‘1’ in PWM_SCUC. 7. The update of these registers will occur at the beginning of the next PWM period. At this moment the bit UPDULOCK is reset, go to Step 5. for new values. 8. If an update of the duty-cycle values and/or the update period is required, check first that write of new update values is possible by polling the flag WRDY (or by waiting for the corresponding interrupt) in PWM_ISR2. 9. Write registers that need to be updated (PWM_CDTYUPDx, PWM_SCUPUPD). 10. The update of these registers will occur at the next PWM period of the synchronous channels when the Update Period is elapsed. Go to Step 8. for new values. Figure 39-14. Method 2 (UPDM = 1) CCNT0 CDTYUPD UPRUPD 0x1 UPR 0x1 UPRCNT 0x0 CDTY 0x60 0x40 0x20 0x3 0x3 0x1 0x0 0x20 0x1 0x0 0x40 0x1 0x0 0x1 0x2 0x3 0x0 0x1 0x2 0x60 WRDY Method 3: Automatic write of duty-cycle values and automatic trigger of the update In this mode, the update of the duty cycle values is made automatically by the Peripheral DMA Controller. The update of the period value, the dead-time values and the update period value must be done by writing in their respective update registers with the processor (respectively PWM_CPRDUPDx, PWM_DTUPDx and PWM_SCUPUPD). To trigger the update of the period value and the dead-time values, the user must use the bit UPDULOCK which allows to update synchronously (at the same PWM period) the synchronous channels:  If the bit UPDULOCK is set to ‘1’, the update is done at the next PWM period of the synchronous channels.  If the UPDULOCK bit is not set to ‘1’, the update is locked and cannot be performed. After writing the UPDULOCK bit to ‘1’, it is held at this value until the update occurs, then it is read 0. The update of the duty-cycle values and the update period value is triggered automatically after an update period. To configure the automatic update, the user must define a value for the Update Period by the field UPR in the PWM_SCUP register. The PWM controller waits UPR+1 periods of synchronous channels before updating automatically the duty values and the update period value. Using the Peripheral DMA Controller removes processor overhead by reducing its intervention during the transfer. This significantly reduces the number of clock cycles required for a data transfer, which improves microcontroller performance. The Peripheral DMA Controller must write the duty-cycle values in the synchronous channels index order. For example if the channels 0, 1 and 3 are synchronous channels, the Peripheral DMA Controller must write the dutycycle of the channel 0 first, then the duty-cycle of the channel 1, and finally the duty-cycle of the channel 3. The status of the Peripheral DMA Controller transfer is reported in PWM_ISR2 by the following flags: 1028 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16  WRDY: this flag is set to ‘1’ when the PWM Controller is ready to receive new duty-cycle values and a new update period value. It is reset to ‘0’ when PWM_ISR2 is read. The user can choose to synchronize the WRDY flag and the Peripheral DMA Controller transfer request with a comparison match (see Section 39.6.3 “PWM Comparison Units”), by the fields PTRM and PTRCS in the PWM_SCM register.  ENDTX (not relevant if DMA is used): this flag is set to ‘1’ when a PDC transfer is completed  TXBUFE (not relevant if DMA is used): this flag is set to ‘1’ when the PDC buffer is empty (no pending PDC transfers)  UNRE: this flag is set to ‘1’ when the update period defined by the UPR field has elapsed while the whole data has not been written by the Peripheral DMA Controller. It is reset to ‘0’ when PWM_ISR2 is read. Depending on the interrupt mask in PWM_IMR2, an interrupt can be generated by these flags. Sequence for Method 3: 1. Select the automatic write of duty-cycle values and automatic update by setting the field UPDM to 2 in the PWM_SCM register. 2. Define the synchronous channels by the bits SYNCx in the PWM_SCM register. 3. Define the update period by the field UPR in the PWM_SCUP register. 4. Define when the WRDY flag and the corresponding Peripheral DMA Controller transfer request must be set in the update period by the PTRM bit and the PTRCS field in the PWM_SCM register (at the end of the update period or when a comparison matches). 5. Define the Peripheral DMA Controller transfer settings for the duty-cycle values and enable it in the Peripheral DMA Controller registers 6. Enable the synchronous channels by writing CHID0 in the PWM_ENA register. 7. If an update of the period value and/or of the dead-time values is required, write registers that need to be updated (PWM_CPRDUPDx, PWM_DTUPDx), else go to Step 10. 8. Set UPDULOCK to ‘1’ in PWM_SCUC. 9. The update of these registers will occur at the beginning of the next PWM period. At this moment the bit UPDULOCK is reset, go to Step 7. for new values. 10. If an update of the update period value is required, check first that write of a new update value is possible by polling the flag WRDY (or by waiting for the corresponding interrupt) in PWM_ISR2, else go to Step 12. 11. Write the register that needs to be updated (PWM_SCUPUPD). 12. The update of this register will occur at the next PWM period of the synchronous channels when the Update Period is elapsed. Go to Step 10. for new values.If DMA is used: Wait for the DMA status flag indicating that the buffer transfer is complete. If the transfer has ended, define a new DMA transfer for new duty-cycle values. Go to Step 5. If PDC is used: Check the end of the PDC transfer by the flag ENDTX. If the transfer has ended, define a new PDC transfer in the PDC registers for new duty-cycle values. Go to Step 5. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1029 Figure 39-15. Method 3 (UPDM = 2 and PTRM = 0) CCNT0 CDTYUPD UPRUPD 0x1 UPR 0x1 UPRCNT 0x0 CDTY 0x60 0x40 0x20 0x80 0xB0 0xA0 0x3 0x3 0x1 0x0 0x1 0x0 0x1 0x1 0x2 0x3 0x0 0x1 0x80 0x60 0x40 0x20 0x0 0x2 0xA0 transfer request WRDY Figure 39-16. Method 3 (UPDM = 2 and PTRM = 1 and PTRCS = 0) CCNT0 CDTYUPD 0x20 UPRUPD 0x1 UPR 0x1 UPRCNT 0x0 CDTY 0x20 0x60 0x40 0x80 0xB0 0xA0 0x3 0x3 0x1 0x0 0x1 0x40 0x0 0x1 0x60 0x0 0x1 0x80 0x2 0x3 0x0 0x1 0x2 0xA0 CMP0 match transfer request WRDY 39.6.2.10 Update Time for Double-Buffering Registers All channels integrate a double-buffering system in order to prevent an unexpected output waveform while modifying the period, the spread spectrum value, the polarity, the duty-cycle, the dead-times, the output override, and the synchronous channels update period. This double-buffering system comprises the following update registers: 1030  PWM Sync Channels Update Period Update Register  PWM Output Selection Set Update Register  PWM Output Selection Clear Update Register  PWM Spread Spectrum Update Register  PWM Channel Duty Cycle Update Register  PWM Channel Period Update Register SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16  PWM Channel Dead Time Update Register  PWM Channel Mode Update Register When one of these update registers is written to, the write is stored, but the values are updated only at the next PWM period border. In Left-aligned mode (CALG = 0), the update occurs when the channel counter reaches the period value CPRD. In Center-aligned mode, the update occurs when the channel counter value is decremented and reaches the 0 value. In Center-aligned mode, it is possible to trigger the update of the polarity and the duty-cycle at the next half period border. This mode concerns the following update registers:  PWM Channel Duty Cycle Update Register  PWM Channel Mode Update Register The update occurs at the first half period following the write of the update register (either when the channel counter value is incrementing and reaches the period value CPRD, or when the channel counter value is decrementing and reaches the 0 value). To activate this mode, the user must write a one to the bit UPDS in the PWM Channel Mode Register. 39.6.3 PWM Comparison Units The PWM provides 8 independent comparison units able to compare a programmed value with the current value of the channel 0 counter (which is the channel counter of all synchronous channels, Section 39.6.2.9 “Synchronous Channels”). These comparisons are intended to generate pulses on the event lines (used to synchronize ADC, see Section 39.6.4 “PWM Event Lines”), to generate software interrupts and to trigger Peripheral DMA Controller transfer requests for the synchronous channels (see “Method 3: Automatic write of duty-cycle values and automatic trigger of the update” ). Figure 39-17. Comparison Unit Block Diagram CEN [PWM_CMPMx] fault on channel 0 CV [PWM_CMPVx] CNT [PWM_CCNT0] Comparison x = 1 CNT [PWM_CCNT0] is decrementing = 0 1 CVM [PWM_CMPVx] CALG [PWM_CMR0] CPRCNT [PWM_CMPMx] CTR [PWM_CMPMx] = The comparison x matches when it is enabled by the bit CEN in the PWM Comparison x Mode Register (PWM_CMPMx for the comparison x) and when the counter of the channel 0 reaches the comparison value defined by the field CV in PWM Comparison x Value Register (PWM_CMPVx for the comparison x). If the counter of the channel 0 is center-aligned (CALG = 1 in PWM Channel Mode Register), the bit CVM in PWM_CMPVx defines if the comparison is made when the counter is counting up or counting down (in Left-alignment mode CALG = 0, this bit is useless). SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1031 If a fault is active on the channel 0, the comparison is disabled and cannot match (see Section 39.6.2.7 “Fault Protection”). The user can define the periodicity of the comparison x by the fields CTR and CPR in PWM_CMPMx. The comparison is performed periodically once every CPR+1 periods of the counter of the channel 0, when the value of the comparison period counter CPRCNT in PWM_CMPMx reaches the value defined by CTR. CPR is the maximum value of the comparison period counter CPRCNT. If CPR = CTR = 0, the comparison is performed at each period of the counter of the channel 0. The comparison x configuration can be modified while the channel 0 is enabled by using the PWM Comparison x Mode Update Register (PWM_CMPMUPDx registers for the comparison x). In the same way, the comparison x value can be modified while the channel 0 is enabled by using the PWM Comparison x Value Update Register (PWM_CMPVUPDx registers for the comparison x). The update of the comparison x configuration and the comparison x value is triggered periodically after the comparison x update period. It is defined by the field CUPR in PWM_CMPMx. The comparison unit has an update period counter independent from the period counter to trigger this update. When the value of the comparison update period counter CUPRCNT (in PWM_CMPMx) reaches the value defined by CUPR, the update is triggered. The comparison x update period CUPR itself can be updated while the channel 0 is enabled by using the PWM_CMPMUPDx register. CAUTION: The write of PWM_CMPVUPDx must be followed by a write of PWM_CMPMUPDx. The comparison match and the comparison update can be source of an interrupt, but only if it is enabled and not masked. These interrupts can be enabled by the PWM Interrupt Enable Register 2 and disabled by the PWM Interrupt Disable Register 2. The comparison match interrupt and the comparison update interrupt are reset by reading the PWM Interrupt Status Register 2. 1032 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 39-18. Comparison Waveform CCNT0 CVUPD 0x6 0x6 0x2 CVMVUPD CTRUPD 0x1 0x2 CPRUPD 0x1 0x3 CUPRUPD 0x3 0x2 CV 0x6 0x2 CTR 0x1 0x2 CPR 0x1 0x3 CUPR 0x3 0x2 CUPRCNT 0x0 0x1 0x2 0x3 0x0 0x1 0x2 0x0 0x1 0x2 0x0 0x1 CPRCNT 0x0 0x1 0x0 0x1 0x0 0x1 0x2 0x3 0x0 0x1 0x2 0x3 0x6 CVM Comparison Update CMPU Comparison Match CMPM 39.6.4 PWM Event Lines The PWM provides 2 independent event lines intended to trigger actions in other peripherals (e.g., for the Analogto-Digital Converter (ADC)). A pulse (one cycle of the peripheral clock) is generated on an event line, when at least one of the selected comparisons is matching. The comparisons can be selected or unselected independently by the CSEL bits in the PWM Event Line x Register (PWM_ELMRx for the Event Line x). An example of event generation is provided in Figure 39-20. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1033 Figure 39-19. Event Line Block Diagram CMPM0 (PWM_ISR2) CSEL0 (PWM_ELMRx) CMPM1 (PWM_ISR2) CSEL1 (PWM_ELMRx) CMPM2 (PWM_ISR2) CSEL2 (PWM_ELMRx) PULSE GENERATOR Event Line x CMPM7 (PWM_ISR2) CSEL7 (PWM_ELMRx) Figure 39-20. Event Line Generation Waveform (Example) PWM_CCNTx CPRD(PWM_CPRD0) CV (PWM_CMPV1) CDTY(PWM_CDTY2) CDTY(PWM_CDTY1) CDTY(PWM_CDTY0) CV (PWM_CMPV0) Waveform OC0 Waveform OC1 Waveform OC2 Comparison Unit 0 Output PWM_CMPM0.CEN = 1 Comparison Unit 1 Output PWM_CMPM0.CEN = 1 Event Line 0 (trigger event for ADC) PWM_ELMR0.CSEL0 = 1 PWM_ELMR0.CSEL1 = 1 configurable delay PWM_CMPV0.CV configurable delay PWM_CMPV1.CV ADC conversion 39.6.5 PWM Controller Operations 39.6.5.1 Initialization ADC conversion Before enabling the channels, they must be configured by the software application as described below: 1034  Unlock User Interface by writing the WPCMD field in PWM_WPCR.  Configuration of the clock generator (DIVA, PREA, DIVB, PREB in the PWM_CLK register if required).  Selection of the clock for each channel (CPRE field in PWM_CMRx)  Configuration of the waveform alignment for each channel (CALG field in PWM_CMRx)  Selection of the counter event selection (if CALG = 1) for each channel (CES field in PWM_CMRx)  Configuration of the output waveform polarity for each channel (CPOL bit in PWM_CMRx) SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16  Configuration of the period for each channel (CPRD in the PWM_CPRDx register). Writing in PWM_CPRDx register is possible while the channel is disabled. After validation of the channel, the user must use PWM_CPRDUPDx register to update PWM_CPRDx as explained below.  Configuration of the duty-cycle for each channel (CDTY in the PWM_CDTYx register). Writing in PWM_CDTYx register is possible while the channel is disabled. After validation of the channel, the user must use PWM_CDTYUPDx register to update PWM_CDTYx as explained below.  Configuration of the dead-time generator for each channel (DTH and DTL in PWM_DTx) if enabled (DTE bit in PWM_CMRx). Writing in the PWM_DTx register is possible while the channel is disabled. After validation of the channel, the user must use PWM_DTUPDx register to update PWM_DTx  Selection of the synchronous channels (SYNCx in the PWM_SCM register)  Selection of the moment when the WRDY flag and the corresponding Peripheral DMA Controller transfer request are set (PTRM and PTRCS in the PWM_SCM register)  Configuration of the Update mode (UPDM in PWM_SCM register)  Configuration of the update period (UPR in PWM_SCUP register) if needed  Configuration of the comparisons (PWM_CMPVx and PWM_CMPMx)  Configuration of the event lines (PWM_ELMRx)  Configuration of the fault inputs polarity (FPOL in PWM_FMR)  Configuration of the fault protection (FMOD and FFIL in PWM_FMR, PWM_FPV and PWM_FPE1)  Enable of the interrupts (writing CHIDx and FCHIDx in PWM_IER1, and writing WRDY, ENDTX, TXBUFE, UNRE, CMPMx and CMPUx in PWM_IER2)  Enable of the PWM channels (writing CHIDx in the PWM_ENA register) 39.6.5.2 Source Clock Selection Criteria The large number of source clocks can make selection difficult. The relationship between the value in the PWM Channel Period Register (PWM_CPRDx) and the PWM Channel Duty Cycle Register (PWM_CDTYx) helps the user select the appropriate clock. The event number written in the Period Register gives the PWM accuracy. The Duty-Cycle quantum cannot be lower than 1/CPRDx value. The higher the value of PWM_CPRDx, the greater the PWM accuracy. For example, if the user sets 15 (in decimal) in PWM_CPRDx, the user is able to set a value from between 1 up to 14 in PWM_CDTYx. The resulting duty-cycle quantum cannot be lower than 1/15 of the PWM period. 39.6.5.3 Changing the Duty-Cycle, the Period and the Dead-Times It is possible to modulate the output waveform duty-cycle, period and dead-times. To prevent unexpected output waveform, the user must use the PWM Channel Duty Cycle Update Register (PWM_CDTYUPDx), the PWM Channel Period Update Register (PWM_CPRDUPDx) and the PWM Channel Dead Time Update Register (PWM_DTUPDx) to change waveform parameters while the channel is still enabled.  If the channel is an asynchronous channel (SYNCx = 0 in PWM Sync Channels Mode Register (PWM_SCM)), these registers hold the new period, duty-cycle and dead-times values until the end of the current PWM period and update the values for the next period.  If the channel is a synchronous channel and update method 0 is selected (SYNCx = 1 and UPDM = 0 in PWM_SCM register), these registers hold the new period, duty-cycle and dead-times values until the bit UPDULOCK is written at ‘1’ (in PWM Sync Channels Update Control Register (PWM_SCUC)) and the end of the current PWM period, then update the values for the next period.  If the channel is a synchronous channel and update method 1 or 2 is selected (SYNCx = 1 and UPDM = 1 or 2 in PWM_SCM register): ̶ registers PWM_CPRDUPDx and PWM_DTUPDx hold the new period and dead-times values until the bit UPDULOCK is written at ‘1’ (in PWM_SCUC) and the end of the current PWM period, then update the values for the next period. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1035 ̶ Note: register PWM_CDTYUPDx holds the new duty-cycle value until the end of the update period of synchronous channels (when UPRCNT is equal to UPR in PWM Sync Channels Update Period Register (PWM_SCUP)) and the end of the current PWM period, then updates the value for the next period. If the update registers PWM_CDTYUPDx, PWM_CPRDUPDx and PWM_DTUPDx are written several times between two updates, only the last written value is taken into account. Figure 39-21. Synchronized Period, Duty-Cycle and Dead-Time Update User's Writing User's Writing User's Writing PWM_DTUPDx Value PWM_CPRDUPDx Value PWM_CDTYUPDx Value PWM_CPRDx PWM_DTx PWM_CDTYx - If Asynchronous Channel -> End of PWM period - If Synchronous Channel -> End of PWM period and UPDULOCK = 1 - If Asynchronous Channel -> End of PWM period - If Synchronous Channel - If UPDM = 0 -> End of PWM period and UPDULOCK = 1 - If UPDM = 1 or 2 -> End of PWM period and end of Update Period 39.6.5.4 Changing the Update Period of Synchronous Channels It is possible to change the update period of synchronous channels while they are enabled. See “Method 2: Manual write of duty-cycle values and automatic trigger of the update” and “Method 3: Automatic write of dutycycle values and automatic trigger of the update” . To prevent an unexpected update of the synchronous channels registers, the user must use the PWM Sync Channels Update Period Update Register (PWM_SCUPUPD) to change the update period of synchronous channels while they are still enabled. This register holds the new value until the end of the update period of synchronous channels (when UPRCNT is equal to UPR in PWM_SCUP) and the end of the current PWM period, then updates the value for the next period. Note: Note: 1036 If the update register PWM_SCUPUPD is written several times between two updates, only the last written value is taken into account. Changing the update period does make sense only if there is one or more synchronous channels and if the update method 1 or 2 is selected (UPDM = 1 or 2 in PWM Sync Channels Mode Register). SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 39-22. Synchronized Update of Update Period Value of Synchronous Channels User's Writing PWM_SCUPUPD Value PWM_SCUP End of PWM period and end of update period of synchronous channels 39.6.5.5 Changing the Comparison Value and the Comparison Configuration It is possible to change the comparison values and the comparison configurations while the channel 0 is enabled (see Section 39.6.3 “PWM Comparison Units”). To prevent unexpected comparison match, the user must use the PWM Comparison x Value Update Register (PWM_CMPVUPDx) and the PWM Comparison x Mode Update Register (PWM_CMPMUPDx) to change, respectively, the comparison values and the comparison configurations while the channel 0 is still enabled. These registers hold the new values until the end of the comparison update period (when CUPRCNT is equal to CUPR in PWM Comparison x Mode Register (PWM_CMPMx) and the end of the current PWM period, then update the values for the next period. CAUTION: The write of the register PWM_CMPVUPDx must be followed by a write of the register PWM_CMPMUPDx. Note: If the update registers PWM_CMPVUPDx and PWM_CMPMUPDx are written several times between two updates, only the last written value are taken into account. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1037 Figure 39-23. Synchronized Update of Comparison Values and Configurations User's Writing User's Writing PWM_CMPVUPDx Value Comparison value for comparison x PWM_CMPMUPDx Value Comparison configuration for comparison x PWM_CMPVx PWM_CMPMx End of channel0 PWM period and end of comparison update period and and PWM_CMPMx written End of channel0 PWM period and end of comparison update period 39.6.5.6 Interrupt Sources Depending on the interrupt mask in PWM_IMR1 and PWM_IMR2, an interrupt can be generated at the end of the corresponding channel period (CHIDx in the PWM Interrupt Status Register 1 (PWM_ISR1)), after a fault event (FCHIDx in PWM_ISR1), after a comparison match (CMPMx in PWM_ISR2), after a comparison update (CMPUx in PWM_ISR2) or according to the Transfer mode of the synchronous channels (WRDY, ENDTX, TXBUFE and UNRE in PWM_ISR2). If the interrupt is generated by the flags CHIDx or FCHIDx, the interrupt remains active until a read operation in PWM_ISR1 occurs. If the interrupt is generated by the flags WRDY or UNRE or CMPMx or CMPUx, the interrupt remains active until a read operation in PWM_ISR2 occurs. A channel interrupt is enabled by setting the corresponding bit in PWM_IER1 and PWM_IER2. A channel interrupt is disabled by setting the corresponding bit in PWM_IDR1 and PWM_IDR2. 1038 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.6.6 Register Write Protection To prevent any single software error that may corrupt PWM behavior, the registers listed below can be writeprotected by writing the field WPCMD in the PWM Write Protection Control Register (PWM_WPCR). They are divided into six groups:  Register group 0:  Register group 1: ̶ ̶ PWM Clock Register     PWM Disable Register Register group 2: ̶ PWM Sync Channels Mode Register ̶ PWM Channel Mode Register ̶ PWM Stepper Motor Mode Register ̶ PWM Channel Mode Update Register Register group 3: ̶ PWM Spread Spectrum Register ̶ PWM Spread Spectrum Update Register ̶ PWM Channel Period Register ̶ PWM Channel Period Update Register Register group 4: ̶ PWM Channel Dead Time Register ̶ PWM Channel Dead Time Update Register Register group 5: ̶ PWM Fault Mode Register ̶ PWM Fault Protection Value Register 1 There are two types of write protection:  SW write protection—can be enabled or disabled by software  HW write protection—can be enabled by software but only disabled by a hardware reset of the PWM controller Both types of write protection can be applied independently to a particular register group by means of the WPCMD and WPRGx fields in PWM_WPCR. If at least one type of write protection is active, the register group is writeprotected. The value of field WPCMD defines the action to be performed:  0: Disables SW write protection of the register groups of which the bit WPRGx is at ‘1’  1: Enables SW write protection of the register groups of which the bit WPRGx is at ‘1’  2: Enables HW write protection of the register groups of which the bit WPRGx is at ‘1’ At any time, the user can determine whether SW or HW write protection is active in a particular register group by the fields WPSWS and WPHWS in the PWM Write Protection Status Register (PWM_WPSR). If a write access to a write-protected register is detected, the WPVS flag in PWM_WPSR is set and the field WPVSRC indicates the register in which the write access has been attempted. The WPVS and WPVSRC fields are automatically cleared after reading PWM_WPSR. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1039 39.7 Pulse Width Modulation Controller (PWM) User Interface Table 39-5. Register Mapping Offset Register Name Access Reset 0x00 PWM Clock Register PWM_CLK Read/Write 0x0 0x04 PWM Enable Register PWM_ENA Write-only – 0x08 PWM Disable Register PWM_DIS Write-only – 0x0C PWM Status Register PWM_SR Read-only 0x0 0x10 PWM Interrupt Enable Register 1 PWM_IER1 Write-only – 0x14 PWM Interrupt Disable Register 1 PWM_IDR1 Write-only – 0x18 PWM Interrupt Mask Register 1 PWM_IMR1 Read-only 0x0 0x1C PWM Interrupt Status Register 1 PWM_ISR1 Read-only 0x0 0x20 PWM Sync Channels Mode Register PWM_SCM Read/Write 0x0 0x24 PWM DMA Register PWM_DMAR Write-only – 0x28 PWM Sync Channels Update Control Register PWM_SCUC Read/Write 0x0 0x2C PWM Sync Channels Update Period Register PWM_SCUP Read/Write 0x0 0x30 PWM Sync Channels Update Period Update Register PWM_SCUPUPD Write-only – 0x34 PWM Interrupt Enable Register 2 PWM_IER2 Write-only – 0x38 PWM Interrupt Disable Register 2 PWM_IDR2 Write-only – 0x3C PWM Interrupt Mask Register 2 PWM_IMR2 Read-only 0x0 0x40 PWM Interrupt Status Register 2 PWM_ISR2 Read-only 0x0 0x44 PWM Output Override Value Register PWM_OOV Read/Write 0x0 0x48 PWM Output Selection Register PWM_OS Read/Write 0x0 0x4C PWM Output Selection Set Register PWM_OSS Write-only – 0x50 PWM Output Selection Clear Register PWM_OSC Write-only – 0x54 PWM Output Selection Set Update Register PWM_OSSUPD Write-only – 0x58 PWM Output Selection Clear Update Register PWM_OSCUPD Write-only – 0x5C PWM Fault Mode Register PWM_FMR Read/Write 0x0 0x60 PWM Fault Status Register PWM_FSR Read-only 0x0 0x64 PWM Fault Clear Register PWM_FCR Write-only – 0x68 PWM Fault Protection Value Register 1 PWM_FPV1 Read/Write 0x0 0x6C PWM Fault Protection Enable Register PWM_FPE Read/Write 0x0 0x70–0x78 Reserved – – – 0x7C PWM Event Line 0 Mode Register PWM_ELMR0 Read/Write 0x0 0x80 PWM Event Line 1 Mode Register PWM_ELMR1 Read/Write 0x0 0x84–0x9C Reserved – – – 0xA0 PWM Spread Spectrum Register PWM_SSPR Read/Write 0x0 0xA4 PWM Spread Spectrum Update Register PWM_SSPUP Write-only – 0xA8–0xAC Reserved – – – 1040 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 39-5. Register Mapping (Continued) Offset Register Name Access Reset 0xB0 PWM Stepper Motor Mode Register PWM_SMMR Read/Write 0x0 0xB4–0xBC Reserved – – – 0xC0 PWM Fault Protection Value 2 Register PWM_FPV2 Read/Write 0x003F_003F 0xC4–0xE0 Reserved – – – 0xE4 PWM Write Protection Control Register PWM_WPCR Write-only – 0xE8 PWM Write Protection Status Register PWM_WPSR Read-only 0x0 0xEC–0xFC Reserved – – – 0x100–0x128 Reserved for PDC registers – – – 0x12C Reserved – – – 0x130 PWM Comparison 0 Value Register PWM_CMPV0 Read/Write 0x0 0x134 PWM Comparison 0 Value Update Register PWM_CMPVUPD0 Write-only – 0x138 PWM Comparison 0 Mode Register PWM_CMPM0 Read/Write 0x0 0x13C PWM Comparison 0 Mode Update Register PWM_CMPMUPD0 Write-only – 0x140 PWM Comparison 1 Value Register PWM_CMPV1 Read/Write 0x0 0x144 PWM Comparison 1 Value Update Register PWM_CMPVUPD1 Write-only – 0x148 PWM Comparison 1 Mode Register PWM_CMPM1 Read/Write 0x0 0x14C PWM Comparison 1 Mode Update Register PWM_CMPMUPD1 Write-only – 0x150 PWM Comparison 2 Value Register PWM_CMPV2 Read/Write 0x0 0x154 PWM Comparison 2 Value Update Register PWM_CMPVUPD2 Write-only – 0x158 PWM Comparison 2 Mode Register PWM_CMPM2 Read/Write 0x0 0x15C PWM Comparison 2 Mode Update Register PWM_CMPMUPD2 Write-only – 0x160 PWM Comparison 3 Value Register PWM_CMPV3 Read/Write 0x0 0x164 PWM Comparison 3 Value Update Register PWM_CMPVUPD3 Write-only – 0x168 PWM Comparison 3 Mode Register PWM_CMPM3 Read/Write 0x0 0x16C PWM Comparison 3 Mode Update Register PWM_CMPMUPD3 Write-only – 0x170 PWM Comparison 4 Value Register PWM_CMPV4 Read/Write 0x0 0x174 PWM Comparison 4 Value Update Register PWM_CMPVUPD4 Write-only – 0x178 PWM Comparison 4 Mode Register PWM_CMPM4 Read/Write 0x0 0x17C PWM Comparison 4 Mode Update Register PWM_CMPMUPD4 Write-only – 0x180 PWM Comparison 5 Value Register PWM_CMPV5 Read/Write 0x0 0x184 PWM Comparison 5 Value Update Register PWM_CMPVUPD5 Write-only – 0x188 PWM Comparison 5 Mode Register PWM_CMPM5 Read/Write 0x0 0x18C PWM Comparison 5 Mode Update Register PWM_CMPMUPD5 Write-only – 0x190 PWM Comparison 6 Value Register PWM_CMPV6 Read/Write 0x0 0x194 PWM Comparison 6 Value Update Register PWM_CMPVUPD6 Write-only – 0x198 PWM Comparison 6 Mode Register PWM_CMPM6 Read/Write 0x0 0x19C PWM Comparison 6 Mode Update Register PWM_CMPMUPD6 Write-only – SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1041 Table 39-5. Register Mapping (Continued) Offset Register Name Access Reset 0x1A0 PWM Comparison 7 Value Register PWM_CMPV7 Read/Write 0x0 0x1A4 PWM Comparison 7 Value Update Register PWM_CMPVUPD7 Write-only – 0x1A8 PWM Comparison 7 Mode Register PWM_CMPM7 Read/Write 0x0 0x1AC PWM Comparison 7 Mode Update Register PWM_CMPMUPD7 Write-only – 0x1B0–0x1FC Reserved – – – 0x200 + ch_num * 0x20 + 0x00 PWM Channel Mode Register(1) PWM_CMR Read/Write 0x0 0x200 + ch_num * 0x20 + 0x04 PWM Channel Duty Cycle Register(1) PWM_CDTY Read/Write 0x0 0x200 + ch_num * 0x20 + 0x08 PWM Channel Duty Cycle Update Register(1) PWM_CDTYUPD Write-only – 0x200 + ch_num * 0x20 + 0x0C PWM Channel Period Register(1) PWM_CPRD Read/Write 0x0 0x200 + ch_num * 0x20 + 0x10 PWM Channel Period Update Register(1) PWM_CPRDUPD Write-only – 0x200 + ch_num * 0x20 + 0x14 PWM Channel Counter Register(1) PWM_CCNT Read-only 0x0 0x200 + ch_num * 0x20 + 0x18 PWM Channel Dead Time Register(1) PWM_DT Read/Write 0x0 0x200 + ch_num * 0x20 + 0x1C PWM Channel Dead Time Update Register(1) PWM_DTUPD Write-only – Write-only – 0x400 + ch_num * PWM_CMUPD PWM Channel Mode Update Register(1) 0x20 + 0x00 Notes: 1. Some registers are indexed with “ch_num” index ranging from 0 to 3. 1042 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.7.1 PWM Clock Register Name: PWM_CLK Access: Read/Write 31 – 30 – 29 – 28 – 23 22 21 20 27 26 25 24 17 16 9 8 1 0 PREB 19 18 11 10 DIVB 15 – 14 – 13 – 12 – 7 6 5 4 PREA 3 2 DIVA This register can only be written if bits WPSWS0 and WPHWS0 are cleared in the PWM Write Protection Status Register. • DIVA: CLKA Divide Factor Value Name 0 CLKA_POFF 1 PREA 2–255 PREA_DIV Description CLKA clock is turned off CLKA clock is clock selected by PREA CLKA clock is clock selected by PREA divided by DIVA factor • DIVB: CLKB Divide Factor Value Name 0 CLKB_POFF 1 PREB 2–255 PREB_DIV Description CLKB clock is turned off CLKB clock is clock selected by PREB CLKB clock is clock selected by PREB divided by DIVB factor • PREA: CLKA Source Clock Selection Value Name Description 0 CLK 1 CLK_DIV2 Peripheral clock/2 2 CLK_DIV4 Peripheral clock/4 3 CLK_DIV8 Peripheral clock/8 4 CLK_DIV16 Peripheral clock/16 5 CLK_DIV32 Peripheral clock/32 6 CLK_DIV64 Peripheral clock/64 7 CLK_DIV128 Peripheral clock/128 8 CLK_DIV256 Peripheral clock/256 9 CLK_DIV512 Peripheral clock/512 10 CLK_DIV1024 Peripheral clock/1024 Other – Peripheral clock Reserved SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1043 • PREB: CLKB Source Clock Selection 1044 Value Name Description 0 CLK 1 CLK_DIV2 Peripheral clock/2 2 CLK_DIV4 Peripheral clock/4 3 CLK_DIV8 Peripheral clock/8 4 CLK_DIV16 Peripheral clock/16 5 CLK_DIV32 Peripheral clock/32 6 CLK_DIV64 Peripheral clock/64 7 CLK_DIV128 Peripheral clock/128 8 CLK_DIV256 Peripheral clock/256 9 CLK_DIV512 Peripheral clock/512 10 CLK_DIV1024 Peripheral clock/1024 Other – Peripheral clock Reserved SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.7.2 PWM Enable Register Name: PWM_ENA Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 CHID3 2 CHID2 1 CHID1 0 CHID0 • CHIDx: Channel ID 0: No effect. 1: Enable PWM output for channel x. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1045 39.7.3 PWM Disable Register Name: PWM_DIS Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 CHID3 2 CHID2 1 CHID1 0 CHID0 This register can only be written if bits WPSWS1 and WPHWS1 are cleared in the PWM Write Protection Status Register. • CHIDx: Channel ID 0: No effect. 1: Disable PWM output for channel x. 1046 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.7.4 PWM Status Register Name: PWM_SR Access: Read-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 CHID3 2 CHID2 1 CHID1 0 CHID0 • CHIDx: Channel ID 0: PWM output for channel x is disabled. 1: PWM output for channel x is enabled. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1047 39.7.5 PWM Interrupt Enable Register 1 Name: PWM_IER1 Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 FCHID3 18 FCHID2 17 FCHID1 16 FCHID0 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 CHID3 2 CHID2 1 CHID1 0 CHID0 • CHIDx: Counter Event on Channel x Interrupt Enable • FCHIDx: Fault Protection Trigger on Channel x Interrupt Enable 1048 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.7.6 PWM Interrupt Disable Register 1 Name: PWM_IDR1 Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 FCHID3 18 FCHID2 17 FCHID1 16 FCHID0 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 CHID3 2 CHID2 1 CHID1 0 CHID0 • CHIDx: Counter Event on Channel x Interrupt Disable • FCHIDx: Fault Protection Trigger on Channel x Interrupt Disable SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1049 39.7.7 PWM Interrupt Mask Register 1 Name: PWM_IMR1 Access: Read-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 FCHID3 18 FCHID2 17 FCHID1 16 FCHID0 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 CHID3 2 CHID2 1 CHID1 0 CHID0 • CHIDx: Counter Event on Channel x Interrupt Mask • FCHIDx: Fault Protection Trigger on Channel x Interrupt Mask 1050 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.7.8 PWM Interrupt Status Register 1 Name: PWM_ISR1 Access: Read-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 FCHID3 18 FCHID2 17 FCHID1 16 FCHID0 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 CHID3 2 CHID2 1 CHID1 0 CHID0 • CHIDx: Counter Event on Channel x 0: No new counter event has occurred since the last read of PWM_ISR1. 1: At least one counter event has occurred since the last read of PWM_ISR1. • FCHIDx: Fault Protection Trigger on Channel x 0: No new trigger of the fault protection since the last read of PWM_ISR1. 1: At least one trigger of the fault protection since the last read of PWM_ISR1. Note: Reading PWM_ISR1 automatically clears CHIDx and FCHIDx flags. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1051 39.7.9 PWM Sync Channels Mode Register Name: PWM_SCM Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 22 21 20 19 18 17 16 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 SYNC3 2 SYNC2 1 SYNC1 0 SYNC0 This register can only be written if bits WPSWS2 and WPHWS2 are cleared in the PWM Write Protection Status Register. • SYNCx: Synchronous Channel x 0: Channel x is not a synchronous channel. 1: Channel x is a synchronous channel. • UPDM: Synchronous Channels Update Mode Value Name 0 MODE0 Manual write of double buffer registers and manual update of synchronous channels(1) 1 MODE1 Manual write of double buffer registers and automatic update of synchronous channels(2) 2 MODE2 Automatic write of duty-cycle update registers by the Peripheral DMA Controller and automatic update of synchronous channels(2) Notes: Description 1. The update occurs at the beginning of the next PWM period, when the UPDULOCK bit in PWM Sync Channels Update Control Register is set. 2. The update occurs when the Update Period is elapsed. • PTRM: Peripheral DMA Controller Transfer Request Mode UPDM PTRM WRDY Flag and Peripheral DMA Controller Transfer Request 0 x The WRDY flag in PWM Interrupt Status Register 2 and the transfer request are never set to ‘1’. 1 x The WRDY flag in PWM Interrupt Status Register 2 is set to ‘1’ as soon as the update period is elapsed, the Peripheral DMA Controller transfer request is never set to ‘1’. 0 The WRDY flag in PWM Interrupt Status Register 2 and the transfer request are set to ‘1’ as soon as the update period is elapsed. 1 The WRDY flag in PWM Interrupt Status Register 2 and the transfer request are set to ‘1’ as soon as the selected comparison matches. 2 • PTRCS: Peripheral DMA Controller Transfer Request Comparison Selection Selection of the comparison used to set the flag WRDY and the corresponding Peripheral DMA Controller transfer request. 1052 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.7.10 PWM DMA Register Name: PWM_DMAR Access: Write- only 31 – 30 – 29 – 28 – 23 22 21 20 27 – 26 – 25 – 24 – 19 18 17 16 11 10 9 8 3 2 1 0 DMADUTY 15 14 13 12 DMADUTY 7 6 5 4 DMADUTY Only the first 16 bits (channel counter size) are significant. • DMADUTY: Duty-Cycle Holding Register for DMA Access Each write access to PWM_DMAR sequentially updates the CDTY field of PWM_CDTYx with DMADUTY (only for channel configured as synchronous). See “Method 3: Automatic write of duty-cycle values and automatic trigger of the update” . SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1053 39.7.11 PWM Sync Channels Update Control Register Name: PWM_SCUC Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 – 2 – 1 – 0 UPDULOCK • UPDULOCK: Synchronous Channels Update Unlock 0: No effect 1: If the UPDM field is set to ‘0’ in PWM Sync Channels Mode Register, writing the UPDULOCK bit to ‘1’ triggers the update of the period value, the duty-cycle and the dead-time values of synchronous channels at the beginning of the next PWM period. If the field UPDM is set to ‘1’ or ‘2’, writing the UPDULOCK bit to ‘1’ triggers only the update of the period value and of the dead-time values of synchronous channels. This bit is automatically reset when the update is done. 1054 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.7.12 PWM Sync Channels Update Period Register Name: PWM_SCUP Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 6 5 4 3 2 1 0 UPRCNT UPR • UPR: Update Period Defines the time between each update of the synchronous channels if automatic trigger of the update is activated (UPDM = 1 or UPDM = 2 in PWM Sync Channels Mode Register). This time is equal to UPR+1 periods of the synchronous channels. • UPRCNT: Update Period Counter Reports the value of the update period counter. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1055 39.7.13 PWM Sync Channels Update Period Update Register Name: PWM_SCUPUPD Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 2 1 0 UPRUPD This register acts as a double buffer for the UPR value. This prevents an unexpected automatic trigger of the update of synchronous channels. • UPRUPD: Update Period Update Defines the wanted time between each update of the synchronous channels if automatic trigger of the update is activated (UPDM = 1 or UPDM = 2 in PWM Sync Channels Mode Register). This time is equal to UPR+1 periods of the synchronous channels. 1056 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.7.14 PWM Interrupt Enable Register 2 Name: PWM_IER2 Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 CMPU7 22 CMPU6 21 CMPU5 20 CMPU4 19 CMPU3 18 CMPU2 17 CMPU1 16 CMPU0 15 CMPM7 14 CMPM6 13 CMPM5 12 CMPM4 11 CMPM3 10 CMPM2 9 CMPM1 8 CMPM0 7 – 6 – 5 – 4 – 3 UNRE 2 TXBUFE 1 ENDTX 0 WRDY • WRDY: Write Ready for Synchronous Channels Update Interrupt Enable • ENDTX: PDC End of TX Buffer Interrupt Enable • TXBUFE: PDC TX Buffer Empty Interrupt Enable • UNRE: Synchronous Channels Update Underrun Error Interrupt Enable • CMPMx: Comparison x Match Interrupt Enable • CMPUx: Comparison x Update Interrupt Enable SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1057 39.7.15 PWM Interrupt Disable Register 2 Name: PWM_IDR2 Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 CMPU7 22 CMPU6 21 CMPU5 20 CMPU4 19 CMPU3 18 CMPU2 17 CMPU1 16 CMPU0 15 CMPM7 14 CMPM6 13 CMPM5 12 CMPM4 11 CMPM3 10 CMPM2 9 CMPM1 8 CMPM0 7 – 6 – 5 – 4 – 3 UNRE 2 TXBUFE 1 ENDTX 0 WRDY • WRDY: Write Ready for Synchronous Channels Update Interrupt Disable • ENDTX: PDC End of TX Buffer Interrupt Disable • TXBUFE: PDC TX Buffer Empty Interrupt Disable • UNRE: Synchronous Channels Update Underrun Error Interrupt Disable • CMPMx: Comparison x Match Interrupt Disable • CMPUx: Comparison x Update Interrupt Disable 1058 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.7.16 PWM Interrupt Mask Register 2 Name: PWM_IMR2 Access: Read-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 CMPU7 22 CMPU6 21 CMPU5 20 CMPU4 19 CMPU3 18 CMPU2 17 CMPU1 16 CMPU0 15 CMPM7 14 CMPM6 13 CMPM5 12 CMPM4 11 CMPM3 10 CMPM2 9 CMPM1 8 CMPM0 7 – 6 – 5 – 4 – 3 UNRE 2 TXBUFE 1 ENDTX 0 WRDY • WRDY: Write Ready for Synchronous Channels Update Interrupt Mask • ENDTX: PDC End of TX Buffer Interrupt Mask • TXBUFE: PDC TX Buffer Empty Interrupt Mask • UNRE: Synchronous Channels Update Underrun Error Interrupt Mask • CMPMx: Comparison x Match Interrupt Mask • CMPUx: Comparison x Update Interrupt Mask SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1059 39.7.17 PWM Interrupt Status Register 2 Name: PWM_ISR2 Access: Read-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 CMPU7 22 CMPU6 21 CMPU5 20 CMPU4 19 CMPU3 18 CMPU2 17 CMPU1 16 CMPU0 15 CMPM7 14 CMPM6 13 CMPM5 12 CMPM4 11 CMPM3 10 CMPM2 9 CMPM1 8 CMPM0 7 – 6 – 5 – 4 – 3 UNRE 2 TXBUFE 1 ENDTX 0 WRDY • WRDY: Write Ready for Synchronous Channels Update 0: New duty-cycle and dead-time values for the synchronous channels cannot be written. 1: New duty-cycle and dead-time values for the synchronous channels can be written. • ENDTX: PDC End of TX Buffer 0: The Transmit Counter register has not reached 0 since the last write of the PDC. 1: The Transmit Counter register has reached 0 since the last write of the PDC. • TXBUFE: PDC TX Buffer Empty 0: PWM_TCR or PWM_TCNR has a value other than 0. 1: Both PWM_TCR and PWM_TCNR have a value other than 0. • UNRE: Synchronous Channels Update Underrun Error 0: No Synchronous Channels Update Underrun has occurred since the last read of the PWM_ISR2 register. 1: At least one Synchronous Channels Update Underrun has occurred since the last read of the PWM_ISR2 register. • CMPMx: Comparison x Match 0: The comparison x has not matched since the last read of the PWM_ISR2 register. 1: The comparison x has matched at least one time since the last read of the PWM_ISR2 register. • CMPUx: Comparison x Update 0: The comparison x has not been updated since the last read of the PWM_ISR2 register. 1: The comparison x has been updated at least one time since the last read of the PWM_ISR2 register. Note: Reading PWM_ISR2 automatically clears flags WRDY, UNRE and CMPSx. 1060 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.7.18 PWM Output Override Value Register Name: PWM_OOV Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 OOVL3 18 OOVL2 17 OOVL1 16 OOVL0 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 OOVH3 2 OOVH2 1 OOVH1 0 OOVH0 • OOVHx: Output Override Value for PWMH output of the channel x 0: Override value is 0 for PWMH output of channel x. 1: Override value is 1 for PWMH output of channel x. • OOVLx: Output Override Value for PWML output of the channel x 0: Override value is 0 for PWML output of channel x. 1: Override value is 1 for PWML output of channel x. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1061 39.7.19 PWM Output Selection Register Name: PWM_OS Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 OSL3 18 OSL2 17 OSL1 16 OSL0 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 OSH3 2 OSH2 1 OSH1 0 OSH0 • OSHx: Output Selection for PWMH output of the channel x 0: Dead-time generator output DTOHx selected as PWMH output of channel x. 1: Output override value OOVHx selected as PWMH output of channel x. • OSLx: Output Selection for PWML output of the channel x 0: Dead-time generator output DTOLx selected as PWML output of channel x. 1: Output override value OOVLx selected as PWML output of channel x. 1062 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.7.20 PWM Output Selection Set Register Name: PWM_OSS Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 OSSL3 18 OSSL2 17 OSSL1 16 OSSL0 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 OSSH3 2 OSSH2 1 OSSH1 0 OSSH0 • OSSHx: Output Selection Set for PWMH output of the channel x 0: No effect. 1: Output override value OOVHx selected as PWMH output of channel x. • OSSLx: Output Selection Set for PWML output of the channel x 0: No effect. 1: Output override value OOVLx selected as PWML output of channel x. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1063 39.7.21 PWM Output Selection Clear Register Name: PWM_OSC Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 OSCL3 18 OSCL2 17 OSCL1 16 OSCL0 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 OSCH3 2 OSCH2 1 OSCH1 0 OSCH0 • OSCHx: Output Selection Clear for PWMH output of the channel x 0: No effect. 1: Dead-time generator output DTOHx selected as PWMH output of channel x. • OSCLx: Output Selection Clear for PWML output of the channel x 0: No effect. 1: Dead-time generator output DTOLx selected as PWML output of channel x. 1064 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.7.22 PWM Output Selection Set Update Register Name: PWM_OSSUPD Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 OSSUPL3 18 OSSUPL2 17 OSSUPL1 16 OSSUPL0 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 OSSUPH3 2 OSSUPH2 1 OSSUPH1 0 OSSUPH0 • OSSUPHx: Output Selection Set for PWMH output of the channel x 0: No effect. 1: Output override value OOVHx selected as PWMH output of channel x at the beginning of the next channel x PWM period. • OSSUPLx: Output Selection Set for PWML output of the channel x 0: No effect. 1: Output override value OOVLx selected as PWML output of channel x at the beginning of the next channel x PWM period. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1065 39.7.23 PWM Output Selection Clear Update Register Name: PWM_OSCUPD Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 OSCUPL3 18 OSCUPL2 17 OSCUPL1 16 OSCUPL0 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 OSCUPH3 2 OSCUPH2 1 OSCUPH1 0 OSCUPH0 • OSCUPHx: Output Selection Clear for PWMH output of the channel x 0: No effect. 1: Dead-time generator output DTOHx selected as PWMH output of channel x at the beginning of the next channel x PWM period. • OSCUPLx: Output Selection Clear for PWML output of the channel x 0: No effect. 1: Dead-time generator output DTOLx selected as PWML output of channel x at the beginning of the next channel x PWM period. 1066 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.7.24 PWM Fault Mode Register Name: PWM_FMR Access: Read/Write 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 – 23 22 21 20 FFIL 15 14 13 12 FMOD 7 6 5 4 FPOL This register can only be written if bits WPSWS5 and WPHWS5 are cleared in the PWM Write Protection Status Register. Refer to Section 39.5.4 “Fault Inputs” for details on fault generation. • FPOL: Fault Polarity For each bit y of FPOL, where y is the fault input number: 0: The fault y becomes active when the fault input y is at 0. 1: The fault y becomes active when the fault input y is at 1. • FMOD: Fault Activation Mode For each bit y of FMOD, where y is the fault input number: 0: The fault y is active until the fault condition is removed at the peripheral(1) level. 1: The fault y stays active until the fault condition is removed at the peripheral(1) level AND until it is cleared in the PWM Fault Clear Register. Note: 1. The peripheral generating the fault. • FFIL: Fault Filtering For each bit y of FFIL, where y is the fault input number: 0: The fault input y is not filtered. 1: The fault input y is filtered. CAUTION: To prevent an unexpected activation of the status flag FSy in the PWM Fault Status Register, the bit FMODy can be set to ‘1’ only if the FPOLy bit has been previously configured to its final value. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1067 39.7.25 PWM Fault Status Register Name: PWM_FSR Access: Read-only 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 – 23 22 21 20 – 15 14 13 12 FS 7 6 5 4 FIV Refer to Section 39.5.4 “Fault Inputs” for details on fault generation. • FIV: Fault Input Value For each bit y of FIV, where y is the fault input number: 0: The current sampled value of the fault input y is 0 (after filtering if enabled). 1: The current sampled value of the fault input y is 1 (after filtering if enabled). • FS: Fault Status For each bit y of FS, where y is the fault input number: 0: The fault y is not currently active. 1: The fault y is currently active. 1068 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.7.26 PWM Fault Clear Register Name: PWM_FCR Access: Write-only 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 – 23 22 21 20 – 15 14 13 12 – 7 6 5 4 FCLR Refer to Section 39.5.4 “Fault Inputs” for details on fault generation. • FCLR: Fault Clear For each bit y of FCLR, where y is the fault input number: 0: No effect. 1: If bit y of FMOD field is set to ‘1’ and if the fault input y is not at the level defined by the bit y of FPOL field, the fault y is cleared and becomes inactive (FMOD and FPOL fields belong to PWM Fault Mode Register), else writing this bit to ‘1’ has no effect. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1069 39.7.27 PWM Fault Protection Value Register 1 Name: PWM_FPV1 Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 FPVL3 18 FPVL2 17 FPVL1 16 FPVL0 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 FPVH3 2 FPVH2 1 FPVH1 0 FPVH0 This register can only be written if bits WPSWS5 and WPHWS5 are cleared in the PWM Write Protection Status Register. Refer to Section 39.5.4 “Fault Inputs” for details on fault generation. • FPVHx: Fault Protection Value for PWMH output on channel x This bit is taken into account only if the bit FPZHx is set to ‘0’ in PWM Fault Protection Value Register 2. 0: PWMH output of channel x is forced to ‘0’ when fault occurs. 1: PWMH output of channel x is forced to ‘1’ when fault occurs. • FPVLx: Fault Protection Value for PWML output on channel x This bit is taken into account only if the bit FPZLx is set to ‘0’ in PWM Fault Protection Value Register 2. 0: PWML output of channel x is forced to ‘0’ when fault occurs. 1: PWML output of channel x is forced to ‘1’ when fault occurs. 1070 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.7.28 PWM Fault Protection Enable Register Name: PWM_FPE Access: Read/Write 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 FPE3 23 22 21 20 FPE2 15 14 13 12 FPE1 7 6 5 4 FPE0 This register can only be written if bits WPSWS5 and WPHWS5 are cleared in the PWM Write Protection Status Register. Only the first 8 bits (number of fault input pins) of fields FPE0, FPE1, FPE2 and FPE3 are significant. Refer to Section 39.5.4 “Fault Inputs” for details on fault generation. • FPEx: Fault Protection Enable for channel x For each bit y of FPEx, where y is the fault input number: 0: Fault y is not used for the fault protection of channel x. 1: Fault y is used for the fault protection of channel x. CAUTION: To prevent an unexpected activation of the fault protection, the bit y of FPEx field can be set to ‘1’ only if the corresponding FPOL field has been previously configured to its final value in PWM Fault Mode Register. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1071 39.7.29 PWM Event Line x Register Name: PWM_ELMRx Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 CSEL7 6 CSEL6 5 CSEL5 4 CSEL4 3 CSEL3 2 CSEL2 1 CSEL1 0 CSEL0 • CSELy: Comparison y Selection 0: A pulse is not generated on the event line x when the comparison y matches. 1: A pulse is generated on the event line x when the comparison y match. 1072 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.7.30 PWM Spread Spectrum Register Name: PWM_SSPR Access: Read/Write 31 – 30 – 29 – 28 – 23 22 21 20 27 – 26 – 25 – 24 SPRDM 19 18 17 16 11 10 9 8 3 2 1 0 SPRD 15 14 13 12 SPRD 7 6 5 4 SPRD This register can only be written if bits WPSWS3 and WPHWS3 are cleared in the PWM Write Protection Status Register. Only the first 16 bits (channel counter size) are significant. • SPRD: Spread Spectrum Limit Value The spread spectrum limit value defines the range for the spread spectrum counter. It is introduced in order to achieve constant varying PWM period for the output waveform. • SPRDM: Spread Spectrum Counter Mode 0: Triangular mode. The spread spectrum counter starts to count from -SPRD when the channel 0 is enabled and counts upwards at each PWM period. When it reaches +SPRD, it restarts to count from -SPRD again. 1: Random mode. The spread spectrum counter is loaded with a new random value at each PWM period. This random value is uniformly distributed and is between -SPRD and +SPRD. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1073 39.7.31 PWM Spread Spectrum Update Register Name: PWM_SSPUP Access: Write-only 31 – 30 – 29 – 28 – 23 22 21 20 27 – 26 – 25 – 24 – 19 18 17 16 11 10 9 8 3 2 1 0 SPRDUP 15 14 13 12 SPRDUP 7 6 5 4 SPRDUP This register can only be written if bits WPSWS3 and WPHWS3 are cleared in the PWM Write Protection Status Register. This register acts as a double buffer for the SPRD value. This prevents an unexpected waveform when modifying the spread spectrum limit value. Only the first 16 bits (channel counter size) are significant. • SPRDUP: Spread Spectrum Limit Value Update The spread spectrum limit value defines the range for the spread spectrum counter. It is introduced in order to achieve constant varying period for the output waveform. 1074 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.7.32 PWM Stepper Motor Mode Register Name: PWM_SMMR Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 DOWN1 16 DOWN0 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 – 2 – 1 GCEN1 0 GCEN0 • GCENx: Gray Count ENable 0: Disable gray count generation on PWML[2*x], PWMH[2*x], PWML[2*x +1], PWMH[2*x +1] 1: Enable gray count generation on PWML[2*x], PWMH[2*x], PWML[2*x +1], PWMH[2*x +1. • DOWNx: DOWN Count 0: Up counter. 1: Down counter. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1075 39.7.33 PWM Fault Protection Value Register 2 Name: PWM_FPV2 Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 FPZL3 18 FPZL2 17 FPZL1 16 FPZL0 15 – 14 – 13 – 12 – 11 – 10 – 9 – 8 – 7 – 6 – 5 – 4 – 3 FPZH3 2 FPZH2 1 FPZH1 0 FPZH0 This register can only be written if bits WPSWS5 and WPHWS5 are cleared in the PWM Write Protection Status Register. • FPZHx: Fault Protection to Hi-Z for PWMH output on channel x 0: When fault occurs, PWMH output of channel x is forced to value defined by the bit FPVHx in PWM Fault Protection Value Register 1. 1: When fault occurs, PWMH output of channel x is forced to high-impedance state. • FPZLx: Fault Protection to Hi-Z for PWML output on channel x 0: When fault occurs, PWML output of channel x is forced to value defined by the bit FPVLx in PWM Fault Protection Value Register 1. 1: When fault occurs, PWML output of channel x is forced to high-impedance state. 1076 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.7.34 PWM Write Protection Control Register Name: PWM_WPCR Access: Write-only 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 WPRG1 2 WPRG0 1 WPKEY 23 22 21 20 WPKEY 15 14 13 12 WPKEY 7 WPRG5 6 WPRG4 5 WPRG3 4 WPRG2 0 WPCMD See Section 39.6.6 “Register Write Protection” for the list of registers that can be write-protected. • WPCMD: Write Protection Command This command is performed only if the WPKEY corresponds to 0x50574D (“PWM” in ASCII). Value Name 0 DISABLE_SW_PROT Disables the software write protection of the register groups of which the bit WPRGx is at ‘1’. 1 ENABLE_SW_PROT Enables the software write protection of the register groups of which the bit WPRGx is at ‘1’. ENABLE_HW_PROT Enables the hardware write protection of the register groups of which the bit WPRGx is at ‘1’. Only a hardware reset of the PWM controller can disable the hardware write protection. Moreover, to meet security requirements, the PIO lines associated with the PWM can not be configured through the PIO interface. 2 Description • WPRGx: Write Protection Register Group x 0: The WPCMD command has no effect on the register group x. 1: The WPCMD command is applied to the register group x. • WPKEY: Write Protection Key Value Name 0x50574D PASSWD Description Writing any other value in this field aborts the write operation of the WPCMD field. Always reads as 0 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1077 39.7.35 PWM Write Protection Status Register Name: PWM_WPSR Access: Read-only 31 30 29 28 27 26 25 24 19 18 17 16 WPVSRC 23 22 21 20 WPVSRC 15 – 14 – 13 WPHWS5 12 WPHWS4 11 WPHWS3 10 WPHWS2 9 WPHWS1 8 WPHWS0 7 WPVS 6 – 5 WPSWS5 4 WPSWS4 3 WPSWS3 2 WPSWS2 1 WPSWS1 0 WPSWS0 • WPSWSx: Write Protect SW Status 0: The SW write protection x of the register group x is disabled. 1: The SW write protection x of the register group x is enabled. • WPHWSx: Write Protect HW Status 0: The HW write protection x of the register group x is disabled. 1: The HW write protection x of the register group x is enabled. • WPVS: Write Protect Violation Status 0: No write protection violation has occurred since the last read of PWM_WPSR. 1: At least one write protection violation has occurred since the last read of PWM_WPSR. If this violation is an unauthorized attempt to write a protected register, the associated violation is reported into field WPVSRC. • WPVSRC: Write Protect Violation Source When WPVS = 1, WPVSRC indicates the register address offset at which a write access has been attempted. 1078 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.7.36 PWM Comparison x Value Register Name: PWM_CMPVx Access: Read/Write 31 – 30 – 29 – 28 – 23 22 21 20 27 – 26 – 25 – 24 CVM 19 18 17 16 11 10 9 8 3 2 1 0 CV 15 14 13 12 CV 7 6 5 4 CV Only the first 16 bits (channel counter size) of field CV are significant. • CV: Comparison x Value Define the comparison x value to be compared with the counter of the channel 0. • CVM: Comparison x Value Mode 0: The comparison x between the counter of the channel 0 and the comparison x value is performed when this counter is incrementing. 1: The comparison x between the counter of the channel 0 and the comparison x value is performed when this counter is decrementing. Note: This bit is not relevant if the counter of the channel 0 is left-aligned (CALG = 0 in PWM Channel Mode Register) SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1079 39.7.37 PWM Comparison x Value Update Register Name: PWM_CMPVUPDx Access: Write-only 31 – 30 – 29 – 28 – 23 22 21 20 27 – 26 – 25 – 24 CVMUPD 19 18 17 16 11 10 9 8 3 2 1 0 CVUPD 15 14 13 12 CVUPD 7 6 5 4 CVUPD This register acts as a double buffer for the CV and CVM values. This prevents an unexpected comparison x match. Only the first 16 bits (channel counter size) of field CVUPD are significant. • CVUPD: Comparison x Value Update Define the comparison x value to be compared with the counter of the channel 0. • CVMUPD: Comparison x Value Mode Update 0: The comparison x between the counter of the channel 0 and the comparison x value is performed when this counter is incrementing. 1: The comparison x between the counter of the channel 0 and the comparison x value is performed when this counter is decrementing. Note: This bit is not relevant if the counter of the channel 0 is left-aligned (CALG = 0 in PWM Channel Mode Register) CAUTION: The write of the register PWM_CMPVUPDx must be followed by a write of the register PWM_CMPMUPDx. 1080 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.7.38 PWM Comparison x Mode Register Name: PWM_CMPMx Access: Read/Write 31 – 30 – 23 22 29 – 28 – 27 – 26 – 21 20 19 18 CUPRCNT 15 14 13 6 24 – 17 16 9 8 1 – 0 CEN CUPR 12 11 10 CPRCNT 7 25 – CPR 5 4 CTR 3 – 2 – • CEN: Comparison x Enable 0: The comparison x is disabled and can not match. 1: The comparison x is enabled and can match. • CTR: Comparison x Trigger The comparison x is performed when the value of the comparison x period counter (CPRCNT) reaches the value defined by CTR. • CPR: Comparison x Period CPR defines the maximum value of the comparison x period counter (CPRCNT). The comparison x value is performed periodically once every CPR+1 periods of the channel 0 counter. • CPRCNT: Comparison x Period Counter Reports the value of the comparison x period counter. Note: The field CPRCNT is read-only • CUPR: Comparison x Update Period Defines the time between each update of the comparison x mode and the comparison x value. This time is equal to CUPR+1 periods of the channel 0 counter. • CUPRCNT: Comparison x Update Period Counter Reports the value of the comparison x update period counter. Note: The field CUPRCNT is read-only SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1081 39.7.39 PWM Comparison x Mode Update Register Name: PWM_CMPMUPDx Access: Write-only 31 – 30 – 29 – 28 – 27 – 26 – 23 – 22 – 21 – 20 – 19 18 15 – 14 – 13 – 12 – 11 7 6 5 4 3 – CTRUPD 25 – 24 – 17 16 9 8 1 – 0 CENUPD CUPRUPD 10 CPRUPD 2 – This register acts as a double buffer for the CEN, CTR, CPR and CUPR values. This prevents an unexpected comparison x match. • CENUPD: Comparison x Enable Update 0: The comparison x is disabled and can not match. 1: The comparison x is enabled and can match. • CTRUPD: Comparison x Trigger Update The comparison x is performed when the value of the comparison x period counter (CPRCNT) reaches the value defined by CTR. • CPRUPD: Comparison x Period Update CPR defines the maximum value of the comparison x period counter (CPRCNT). The comparison x value is performed periodically once every CPR+1 periods of the channel 0 counter. • CUPRUPD: Comparison x Update Period Update Defines the time between each update of the comparison x mode and the comparison x value. This time is equal to CUPR+1 periods of the channel 0 counter. 1082 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.7.40 PWM Channel Mode Register Name: PWM_CMRx [x=0..3] Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 DTLI 17 DTHI 16 DTE 15 – 14 – 13 TCTS 12 – 11 UPDS 10 CES 9 CPOL 8 CALG 7 – 6 – 5 – 4 – 3 2 1 0 CPRE This register can only be written if bits WPSWS2 and WPHWS2 are cleared in the PWM Write Protection Status Register. • CPRE: Channel Pre-scaler Value Name Description 0 MCK 1 MCK_DIV_2 Peripheral clock/2 2 MCK_DIV_4 Peripheral clock/4 3 MCK_DIV_8 Peripheral clock/8 4 MCK_DIV_16 Peripheral clock/16 5 MCK_DIV_32 Peripheral clock/32 6 MCK_DIV_64 Peripheral clock/64 7 MCK_DIV_128 Peripheral clock/128 8 MCK_DIV_256 Peripheral clock/256 9 MCK_DIV_512 Peripheral clock/512 10 MCK_DIV_1024 Peripheral clock/1024 11 CLKA Clock A 12 CLKB Clock B Peripheral clock • CALG: Channel Alignment 0: The period is left-aligned. 1: The period is center-aligned. • CPOL: Channel Polarity 0: The OCx output waveform (output from the comparator) starts at a low level. 1: The OCx output waveform (output from the comparator) starts at a high level. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1083 • CES: Counter Event Selection The bit CES defines when the channel counter event occurs when the period is center-aligned (flag CHIDx in PWM Interrupt Status Register 1). CALG = 0 (Left Alignment): 0/1: The channel counter event occurs at the end of the PWM period. CALG = 1 (Center Alignment): 0: The channel counter event occurs at the end of the PWM period. 1: The channel counter event occurs at the end of the PWM period and at half the PWM period. • UPDS: Update Selection When the period is center aligned, the bit UPDS defines when the update of the duty cycle, the polarity value/mode occurs after writing the corresponding update registers. CALG = 0 (Left Alignment): 0/1: The update always occurs at the end of the PWM period after writing the update register(s). CALG = 1 (Center Alignment): 0: The update occurs at the next end of the PWM period after writing the update register(s). 1: The update occurs at the next end of the PWM half period after writing the update register(s). • TCTS: Timer Counter Trigger Selection 0: The comparator of the channel x (OCx) is used as the trigger source for the Timer Counter (TC). 1: The counter events of the channel x is used as the trigger source for the Timer Counter (TC). • DTE: Dead-Time Generator Enable 0: The dead-time generator is disabled. 1: The dead-time generator is enabled. • DTHI: Dead-Time PWMHx Output Inverted 0: The dead-time PWMHx output is not inverted. 1: The dead-time PWMHx output is inverted. • DTLI: Dead-Time PWMLx Output Inverted 0: The dead-time PWMLx output is not inverted. 1: The dead-time PWMLx output is inverted. 1084 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.7.41 PWM Channel Duty Cycle Register Name: PWM_CDTYx [x=0..3] Access: Read/Write 31 – 30 – 29 – 28 – 23 22 21 20 27 – 26 – 25 – 24 – 19 18 17 16 11 10 9 8 3 2 1 0 CDTY 15 14 13 12 CDTY 7 6 5 4 CDTY Only the first 16 bits (channel counter size) are significant. • CDTY: Channel Duty-Cycle Defines the waveform duty-cycle. This value must be defined between 0 and CPRD (PWM_CPRDx). SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1085 39.7.42 PWM Channel Duty Cycle Update Register Name: PWM_CDTYUPDx [x=0..3] Access: Write-only. 31 – 30 – 29 – 28 – 23 22 21 20 27 – 26 – 25 – 24 – 19 18 17 16 11 10 9 8 3 2 1 0 CDTYUPD 15 14 13 12 CDTYUPD 7 6 5 4 CDTYUPD This register acts as a double buffer for the CDTY value. This prevents an unexpected waveform when modifying the waveform duty-cycle. Only the first 16 bits (channel counter size) are significant. • CDTYUPD: Channel Duty-Cycle Update Defines the waveform duty-cycle. This value must be defined between 0 and CPRD (PWM_CPRDx). 1086 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.7.43 PWM Channel Period Register Name: PWM_CPRDx [x=0..3] Access: Read/Write 31 – 30 – 29 – 28 – 23 22 21 20 27 – 26 – 25 – 24 – 19 18 17 16 11 10 9 8 3 2 1 0 CPRD 15 14 13 12 CPRD 7 6 5 4 CPRD This register can only be written if bits WPSWS3 and WPHWS3 are cleared in the PWM Write Protection Status Register. Only the first 16 bits (channel counter size) are significant. • CPRD: Channel Period If the waveform is left-aligned, then the output waveform period depends on the channel counter source clock and can be calculated: – By using the PWM peripheral clock divided by a given prescaler value “X” (where X = 2PREA is 1, 2, 4, 8, 16, 32, 64, 128, 256, 512, or 1024). The resulting period formula is: ( X × CPRD )------------------------------f peripheral clock – By using the PWM peripheral clock divided by a given prescaler value “X” (see above) and by either the DIVA or the DIVB divider. The formula becomes, respectively: (--------------------------------------------------X × CRPD × DIVA )( X × C RPD × DIVB ) or ---------------------------------------------------f peripheral clock f peripheral clock If the waveform is center-aligned, then the output waveform period depends on the channel counter source clock and can be calculated: – By using the PWM peripheral clock divided by a given prescaler value “X” (where X = 2PREA is 1, 2, 4, 8, 16, 32, 64, 128, 256, 512, or 1024). The resulting period formula is: (---------------------------------------2 × X × CPRD ) f peripheral clock – By using the PWM peripheral clock divided by a given prescaler value “X” (see above) and by either the DIVA or the DIVB divider. The formula becomes, respectively: ( 2 × X × C PRD × DIVA ) ( 2 × X × C PRD × DIVB ) ------------------------------------------------------------or ------------------------------------------------------------f peripheral clock f peripheral clock SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1087 39.7.44 PWM Channel Period Update Register Name: PWM_CPRDUPDx [x=0..3] Access: Write-only 31 – 30 – 29 – 28 – 23 22 21 20 27 – 26 – 25 – 24 – 19 18 17 16 11 10 9 8 3 2 1 0 CPRDUPD 15 14 13 12 CPRDUPD 7 6 5 4 CPRDUPD This register can only be written if bits WPSWS3 and WPHWS3 are cleared in the PWM Write Protection Status Register. This register acts as a double buffer for the CPRD value. This prevents an unexpected waveform when modifying the waveform period. Only the first 16 bits (channel counter size) are significant. • CPRDUPD: Channel Period Update If the waveform is left-aligned, then the output waveform period depends on the channel counter source clock and can be calculated: – By using the PWM peripheral clock divided by a given prescaler value “X” (where X = 2PREA is 1, 2, 4, 8, 16, 32, 64, 128, 256, 512, or 1024). The resulting period formula is: (-------------------------------------------X × CPRDUPD ) f peripheral clock – By using the PWM peripheral clock divided by a given prescaler value “X” (see above) and by either the DIVA or the DIVB divider. The formula becomes, respectively: (----------------------------------------------------------------X × CRPDUPD × DIVA )( X × CRPDUPD × DIVB ) or -----------------------------------------------------------------f peripheral clock f peripheral clock If the waveform is center-aligned, then the output waveform period depends on the channel counter source clock and can be calculated: – By using the PWM peripheral clock divided by a given prescaler value “X” (where X = 2PREA is 1, 2, 4, 8, 16, 32, 64, 128, 256, 512, or 1024). The resulting period formula is: ( 2 × X × CPRDUPD -) ----------------------------------------------------f peripheral clock – By using the PWM peripheral clock divided by a given prescaler value “X” (see above) and by either the DIVA or the DIVB divider. The formula becomes, respectively: ( 2 × X × C PRDUPD × DIVA -) ( 2 × X × C PRDUPD × DIVB ) -------------------------------------------------------------------------or --------------------------------------------------------------------------f peripheral clock f peripheral clock 1088 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.7.45 PWM Channel Counter Register Name: PWM_CCNTx [x=0..3] Access: Read-only 31 – 30 – 29 – 28 – 23 22 21 20 27 – 26 – 25 – 24 – 19 18 17 16 11 10 9 8 3 2 1 0 CNT 15 14 13 12 CNT 7 6 5 4 CNT Only the first 16 bits (channel counter size) are significant. • CNT: Channel Counter Register Channel counter value. This register is reset when: • the channel is enabled (writing CHIDx in the PWM_ENA register). • the channel counter reaches CPRD value defined in the PWM_CPRDx register if the waveform is left-aligned. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1089 39.7.46 PWM Channel Dead Time Register Name: PWM_DTx [x=0..3] Access: Read/Write 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 DTL 23 22 21 20 DTL 15 14 13 12 DTH 7 6 5 4 DTH This register can only be written if bits WPSWS4 and WPHWS4 are cleared in the PWM Write Protection Status Register. Only the first 12 bits (dead-time counter size) of fields DTH and DTL are significant. • DTH: Dead-Time Value for PWMHx Output Defines the dead-time value for PWMHx output. This value must be defined between 0 and the value (CPRD – CDTY) (PWM_CPRDx and PWM_CDTYx). • DTL: Dead-Time Value for PWMLx Output Defines the dead-time value for PWMLx output. This value must be defined between 0 and CDTY (PWM_CDTYx). 1090 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 39.7.47 PWM Channel Dead Time Update Register Name: PWM_DTUPDx [x=0..3] Access: Write-only 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 DTLUPD 23 22 21 20 DTLUPD 15 14 13 12 DTHUPD 7 6 5 4 DTHUPD This register can only be written if bits WPSWS4 and WPHWS4 are cleared in the PWM Write Protection Status Register. This register acts as a double buffer for the DTH and DTL values. This prevents an unexpected waveform when modifying the dead-time values. Only the first 12 bits (dead-time counter size) of fields DTHUPD and DTLUPD are significant. • DTHUPD: Dead-Time Value Update for PWMHx Output Defines the dead-time value for PWMHx output. This value must be defined between 0 and the value (CPRD – CDTY) (PWM_CPRDx and PWM_CDTYx). This value is applied only at the beginning of the next channel x PWM period. • DTLUPD: Dead-Time Value Update for PWMLx Output Defines the dead-time value for PWMLx output. This value must be defined between 0 and CDTY (PWM_CDTYx). This value is applied only at the beginning of the next channel x PWM period. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1091 39.7.48 PWM Channel Mode Update Register Name: PWM_CMUPDx [x=0..3] Access: Read/Write 31 – 30 – 29 – 28 – 27 – 26 – 25 – 24 – 23 – 22 – 21 – 20 – 19 – 18 – 17 – 16 – 15 – 14 – 13 CPOLINVUP 12 – 11 – 10 – 9 CPOLUP 8 – 7 – 6 – 5 – 4 – 3 – 2 – 1 – 0 – This register can only be written if bits WPSWS2 and WPHWS2 are cleared in the PWM Write Protection Status Register. This register acts as a double buffer for the CPOL value. This prevents an unexpected waveform when modifying the polarity value. • CPOLUP: Channel Polarity Update The write of this bit is taken into account only if the bit CPOLINVUP is written at ‘0’ at the same time. 0: The OCx output waveform (output from the comparator) starts at a low level. 1: The OCx output waveform (output from the comparator) starts at a high level. • CPOLINVUP: Channel Polarity Inversion Update If this bit is written at ‘1’, the write of the bit CPOLUP is not taken into account. 0: No effect. 1: The OCx output waveform (output from the comparator) is inverted. 1092 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 40. High Speed Multimedia Card Interface (HSMCI) 40.1 Description The High Speed Multimedia Card Interface (HSMCI) supports the MultiMedia Card (MMC) Specification V4.3, the SD Memory Card Specification V2.0, the SDIO V2.0 specification and CE-ATA V1.1. The HSMCI includes a command register, response registers, data registers, timeout counters and error detection logic that automatically handle the transmission of commands and, when required, the reception of the associated responses and data with a limited processor overhead. The HSMCI supports stream, block and multi block data read and write, and is compatible with the Peripheral DMA Controller (PDC) Channels, minimizing processor intervention for large buffer transfers. The HSMCI operates at a rate of up to Master Clock divided by 2 and supports the interfacing of 1 slot(s). Each slot may be used to interface with a High Speed MultiMedia Card bus (up to 30 Cards) or with an SD Memory Card. A bit field in the SD Card Register performs this selection. The SD Memory Card communication is based on a 9-pin interface (clock, command, four data and three power lines) and the High Speed MultiMedia Card on a 7-pin interface (clock, command, one data, three power lines and one reserved for future use). The SD Memory Card interface also supports High Speed MultiMedia Card operations. The main differences between SD and High Speed MultiMedia Cards are the initialization process and the bus topology. HSMCI fully supports CE-ATA Revision 1.1, built on the MMC System Specification v4.0. The module includes dedicated hardware to issue the command completion signal and capture the host command completion signal disable. 40.2 Embedded Characteristics  Compatible with MultiMedia Card Specification Version 4.3  Compatible with SD Memory Card Specification Version 2.0  Compatible with SDIO Specification Version 2.0  Compatible with CE-ATA Specification 1.1  Cards Clock Rate Up to Master Clock Divided by 2  Boot Operation Mode Support  High Speed Mode Support  Embedded Power Management to Slow Down Clock Rate When Not Used  Supports 1 Multiplexed Slot(s) ̶ Each Slot for either a High Speed MultiMedia Card Bus (Up to 30 Cards) or an SD Memory Card  Support for Stream, Block and Multi-block Data Read and Write  Supports Connection to Peripheral DMA Controller (PDC) ̶ Minimizes Processor Intervention for Large Buffer Transfers  Built in FIFO (from 16 to 256 bytes) with Large Memory Aperture Supporting Incremental Access  Support for CE-ATA Completion Signal Disable Command  Protection Against Unexpected Modification On-the-Fly of the Configuration Registers SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1093 40.3 Block Diagram Figure 40-1. Block Diagram (4-bit configuration) APB Bridge PDC APB MCCK(1) MCCDA(1) PMC MCK MCDA0(1) HSMCI Interface PIO MCDA1(1) MCDA2(1) MCDA3(1) Interrupt Control HSMCI Interrupt Note: 1094 1. When several HSMCI (x HSMCI) are embedded in a product, MCCK refers to HSMCIx_CK, MCCDA to HSMCIx_CDA, MCDAy to HSMCIx_DAy. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 40.4 Application Block Diagram Figure 40-2. Application Block Diagram Application Layer ex: File System, Audio, Security, etc. Physical Layer HSMCI Interface 1 2 3 4 5 6 7 1 2 3 4 5 6 78 9 9 10 11 1213 8 SDCard MMC 40.5 Pin Name List Table 40-1. I/O Lines Description for 4-bit Configuration (1) Pin Name Pin Description Type(2) Comments MCCDA Command/response I/O/PP/OD CMD of an MMC or SDCard/SDIO MCCK Clock I/O CLK of an MMC or SD Card/SDIO MCDA0–MCDA3 Data 0..3 of Slot A I/O/PP Notes: DAT[0..3] of an MMC DAT[0..3] of an SD Card/SDIO 1. When several HSMCI (x HSMCI) are embedded in a product, MCCK refers to HSMCIx_CK, MCCDA to HSMCIx_CDA, MCDAy to HSMCIx_DAy. 2. I: Input, O: Output, PP: Push/Pull, OD: Open Drain. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1095 40.6 40.6.1 Product Dependencies I/O Lines The pins used for interfacing the High Speed MultiMedia Cards or SD Cards are multiplexed with PIO lines. The programmer must first program the PIO controllers to assign the peripheral functions to HSMCI pins. Table 40-2. 40.6.2 I/O Lines Instance Signal I/O Line Peripheral HSMCI MCCDA PA28 C HSMCI MCCK PA29 C HSMCI MCDA0 PA30 C HSMCI MCDA1 PA31 C HSMCI MCDA2 PA26 C HSMCI MCDA3 PA27 C Power Management The HSMCI is clocked through the Power Management Controller (PMC), so the programmer must first configure the PMC to enable the HSMCI clock. 40.6.3 Interrupt Sources The HSMCI has an interrupt line connected to the interrupt controller. Handling the HSMCI interrupt requires programming the interrupt controller before configuring the HSMCI. Table 40-3. 40.7 Peripheral IDs Instance ID HSMCI 16 Bus Topology Figure 40-3. High Speed MultiMedia Memory Card Bus Topology 1 2 3 4 5 6 7 9 10 11 1213 8 MMC 1096 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 The High Speed MultiMedia Card communication is based on a 13-pin serial bus interface. It has three communication lines and four supply lines. Table 40-4. Bus Topology Description HSMCI Pin Name(2) (Slot z) I/O/PP Data MCDz3 CMD I/O/PP/OD Command/response MCCDz 3 VSS1 S Supply voltage ground VSS 4 VDD S Supply voltage VDD 5 CLK I/O Clock MCCK 6 VSS2 S Supply voltage ground VSS 7 DAT[0] I/O/PP Data 0 MCDz0 8 DAT[1] I/O/PP Data 1 MCDz1 9 DAT[2] I/O/PP Data 2 MCDz2 Pin Number Name Type 1 DAT[3] 2 Notes: 1. 2. Figure 40-4. (1) I: Input, O: Output, PP: Push/Pull, OD: Open Drain. When several HSMCI (x HSMCI) are embedded in a product, MCCK refers to HSMCIx_CK, MCCDA to HSMCIx_CDA, MCDAy to HSMCIx_DAy. MMC Bus Connections (One Slot) HSMCI MCDA0 MCCDA MCCK 1 2 3 4 5 6 7 1 2 3 4 5 6 7 1 2 3 4 5 6 7 9 10 11 9 10 11 9 10 11 1213 8 MMC1 Note: 1213 8 MMC2 1213 8 MMC3 When several HSMCI (x HSMCI) are embedded in a product, MCCK refers to HSMCIx_CK, MCCDA to HSMCIx_CDA MCDAy to HSMCIx_DAy. Figure 40-5. SD Memory Card Bus Topology 1 2 3 4 56 78 9 SD CARD SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1097 The SD Memory Card bus includes the signals listed in Table 40-5. Table 40-5. SD Memory Card Bus Signals Description HSMCI Pin Name(2) (Slot z) I/O/PP Card detect/ Data line Bit 3 MCDz3 CMD PP Command/response MCCDz 3 VSS1 S Supply voltage ground VSS 4 VDD S Supply voltage VDD 5 CLK I/O Clock MCCK 6 VSS2 S Supply voltage ground VSS 7 DAT[0] I/O/PP Data line Bit 0 MCDz0 8 DAT[1] I/O/PP Data line Bit 1 or Interrupt MCDz1 9 DAT[2] I/O/PP Data line Bit 2 MCDz2 Pin Number Name Type 1 CD/DAT[3] 2 1. 2. Figure 40-6. I: input, O: output, PP: Push Pull, OD: Open Drain. When several HSMCI (x HSMCI) are embedded in a product, MCCK refers to HSMCIx_CK, MCCDA to HSMCIx_CDA, MCDAy to HSMCIx_DAy. SD Card Bus Connections with One Slot MCDA0 - MCDA3 MCCK SD CARD 9 MCCDA 1 2 3 4 5 6 78 Notes: (1) Note: When several HSMCI (x HSMCI) are embedded in a product, MCCK refers to HSMCIx_CK, MCCDA to HSMCIx_CDA MCDAy to HSMCIx_DAy. When the HSMCI is configured to operate with SD memory cards, the width of the data bus can be selected in the HSMCI_SDCR. Clearing the SDCBUS bit in this register means that the width is one bit; setting it means that the width is four bits. In the case of High Speed MultiMedia cards, only the data line 0 is used. The other data lines can be used as independent PIOs. 40.8 High Speed MultiMedia Card Operations After a power-on reset, the cards are initialized by a special message-based High Speed MultiMedia Card bus protocol. Each message is represented by one of the following tokens: 1098  Command—A command is a token that starts an operation. A command is sent from the host either to a single card (addressed command) or to all connected cards (broadcast command). A command is transferred serially on the CMD line.  Response—A response is a token which is sent from an addressed card or (synchronously) from all connected cards to the host as an answer to a previously received command. A response is transferred serially on the CMD line.  Data—Data can be transferred from the card to the host or vice versa. Data is transferred via the data line. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Card addressing is implemented using a session address assigned during the initialization phase by the bus controller to all currently connected cards. Their unique CID number identifies individual cards. The structure of commands, responses and data blocks is described in the High Speed MultiMedia Card System Specification. See also Table 40-6 on page 1099. High Speed MultiMedia Card bus data transfers are composed of these tokens. There are different types of operations. Addressed operations always contain a command and a response token. In addition, some operations have a data token; the others transfer their information directly within the command or response structure. In this case, no data token is present in an operation. The bits on the DAT and the CMD lines are transferred synchronous to the clock HSMCI clock. Two types of data transfer commands are defined:  Sequential commands—These commands initiate a continuous data stream. They are terminated only when a stop command follows on the CMD line. This mode reduces the command overhead to an absolute minimum.  Block-oriented commands—These commands send a data block succeeded by CRC bits. Both read and write operations allow either single or multiple block transmission. A multiple block transmission is terminated when a stop command follows on the CMD line similarly to the sequential read or when a multiple block transmission has a predefined block count (see Section 40.8.2 “Data Transfer Operation”). The HSMCI provides a set of registers to perform the entire range of High Speed MultiMedia Card operations. 40.8.1 Command - Response Operation After reset, the HSMCI is disabled and becomes valid after setting the MCIEN bit in the HSMCI_CR. The PWSEN bit saves power by dividing the HSMCI clock by 2PWSDIV + 1 when the bus is inactive. The two bits, RDPROOF and WRPROOF in the HSMCI Mode Register (HSMCI_MR) allow stopping the HSMCI clock during read or write access if the internal FIFO is full. This will guarantee data integrity, not bandwidth. All the timings for High Speed MultiMedia Card are defined in the High Speed MultiMedia Card System Specification. The two bus modes (open drain and push/pull) needed to process all the operations are defined in the HSMCI Command Register (HSMCI_CMDR). The HSMCI_CMDR allows a command to be carried out. For example, to perform an ALL_SEND_CID command: NID Cycles Host Command CMD S T Content CRC E Z ****** High Impedance State Response Z S T CID Content Z Z Z The command ALL_SEND_CID and the fields and values for the HSMCI_CMDR are described in Table 40-6 and Table 40-7. Table 40-6. ALL_SEND_CID Command Description CMD Index Type Argument Response Abbreviation Command Description CMD2 bcr(1) [31:0] stuff bits R2 ALL_SEND_CID Asks all cards to send their CID numbers on the CMD line Note: 1. bcr means broadcast command with response. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1099 Table 40-7. Fields and Values for HSMCI_CMDR Field Value CMDNB (command number) 2 (CMD2) RSPTYP (response type) 2 (R2: 136 bits response) SPCMD (special command) 0 (not a special command) OPCMD (open drain command) 1 MAXLAT (max latency for command to response) 0 (NID cycles ==> 5 cycles) TRCMD (transfer command) 0 (No transfer) TRDIR (transfer direction) X (available only in transfer command) TRTYP (transfer type) X (available only in transfer command) IOSPCMD (SDIO special command) 0 (not a special command) The HSMCI_ARGR contains the argument field of the command. To send a command, the user must perform the following steps:  Fill the argument register (HSMCI_ARGR) with the command argument.  Set the command register (HSMCI_CMDR) (see Table 40-7). The command is sent immediately after writing the command register. While the card maintains a busy indication (at the end of a STOP_TRANSMISSION command CMD12, for example), a new command shall not be sent. The NOTBUSY flag in the Status Register (HSMCI_SR) is asserted when the card releases the busy indication. If the command requires a response, it can be read in the HSMCI Response Register (HSMCI_RSPR). The response size can be from 48 bits up to 136 bits depending on the command. The HSMCI embeds an error detection to prevent any corrupted data during the transfer. The following flowchart shows how to send a command to the card and read the response if needed. In this example, the status register bits are polled but setting the appropriate bits in the HSMCI Interrupt Enable Register (HSMCI_IER) allows using an interrupt method. 1100 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 40-7. Command/Response Functional Flow Diagram Set the command argument HSMCI_ARGR = Argument(1) Set the command HSMCI_CMDR = Command Read HSMCI_SR Wait for command ready status flag 0 CMDRDY 1 Check error bits in the status register (1) Yes Status error flags? RETURN ERROR(1) Read response if required Does the command involve a busy indication? No RETURN OK Read HSMCI_SR 0 NOTBUSY 1 RETURN OK Note: If the command is SEND_OP_COND, the CRC error flag is always present (refer to R3 response in the High Speed MultiMedia Card specification). SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1101 40.8.2 Data Transfer Operation The High Speed MultiMedia Card allows several read/write operations (single block, multiple blocks, stream, etc.). These kinds of transfer can be selected setting the Transfer Type (TRTYP) field in the HSMCI Command Register (HSMCI_CMDR). These operations can be done using the features of the Peripheral DMA Controller (PDC). If the PDCMODE bit is set in HSMCI_MR, then all reads and writes use the PDC facilities. In all cases, the block length (BLKLEN field) must be defined either in the HSMCI Mode Register (HSMCI_MR) or in the HSMCI Block Register (HSMCI_BLKR). This field determines the size of the data block. Consequent to MMC Specification 3.1, two types of multiple block read (or write) transactions are defined (the host can use either one at any time):  Open-ended/Infinite Multiple block read (or write): The number of blocks for the read (or write) multiple block operation is not defined. The card will continuously transfer (or program) data blocks until a stop transmission command is received.  Multiple block read (or write) with predefined block count (since version 3.1 and higher): The card will transfer (or program) the requested number of data blocks and terminate the transaction. The stop command is not required at the end of this type of multiple block read (or write), unless terminated with an error. In order to start a multiple block read (or write) with predefined block count, the host must correctly program the HSMCI Block Register (HSMCI_BLKR). Otherwise the card will start an open-ended multiple block read. The BCNT field of the HSMCI_BLKR defines the number of blocks to transfer (from 1 to 65535 blocks). Programming the value 0 in the BCNT field corresponds to an infinite block transfer. 40.8.3 Read Operation The following flowchart (Figure 40-8) shows how to read a single block with or without use of PDC facilities. In this example, a polling method is used to wait for the end of read. Similarly, the user can configure the HSMCI Interrupt Enable Register (HSMCI_IER) to trigger an interrupt at the end of read. 1102 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 40-8. Read Functional Flow Diagram Send SELECT/DESELECT_CARD command(1) to select the card Send SET_BLOCKLEN command(1) No Yes Read with PDC Reset the PDCMODE bit HSMCI_MR &= ~PDCMODE Set the block length (in bytes) HSMCI_BLKR |= (BlockLength 1.8V — ILOAD VDDIN ≤ 1.8V — — 70 ILOAD-START Maximum Peak Current during startup (3) — — 400 VDROPOUT Dropout Voltage VDDIN = 1.6V ILOAD = 70 mA — 400 VLINE Line Regulation VDDIN from 2.7 to 3.6 V ILOAD max — 10 30 mV VLINE-TR Transient Line Regulation VDDIN from 2.7 to 3.6 V ILOAD Max tr = tf = 5 µs CDOUT = 4.7 µF — 50 150 mV VLOAD Load Regulation VDDIN ≥ 1.8 V ILOAD = 10% to 90% max — 25 60 mV Transient Load Regulation VDDIN 1.8 V ILOAD = 10% to 90% max tr = tf = 5 µs CDOUT = 4.7 µF — 45 210 mV Normal Mode, @ ILOAD = 0 mA — 5.5 — Normal Mode, @ ILOAD = 120 mA — 350 — Standby Mode — 0.06 — (1) — 4.7 — µF (2) 1.85 2.2 5.9 µF ESR 0.1 — 10 Ω VLOAD-TR IQ CDIN Quiescent Current Input Decoupling Capacitor V % mA mA mV µA CDOUT Output Decoupling Capacitor ton Turn on Time CDOUT = 2.2 µF VDDOUT reaches 1.2V (± 3%) — 300 — µs toff Turn off Time CDOUT = 2.2 µF VDDIN 1.8V — — 9.5 ms Notes: 1. A 4.7 µF or higher ceramic capacitor must be connected between VDDIN and the closest GND pin of the device. This large decoupling capacitor is mandatory to reduce startup current, improving transient response and noise rejection. 2. To ensure stability, an external 2.2 µF output capacitor, CDOUT must be connected between the VDDOUT and the closest GND pin of the device. The ESR (Equivalent Series Resistance) of the capacitor must be in the range 0.1Ω to 10Ω. Solid tantalum and multilayer ceramic capacitors are all suitable as output capacitor. A 100 nF bypass capacitor between VDDOUT and the closest GND pin of the device helps decrease output noise and improves the load transient response. 3. Defined as the current needed to charge external bypass/decoupling capacitor network. 4. See Section 5.2.2 “VDDIO Versus VDDIN” SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1357 Table 46-4. Symbol Core Power Supply Brownout Detector Characteristics Parameter Conditions (1) Min Typ Max Unit — 0.98 1.0 1.04 V VT- Supply Falling Threshold Vhys Hysteresis Voltage — — — 110 mV VT+ Supply Rising Threshold — 0.8 1.0 1.08 V tRST Reset Period VDDIO rising from 0 to 1.2V ± 10% 90 — 320 µs Brownout Detector enabled — — 24 µA Brownout Detector disabled — — 2 µA Brownout Detector enabled — — 24 µA Brownout Detector disabled — — 2 µA IDDON Current Consumption on VDDCORE IDDOFF IDD33ON Current Consumption on VDDIO IDD33OFF td- VT- Detection Propagation Time VDDCORE = VT+ to (VT- - 100mV) — 200 300 ns tSTART Startup Time From disabled state to enabled state — — 300 µs Note: 1. The product is guaranteed to be functional at VTFigure 46-1. Core Brownout Output Waveform VDDCORE VT+ VTt BOD OUTPUT td- td+ t 1358 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 46-5. VDDIO Supply Monitor Symbol Parameter Conditions Min Typ Max Unit VT Supply Monitor Threshold 16 selectable steps 1.6 — 3.4 V VT(accuracy) Threshold Level Accuracy -40/+105°C -2.5 — +2.5 % Vhys Hysteresis Voltage — 20 30 mV Enabled — 23 40 µA Disabled — 0.02 2 µA From disabled state to enabled state — — 300 µs IDDON Current Consumption IDDOFF tSTART Startup Time Table 46-6. Threshold Selection Digital Code Threshold min (V) Threshold typ (V) Threshold max (V) 0000 1.56 1.6 1.64 0001 1.68 1.72 1.76 0010 1.79 1.84 1.89 0011 1.91 1.96 2.01 0100 2.03 2.08 2.13 0101 2.15 2.2 2.23 0110 2.26 2.32 2.38 0111 2.38 2.44 2.50 1000 2.50 2.56 2.62 1001 2.61 2.68 2.75 1010 2.73 2.8 2.87 1011 2.85 2.92 2.99 1100 2.96 3.04 3.12 1101 3.08 3.16 3.24 1110 3.20 3.28 3.36 1111 3.32 3.4 3.49 Figure 46-2. VDDIO Supply Monitor VDDIO VT + Vhys VT Reset SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1359 Table 46-7. Zero-Power-On Reset Characteristics Symbol Parameter Conditions Min Typ Max Unit VT+ Threshold Voltage Rising At Startup 1.45 1.53 1.59 V VT- ThreshoLd Voltage Falling — 1.35 1.45 1.55 V tRST Reset Period — 100 340 580 µs Figure 46-3. Zero-Power-On Reset Characteristics VDDIO VT+ VT- Reset Table 46-8. Symbol DC Flash Characteristics Parameter Conditions Typ Max Unit 16 25 mA 10 18 mA 3 5 mA Random 128-bit Read: Maximum Read Frequency onto VDDCORE = 1.2V @ 25°C ICC Active current Random 64-bit Read: Maximum Read Frequency onto VDDCORE = 1.2V @ 25°C Program onto VDDCORE = 1.2V @ 25°C 1360 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 46.3 Power Consumption  Power consumption of the device depending on the different Low-Power mode Capabilities (Backup, Wait, Sleep) and Active mode.  Power consumption on power supply in different modes: Backup, Wait, Sleep and Active.  Power consumption by peripheral: calculated as the difference in current measurement after having enabled then disabled the corresponding clock.  All power consumption values are based on characterization. Note that these values are not covered by test limits in production. 46.3.1 Backup Mode Current Consumption The backup mode configuration and measurements are defined as follows. Figure 46-4. Measurement Setup AMP1 3.3V VDDIO VDDIN Voltage Regulator VDDOUT VDDCORE VDDPLL 46.3.1.1 Configuration A: Embedded Slow Clock RC Oscillator Enabled  Supply Monitor on VDDIO is disabled  RTC is running  RTT is enabled on 1Hz mode  BOD is disabled  One WKUPx enabled  Current measurement on AMP1 (see Figure 46-4) 46.3.1.2 Configuration B: 32.768 kHz Crystal Oscillator Enabled  Supply Monitor on VDDIO is disabled  RTC is running  RTT enabled on 1Hz mode  BOD disabled  One WKUPx enabled  Current measurement on AMP1 (see Figure 46-4) SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1361 Table 46-9. Typical Power Consumption for Backup Mode Configuration A and B Typical value BACKUP Total Consumption 46.3.2 @25°C @85°C @105°C Conditions (AMP1) Configuration A (AMP1) Configuration B (AMP1) Configuration A (AMP1) Configuration A VDDIO = 3.6V 2.0 1.9 7.2 15.4 VDDIO = 3.3V 1.7 1.6 6.9 12.0 VDDIO = 3.0V 1.5 1.5 6.2 11.2 VDDIO = 2.5V 1.3 1.2 5.5 9.8 VDDIO = 1.8V 1 0.9 4.6 8.3 Sleep and Wait Mode Current Consumption The Wait mode and Sleep mode configuration and measurements are defined below. Figure 46-5. Measurement Setup for Sleep Mode AMP2 3.3V VDDIO VDDIN Voltage Regulator VDDOUT AMP1 VDDCORE VDDPLL 46.3.2.1 Sleep Mode  Core Clock OFF  VDDIO = VDDIN = 3.3V  Master Clock (MCK) running at various frequencies with PLLA or the fast RC oscillator  Fast start-up through pins WKUP0–15  Current measurement as shown in Figure 46-5  All peripheral clocks deactivated  TA = 25°C Table 46-10 gives current consumption in typical conditions. 1362 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Unit µA Figure 46-6. Current Consumption in Sleep Mode (AMP1) versus Master Clock Ranges (refer to Table 46-10) 10.000 8.000 6.000 AMP1 VDDCORE (mA) 4.000 2.000 0.000 0 Table 46-10. 10 20 30 40 50 60 70 80 90 Processor and Peripheral Clocks in MHz 100 110 Sleep Mode Current Consumption versus Master Clock (MCK) Variation with PLLA Core Clock/MCK (MHz) VDDCORE Consumption (AMP1) Total Consumption (AMP2) 120 9.8 11.4 100 8.2 9.5 84 7.1 9.4 64 5.5 7.2 48 4.2 5.5 32 3.0 4.7 24 2.3 3.5 Table 46-11. 120 Unit mA Sleep Mode Current Consumption versus Master Clock (MCK) Variation with Fast RC Core Clock/MCK (MHz) VDDCORE Consumption (AMP1) Total Consumption (AMP2) 12 1.11 1.14 8 0.77 0.8 4 0.45 0.48 2 0.3 0.33 1 0.22 0.25 0.5 0.18 0.21 0.25 0.16 0.19 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Unit mA 1363 46.3.2.2 Wait Mode Figure 46-7. Measurement Setup for Wait Mode AMP2 3.6V VDDIO VDDIN AMP1 Voltage Regulator VDDOUT VDDCORE VDDPLL  VDDIO = VDDIN = 3.6V  Core Clock and Master Clock stopped  Current measurement as shown in the above figure  All peripheral clocks deactivated  BOD disabled  RTT enabled Table 46-12 gives current consumption in typical conditions. Table 46-12. Typical Current Consumption in Wait Mode (1) Typical Value @25°C Conditions @85°C @105°C VDDOUT Consumption (AMP1) Total Consumption (AMP2) Total Consumption (AMP2) Total Consumption (AMP2) 43 56 550 1200 Unit See Figure 46-7 on page 1364 There is no activity on the I/Os of the device; Flash in Standby mode. µA See Figure 46-7 on page 1364 There is no activity on the I/Os of the device; Flash in Deep Power Down Mode. Note: 46.3.3 1. 39 47 496 Value from characterization, not tested in production. Active Mode Power Consumption The Active Mode configuration and measurements are defined as follows: 1364  VDDIO = VDDIN = 3.3V  VDDCORE = 1.2V (internal voltage regulator used)  TA = 25°C  Application running from Flash Memory with 128-bit access mode  All peripheral clocks are deactivated.  Master Clock (MCK) running at various frequencies with PLLA or the fast RC oscillator  Current measurement on AMP1 (VDDCORE) and total current on AMP2 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 980 Figure 46-8. Active Mode Measurement Setup AMP2 3.3V VDDIO VDDIN Voltage Regulator VDDOUT AMP1 VDDCORE VDDPLL Table 46-13 on page 1365 and Figure 46-14 on page 1366 give the Active Mode Current Consumption in typical conditions.  VDDCORE at 1.2V  TA = 25°C 46.3.3.1 SAM4E Active Power Consumption Table 46-13. Active Power Consumption with VDDCORE @ 1.2V running from Embedded Memory (IDDCORE - AMP1) Core Mark Cache Enable (CE) Cache Disable (CD) Core Clock (MHz) 128-bit Flash access(1) 64-bit Flash access(1) 128-bit Flash access(1) 64-bit Flash access(1) SRAM 120 21.1 21.0 25.5 19.0 17.9 100 18.1 18.1 22.5 17.2 15.0 84 15.5 15.5 20.0 16.1 12.86 64 11.9 11.9 16.4 13.6 9.9 48 9.0 9.0 12.7 11.7 7.5 32 6.2 6.2 9.1 8.9 5.2 24 4.6 4.6 7 6.8 3.9 12 2.5 2.4 4.1 3.8 2.2 8 1.9 1.8 2.9 2.8 1.6 4 1.2 1.1 1.7 1.7 1.0 2 0.81 0.79 1 1 0.75 1 0.46 0.46 0.6 0.6 0.44 0.5 0.38 0.38 0.47 0.44 0.36 Note: Unit mA 1. Flash Wait State (FWS) in EEFC_FMR is adjusted depending on core frequency. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1365 Figure 46-9. Active Power Consumption with VDDCORE @ 1.2V 25.0 20.0 Flash128 (CD) mA 15.0 Flash64 (CE) mA Flash128 (CE) mA 10.0 Flash64 (CD) mA SRAM mA 5.0 0.0 0 20  VDDCORE at 1.2V  TA = 25°C 46.3.3.2 40 60 80 100 120 SAM4E Active Total Power Consumption Table 46-14. Active Total Power Consumption with VDDCORE @ 1.2V running from Embedded Memory (IDDIO + IDDIN - AMP2) CoreMark Cache Enable (CE) Core Clock (MHz) Note: 1366 Cache Disable (CD) 128-bit Flash Access(1) 64-bit Flash Access(1) 128-bit Flash Access(1) 64-bit Flash Access(1) SRAM 120 22.6 22.6 29.2 22.3 19.5 100 19.5 19.5 25.7 20.2 16.4 84 17.7 17.8 24.0 19.9 15.1 64 13.6 13.6 19.7 16.7 11.5 48 10.3 10.3 14.7 14.4 8.7 32 7.9 7.9 12.1 11.9 6.8 24 5.8 5.8 9.3 9.2 5.2 12 3.8 3.6 6.3 6.2 3.4 8 3.5 3.4 5.5 5.6 3.3 4 2.8 2.7 4.1 4.4 2.7 2 2.5 2.4 3.6 3.7 2.4 1 1.5 1.5 1.9 2.1 1.5 0.5 1.4 1.4 1.6 1.7 1.4 1. Flash Wait State (FWS) in EEFC_FMR adjusted depending on Core Frequency SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Unit mA Figure 46-10. Active Total Power Consumption with VDDCORE @ 1.2V 35 30 25 CE 128-bit Flash access 20 CE 64-bit Flash access(1) CD 128-bit Flash access 15 CD 64-bit Flash access SRAM 10 5 0 0 20 40 60 80 100 120 140 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1367 46.3.4 Peripheral Power Consumption in Active Mode Table 46-15. Power Consumption on VDDCORE (VDDIO = 3.3V, VDDCORE = 1.08V, TA = 25°C) Peripheral 1368 Consumption (Typ) PIO Controller A (PIOA) 5.23 PIO Controller B (PIOB) 1.44 PIO Controller C (PIOC) 4.02 PIO Controller D (PIOD) 3.17 PIO Controller E (PIOE) 0.86 UART 4.50 USART 6.5 PWM 11.00 TWI 4.70 SPI 4.42 Timer Counter (TCx) 3.7 AFEC 5.15 DACC 3.0 ACC 0.28 HSMCI 6.43 CAN 6.5 SMC 2.77 UDP 5.11 GMAC 44.2 AES 2.39 DMAC 7.21 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Unit µA/MHz 46.4 Oscillator Characteristics 46.4.1 32 kHz RC Oscillator Characteristics Table 46-16. 32 kHz RC Oscillator Characteristics Symbol Parameter fOSC RC Oscillator Frequency — Frequency Supply Dependency — Frequency Temperature Dependency Duty Duty Cycle tSTART Startup Time IDDON Current Consumption Conditions Min Typ Max Unit — 20 32 44 kHz — -3 — 3 %/V -7 — 7 % — 45 50 55 % — — — 100 µs — 540 860 nA Over temperature range (-40 to 105 °C) versus TA 25°C After startup time TA range = -40 to 105 °C Typical consumption at 2.2V supply and TA 25°C 46.4.2 4/8/12 MHz RC Oscillators Characteristics Table 46-17. Symbol 4/8/12 MHz RC Oscillators Characteristics Parameter Conditions fOSC RC Oscillator Frequency Range (1) ACC4 4 MHz Total Accuracy ACC8 ACC12 8 MHz Total Accuracy 12 MHz Total Accuracy Min Typ Max Unit 4 — 12 MHz -40°C < TA < +105°C 4 MHz output selected (1)(2) — — ±30 % -40°C < TA < +105°C 8 MHz output selected (1)(2) — — ±30 -40°C < TA < +105°C 8 MHz output selected (1)(3) — — ±5 -40°C < TA < +105°C 12 MHz output selected (1)(2) — — ±30 -40°C < TA < +105°C 12 MHz output selected (1)(3) — — ±5 8 MHz — 47 12 MHz — 64 — — kHz/trimming code 50 55 % µs % % — Frequency deviation versus trimming code Duty Duty Cycle — 45 tSTART Startup Time — — — 10 4 MHz — 50 75 8 MHz — 65 95 12 MHz — 82 118 IDDON Notes: Active Current Consumption(2) µA 1. Frequency range can be configured in the Supply Controller Registers 2. Not trimmed from factory 3. After Trimming from factory The 4/8/12 MHz Fast RC oscillator is calibrated in production. This calibration can be read through the Get CALIB Bit command (see the EEFC section) and the frequency can be trimmed by software through the PMC. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1369 46.4.3 32.768 kHz Crystal Oscillator Characteristics Table 46-18. 32.768 kHz Crystal Oscillator Characteristics Symbol Parameter Conditions Min Typ Max Unit fOSC Operating Frequency Normal mode with crystal — — 32.768 kHz Vrip(VDDIO) Supply Ripple Voltage (on VDDIO) RMS value, 10 kHz to 10 MHz — — 30 mV — Duty Cycle — 40 50 60 % Ccrystal = 12.5 pF — — 900 Ccrystal = 6 pF — — 300 Ccrystal = 12.5 pF — — 1200 Ccrystal = 6 pF — — 500 Ccrystal = 12.5 pF — 550 1150 Ccrystal = 6 pF — 380 980 Ccrystal = 12.5 pF — 820 1600 Ccrystal = 6 pF — 530 1350 RS < 50 kΩ (1) tSTART Startup Time RS < 100 kΩ (1) RS < 50 kΩ (1) IDDON Current Consumption RS < 100 kΩ (1) ms nA PON Drive Level — — — 0.1 µW Rf Internal Resistor Between XIN32 and XOUT32 — 10 — MΩ Ccrystal Allowed Crystal Capacitance Load From crystal specification 6 — 12.5 pF Cpara Internal Parasitic Capacitance — 0.6 0.7 0.8 pF Note: 1. RS is the series resistor. Figure 46-11. 32.768 kHz Crystal Oscillator Schematics SAM4 XOUT32 XIN32 CLEXT Ccrystal CLEXT CLEXT = 2 × (Ccrystal - Cpara - CPCB) where: CPCB is the capacitance of the printed circuit board (PCB) track layout from the crystal to the SAM4 pin. 46.4.4 32.768 kHz Crystal Characteristics Table 46-19. 1370 Crystal Characteristics Symbol Parameter Conditions Min Typ Max Unit ESR Equivalent Series Resistor (RS) Crystal @ 32.768 kHz — 50 100 kΩ Cm Motional Capacitance Crystal @ 32.768 kHz 0.6 — 3 fF CSHUNT Shunt Capacitance Crystal @ 32.768 kHz 0.6 — 2 pF SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 46.4.5 3 to 20 MHz Crystal Oscillator Characteristics Table 46-20. 3 to 20 MHz Crystal Oscillator Characteristics Symbol Parameter Conditions Min Typ Max Unit fOSC Operating Frequency Normal mode with crystal 3 16 20 MHz Vrip(VDDPLL) Supply Ripple Voltage (on VDDPLL) RMS value, 10 kHz to 10 MHz — — 30 mV — Duty Cycle — 40 50 60 % 3 MHz, CSHUNT = 3 pF — — 14.5 8 MHz, CSHUNT = 7 pF — — 4 16 MHz, CSHUNT = 7 pF with Cm = 8 fF — — 1.4 16 MHz, CSHUNT = 7 pF with Cm = 1.6 fF — — 2.5 20 MHz, CSHUNT = 7 pF Startup Time tSTART 3 MHz Current consumption (on VDDIO) IDDON Drive level PON — — 1 (2) — 230 350 (3) 8 MHz — 300 400 (4) — 390 470 20 MHz(5) — 450 560 3 MHz — — 15 8 MHz — — 30 16 MHz, 20 MHz — — 50 16 MHz ms µA µW Rf Internal Resistance Between XIN and XOUT — 0.5 — MΩ Ccrystal Allowed Crystal Capacitance Load From crystal specification 12.5 — 17.5 pF CLOAD Internal Equivalent Load Capacitance Integrated Load Capacitance (XIN and XOUT in series) 7.5 9.5 10.5 pF Notes: 1. 2. 3. 4. 5. RS is the series resistor RS = 100–200 Ω; CSHUNT = 2.0–2.5 pF; Cm = 2–1.5 fF (typ, worst case) using 1 kΩ serial resistor on XOUT. RS = 50–100 Ω; CSHUNT = 2.0–2.5 pF; Cm = 4–3 fF (typ, worst case). RS = 25–50 Ω; CSHUNT = 2.5–3.0 pF; Cm = 7–5 fF (typ, worst case). RS = 20–50 Ω; CSHUNT = 3.2–4.0 pF; Cm = 10–8 fF (typ, worst case). Figure 46-12. 3 to 20 MHz Crystal Oscillator Schematics SAM4 CLOAD XOUT XIN R = 1K if crystal frequency is lower than 8 MHz CLEXT Ccrystal CLEXT CLEXT = 2 × (Ccrystal - CLOAD - CPCB) where: CPCB is the capacitance of the printed circuit board (PCB) track layout from the crystal to the SAM4 pin. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1371 46.4.6 3 to 20 MHz Crystal Characteristics Table 46-21. Symbol Crystal Characteristics Parameter Conditions Equivalent Series Resistor (RS) ESR Min Typ Max Fundamental @ 3 MHz — — 200 Fundamental @ 8 MHz — — 100 Fundamental @ 12 MHz — — 80 Fundamental @ 16 MHz — — 80 Fundamental @ 20 MHz — — 50 Unit Ω Cm Motional Capacitance — — — 8 fF CSHUNT Shunt Capacitance — — — 7 pF 46.4.7 3 to 20 MHz XIN Clock Input Characteristics in Bypass Mode Table 46-22. Symbol XIN Clock Electrical Characteristics (In Bypass Mode) Parameter Conditions Min Typ Max Unit 1/(tCPXIN) XIN Clock Frequency (1) — — 50 MHz tCPXIN XIN Clock Period (1) 20 — — ns XIN Clock High Half-period (1) 8 — — ns XIN Clock Low Half-period (1) 8 — — ns tCHXIN tCLXIN tCLCH Rise Time (1) 2.2 — — ns tCHCL Fall Time (1) 2.2 — — ns VXIN_IL VXIN Low-level Input Voltage (1) -0.3 — MIN [0.8V, 0.3 × VDDIO] V VXIN_IH VXIN High-level Input Voltage (1) MIN [2.0V, 0.7 × VDDIO] — VDDIO + 0.3V V Cpara(standby) Internal Parasitic Capacitance During Standby (1) — 5.5 6.3 pF Rpara(standby) Internal Parasitic Resistance During Standby (1) — 300 — Ω Note: 1. These characteristics apply only when the 3–20 MHz crystal oscillator is in Bypass mode. Figure 46-13. XIN Clock Timing tCHCL tCLCH tCHXIN VXIN_IH VXIN_IL tCLXIN tCPXIN 1372 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 46.4.8 Crystal Oscillator Design Considerations Information 46.4.8.1 Choosing a Crystal When choosing a crystal for the 32.768 kHz Slow Clock Oscillator or for the 3–20 MHz oscillator, several parameters must be taken into account. Important parameters between crystal and SAM4E specifications are as follows:  Load Capacitance Ccrystal is the equivalent capacitor value the oscillator must “show” to the crystal in order to oscillate at the target frequency. The crystal must be chosen according to the internal load capacitance (CLOAD) of the onchip oscillator. Having a mismatch for the load capacitance will result in a frequency drift.  Drive Level Crystal Drive Level ≥ Oscillator Drive Level. Having a crystal drive level number lower than the oscillator specification may damage the crystal.  Equivalent Series Resistor (ESR) Crystal ESR ≤ Oscillator ESR Max. Having a crystal with ESR value higher than the oscillator may cause the oscillator to not start.  Shunt Capacitance Max. Crystal Shunt Capacitance ≤ Oscillator Shunt Capacitance (CSHUNT). Having a crystal with ESR value higher than the oscillator may cause the oscillator to not start. 46.4.8.2 Printed Circuit Board (PCB) SAM4E oscillators are low-power oscillators requiring particular attention when designing PCB systems. 46.5 PLLA Characteristics Table 46-23. Supply Voltage Phase Lock Loop Characteristics Symbol Parameter VDDPLLR Supply Voltage Range Vrip(VDDPLL) Allowable Voltage Ripple Table 46-24. PLLA Characteristics Symbol Parameter Conditions Min Typ Max Unit 1.08 1.2 1.32 V RMS value 10 kHz to 10 MHz — — 20 RMS value > 10 MHz — — 10 Conditions Min Typ Max Unit mV fIN Input Frequency — 3 — 32 MHz fOUT Output Frequency — 80 — 240 MHz Active mode @ 80 MHz @ 1.2V — 0.94 1.2 Active mode @ 96 MHz @ 1.2V — 1.2 1.5 Active mode @ 160 MHz @ 1.2V — 2.1 2.5 Active Mode @ 240 MHz @ 1.2V — 3.34 4 — — 60 150 IPLL ts Current Consumption Settling Time SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 mA µs 1373 46.6 USB Transceiver Characteristics 46.6.1 Typical Connection For typical connection please refer to Section 41. “USB Device Port (UDP)”. 46.6.2 USB Electrical Characteristics Table 46-25. Symbol USB Electrical Characteristics Parameter Conditions Min Typ Max Unit Input Levels VIL Low Level — — — 0.8 V VIH High Level — 2.0 — — V VDI Differential Input Sensitivity |(D+) - (D-)| 0.2 — — V VDICR Differential Input Common Mode Range — 0.8 — 2.5 V Ci Transceiver capacitance Capacitance to ground on each line — — 9.18 pF Ilkg Hi-Z State Data Line Leakage 0V < VI < 3.3V -10 — +10 µA REXT Recommended External USB Series Resistor In series with each USB pin with ±5% — 27 — Ω Output Levels VOL Low Level Output Measured with RL of 1.425 kΩ tied to 3.6V 0.0 — 0.3 V VOH High Level Output Measured with RL of 14.25 kΩ tied to GND 2.8 — 3.6 V VCRS Output Signal Crossover Voltage Measure conditions described in Figure 4614 “USB Data Signal Rise and Fall Times” 1.3 — 2.0 V — 105 200 µA — 80 150 µA Consumption IVDDIO Current Consumption (on VDDIO) IVDDCORE Current Consumption (on VDDCORE) Transceiver enabled in input mode DDP = 1 and DDM = 0 Pull-up Resistor RPUI Bus Pull-up Resistance on Upstream Port (idle bus) — 0.900 — 1.575 kΩ RPUA Bus Pull-up Resistance on Upstream Port (upstream port receiving) — 1.425 — 3.090 kΩ 46.6.3 Switching Characteristics Table 46-26. 1374 In Full Speed Symbol Parameter Conditions Min Typ Max Unit tr Transition Rise Time CLOAD = 50 pF 4 — 20 ns tf Transition Fall Time CLOAD = 50 pF 4 — 20 ns trfm Rise/Fall time Matching — 90 — 111.11 % SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Figure 46-14. USB Data Signal Rise and Fall Times Rise Time Fall Time 90% VCRS 10% Differential Data Lines 10% tr tf (a) REXT = 27 ohms fOSC = 6 MHz/750 kHz Buffer CLOAD (b) SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1375 46.7 12-bit AFE (Analog Front End) Characteristics Electrical data are in accordance with the following standard conditions unless otherwise specified:  Operating temperature range from -40 to 105 °C  Min and max data are defined as three times the standard deviation of the manufacturing process Figure 46-15. 12-bit AFE (Analog Front End) Diagram Analog mux Sample and hold Prog gain amplifier A/D Converter S&H + MUX 12b ADC PGA - 12 bits ADVREF Analog offset cancelation DAC 12b 12 bits AFEx (x=[0;1]) 46.7.1 D/A Converter ADC Power Supply Table 46-27. Analog Power Supply Characteristics Symbol Parameter VDDIN Supply Voltage Range Conditions Min Typ Max Full operational 2.4 — 3.6 2 — 2.4 4 8 µA 1.8 3 mA 3.8 6 mA — 0.1 µA 0.2 0.4 mA V (1) ADC Sleep Mode(2) IVDDIN Analog Current Consumption ADC Fast Wake-up Mode (3) — ADC Normal Mode Notes: 46.7.1.1 ADC Sleep Mode (all off) Digital Current Consumption IVDDcore 1. 2. 3. Unit (2) ADC Normal Mode — See Section “Low Voltage Supply”. In Sleep mode the ADC core, sample and hold, and internal reference operational amplifier are off. In Fast Wake-up mode, only the ADC core is off. ADC Bias Current All current consumption is performed when the field IBCTL in the AFEC Control Register (AFEC_ACR) is set to 01. IBCTL controls the ADC biasing current, with the nominal setting IBCTL = 01. IBCTL = 01 is the default configuration suitable for a sampling frequency of up to 1 MHz. If the sampling frequency is below 500 kHz, IBCTL = 00 can also be used to reduce the current consumption. Table 46-28. 1376 ADC Bias Current Adjustment IBCTL = 00 IBCTL = 01 IBCTL = 10 IBCTL = 11 Typ-22% Typ Reserved Reserved SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 46.7.2 External Reference Voltage VADVREF is an external reference voltage applied on the pin ADVREF. The quality of the reference voltage VADVREF is critical to the performance of the ADC. A DC variation of the reference voltage VADVREF is converted to a gain error by the ADC. The noise generated by VADVREF is converted by the ADC to count noise. Table 46-29. ADVREF Electrical Characteristics Symbol Parameter VADVREF ADVREF Voltage Range Conditions Min Typ Max Full operational 2.4 — 3.6 2 — 2.4 V (1) Gain = 0.5, DIFF(3) mode Input Voltage Noise(2) Vn Gain = 1, SE (4) Gain = 2, SE (4) — — 1100 (3) — — 550 (3) — — 274 — — 137 2.4 3 10 kΩ — 1 1.5 mA and DIFF µVrms and DIFF Gain = 4, SE(4) mode RADVREF ADVREF Input DC Impedance ADC+DAC reference resistor bridge IADVREF ADVREF Current (ADVREF + DAC Current) ADVREF = 3V Notes: 1. 2. 3. 4. 5. Unit (5) See Section “Low Voltage Supply”. Over a bandwidth from 20 Hz to 20 MHz. DIFF is Differential mode. SE is Single-ended mode. When the ADC is in Sleep mode, the ADVREF impedance has a minimum of 10 MΩ. 46.7.3 Table 46-30. ADC Timings ADC Timing Characteristics Symbol Parameter fADC Conditions Min Typ Max Unit Clock Frequency 1 20 22 MHz tCP_ADC Clock Period 45 50 1000 ns fS Sampling Frequency 0.05 1 1.1 MHz Sleep mode to Normal mode — 16 32 tSTART ADC Startup time Fast Wake-up mode to Normal mode — 4 8 tCONV Conversion Time Number of ADC clock pulses to perform a conversion — 20 — tCP_ADC tCAL Calibration time 200 — — ns µs SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1377 46.7.4 ADC Transfer Function The first operation of the ADC is a sampling function relative to a common mode voltage. The common mode voltage (VCM) is equal to VADVREF/2 when the bits OFFx = 1, in Differential and in Single-ended mode. When the bits OFFx = 0, sampling is done versus VADVREF/4 for gain = 2, and VADVREF/8 for gain = 4, in Single-ended mode only. The code in AFEC_CDR is a 12-bit positive integer. The internal DAC is set for the code 2047. 46.7.4.1 Differential Mode A differential input voltage VI = VI+ - VI- can be applied between two selected differential pins, e.g., AD0 and AD1. The ideal code Ci is calculated by using the following formula and rounding the result to the nearest positive integer. 4096 Ci = ----------------------- × V I × Gain + 2047 V ADVREF Table 46-31 is a computation example for the above formula, where VADVREF = 3V. Table 46-31. 46.7.4.2 Input Voltage Values in Differential Mode Ci Gain = 0.5 Gain = 1 Gain = 2 0 -3 -1.5 -0.75 2047 0 0 0 4095 3 1.5 0.75 Single-ended Mode A single input voltage VI can be applied to selected pins, e.g., AD0 or AD1. The ideal code Ci is calculated by using the following formula and rounding the result to the nearest positive integer. The single-ended ideal code conversion formula for OFFx = 1 is: V ADVREF 4096 Ci = ----------------------- ×  V I – ----------------------- × Gain + 2047  V ADVREF  2 Table 46-32 is a computation example for the above formula, where VADVREF = 3V. Table 46-32. Input Voltage Values in Single-ended Mode, OFFx = 1 Ci Gain = 1 Gain = 2 Gain = 4 0 0 0.75 1.125 2047 1.5 1.5 1.5 4095 3 2.25 1.875 The single-ended ideal code conversion formula for OFFx = 0 is: 4096 Ci = V I × Gain × ----------------------- – 1 V ADVREF 1378 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 46-33 is a computation example for the above formula, where VADVREF = 3V. Table 46-33. 46.7.4.3 Input Voltage Values in Single-ended Mode, OFFx = 0 Ci Gain = 1 Gain = 2 Gain = 4 0 0 0 0 2047 1.5 0.75 0.375 4095 3 1.5 0.75 Example of LSB Computation The LSB is relative to the analog scale VADVREF. The term LSB expresses the quantization step in volts, also used for one ADC code variation.  Single-ended (SE) (ex: VADVREF = 3.0V)  46.7.5 ̶ Gain = 1, LSB = (3.0V / 4096) = 732 µV ̶ Gain = 2, LSB = (1.5V / 4096) = 366 µV ̶ Gain = 4, LSB = (750 mV / 4096) = 183 µV Differential (DIFF) (ex: VADVREF = 3.0V) ̶ Gain = 0.5, LSB = (6.0V / 4096) = 1465 µV ̶ Gain = 1, LSB = (3.0V / 4096) = 732 µV ̶ Gain = 2, LSB = (1.5V / 4096) = 366 µV ADC Electrical Characteristics The gain error depends on the gain value and the OFFx bit. The data are given with and without autocorrection at TA 27°C. The data include the ADC performances as the PGA and ADC core cannot be separated. The temperature and voltage dependency are given as separate parameters. Table 46-34. Voltage and Temperature Dependencies Symbol Parameter Conditions Min Typ Max Unit αG Gain Temperature dependency -40 to 105 °C — — 5 ppm/°C αGV Gain Supply dependency VDDIN — — 0.025 %/V αO Offset Temperature dependency -40 to 105 °C — — 5 ppm/°C αOV Offset Supply dependency VDDIN — — 0.025 %/V 46.7.5.1 Gain and Offset Errors For:  a given gain error: EG (%)  a given ideal code (Ci)  a given offset error: EO (LSB) the actual code (Ca) is calculated using the following formula: EG Ca =  1 + --------- × ( Ci – 2047 ) + 2047 + E O  100 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1379 Differential Mode In differential mode, the offset is defined when the differential input voltage is zero. Figure 46-16. Gain and Offset Errors in Differential Mode ADC codes 4095 FSe+ EO = Offset error 2047 FSe0 VI Differential ADVREF/2 0 -ADVREF/2 where:  FSe = (FSe+) - (FSe-) is for full-scale error, unit is LSB code  Offset error EO is the offset error measured for VI = 0V  Gain error EG = 100 × FSe / 4096, unit in % The error values in Table 46-35 and Table 46-36 include the sample and hold error as well as the PGA gain error. Table 46-35. Differential Gain Error EG Gain Mode 0.5 Auto Correction 2 No Yes No Yes No Yes Average Gain Error (%) -0.107 0.005 0.444 0.112 0.713 0.005 Standard Deviation (%) 0.410 0.210 0.405 0.229 0.400 0.317 Gain Min Value (%) -1.338 -0.625 -0.771 -0.576 -0.488 -0.947 Gain Max Value (%) 1.123 0.635 1.660 0.801 1.914 0.957 Table 46-36. 1380 1 Differential Output Offset Error EO Gain 0.5 1 2 Average Offset Error (LSB) -1.2 -1.2 -0.6 Standard Deviation (LSB) 0.3 0.4 0.4 Offset Min value (LSB) -2.1 -2.4 -1.8 Offset Max value (LSB) -0.3 0 0.6 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Single-ended Mode Figure 46-17 illustrates the ADC output code relative to an input voltage VI between 0V (Ground) and VADVREF. The ADC is configured in Single-ended mode by connecting internally the negative differential input to VADVREF/2. As the ADC continues to work internally in Differential mode, the offset is measured at VADVREF/2. Figure 46-17. Gain and Offset Errors in Single-ended Mode ADC codes 4095 FSe+ EO = Offset error 2047 FSeVI Single-ended 0 0 ADVREF ADVREF/2 where:  FSe = (FSe+) - (FSe-) is for full-scale error, unit is LSB code  Offset error EO is the offset error measured for VI = 0V  Gain error EG = 100 × FSe / 4096, unit in % The error values in Table 46-37 and Table 46-38 include the sample and hold error as well as the PGA gain error. Table 46-37. Single-ended Gain Error Offset Mode OFFx = 0 OFFx = 0 OFFx = 1 OFFx = 0 OFFx = 1 1 2 2 4 4 Gain Mode AutoCorrection No Yes No Yes No Yes No Yes No Yes Average Gain Error (%) 0.449 0.078 0.771 -0.010 0.781 0.117 1.069 -0.029 1.064 0.151 Standard Deviation (%) 0.420 0.200 0.430 0.313 0.425 0.327 0.420 0.415 0.415 0.371 Min Value (%) -0.811 -0.522 -0.518 -0.947 -0.493 -0.864 -0.190 -1.274 -0.181 -0.962 Max Value (%) 1.709 0.679 2.061 0.928 2.056 1.099 2.329 1.216 2.310 1.265 Table 46-38. Single-ended Output Offset Error Offset Mode OFFx = 0 OFFx = 0 OFFx = 1 OFFx = 0 OFFx = 1 1 2 2 4 4 Average Offset Error (LSB) -5.7 -7.7 -10.3 -7.3 -18.7 Standard Deviation (LSB) 1.8 3.9 3.4 6 7 Min Value (LSB) -11.1 -19.4 -20.5 -25.3 -39.7 Max Value (LSB) -0.3 4 -0.1 10.7 2.3 Gain SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1381 46.7.5.2 ADC Electrical Performances Single-ended Static Performances Table 46-39. Single-ended Static Electrical Characteristics Symbol Parameter Conditions Min Typ Max Unit INL ADC Integral Non-linearity — -2 ±1 2 LSB DNL ADC Differential Non-linearity — -1 ±0.5 1 LSB Single-ended Dynamic Performances Table 46-40. Symbol Single-ended Dynamic Electrical Characteristics (1) Parameter Conditions Min Typ Max Unit 56 64 72 dB SNR Signal to Noise Ratio (1) THD Total Harmonic Distortion (1) 66 74 — dB SINAD Signal to Noise and Distortion (1) 55 62 — dB Effective Number of Bits (1) 9 10.5 — bits ENOB Note: 1. ADC Clock (fADC) = 20 MHz, fS = 1 MHz, fIN = 127 kHz, Frequency band = [1 kHz, 500 kHz] - Nyquist conditions fulfilled. Differential Static Performances Table 46-41. Differential Static Electrical Characteristics Symbol Parameter INL DNL Conditions Min Typ Max Unit Integral Non-linearity -2 ±1 2 LSB Differential Non-linearity -1 ±0.5 1 LSB Conditions Min Typ Max Unit Signal to Noise Ratio (1) 60 64 74 dB THD Total Harmonic Distortion (1) 76 80 — dB SINAD Signal to Noise and Distortion (1) 60 64 73 dB ENOB Effective Number of Bits (1) 9.5 10.5 12 bits Differential Dynamic Performances Table 46-42. Symbol SNR Note: 1. Differential Dynamic Electrical Characteristics Parameter ADC Clock (fADC) = 20 MHz, fS = 1 MHz, fIN = 127 kHz, Frequency band = [1 kHz, 500 kHz] Nyquist conditions fulfilled. 10-bit ADC Mode In 10-bit mode, the ADC produces 12-bit output but the output data in AFEC_CDR is shifted two bits to the right, removing the two LSBs of the 12-bit ADC. The gain and offset have the same values as for 12-bit mode, with digital full-scale output code range reduced to 1024 (vs 4096). The INL and DNL have the same values as for 12-bit mode. The dynamic performances are the 12-bit mode values, reduced by 12 dB. 1382 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Low Voltage Supply The ADC operates in 10-bit mode or 12-bit mode. Working at low voltage (VDDIN or/and VADVREF) between 2 and 2.4V is subject to the following restrictions:  The field IBCTL must be 00 to reduce the biasing of the ADC under low voltage. See Section 46.7.1.1 “ADC Bias Current”.  In 10-bit mode, the ADC clock should not exceed 5 MHz (max signal bandwidth is 250 kHz).  In 12-bit mode, the ADC clock should not exceed 2 MHz (max signal bandwidth is 100 kHz). 46.7.5.3 ADC Channel Input Impedance Figure 46-18. Input Channel Model Single-ended model Differential model Zi RON RON Zi Ci Ci GND RON where:  Zi is input impedance in single-ended or differential mode  Ci = 1 to 8 pF ±20% depending on the gain value and mode (SE or DIFF); temperature dependency is negligible  RON is typical 2 kΩ and 8 kΩ max (worst case process and high temperature)  RON is negligible regarding the value of Zi The following formula is used to calculate input impedance: 1 Z i = ---------------fS × Ci where:  fS is the sampling frequency of the ADC channel  Typ values are used to compute ADC input impedance Zi Table 46-43. Input Capacitance (CIN) Values Gain Selection Single-ended Differential 0.5 – 2 pF 1 2 pF 4 pF 2 2 pF 8 pF 4 4 pF – SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1383 Table 46-44. Zi Input Impedance fS (MHz) 1 0.5 0.25 0.125 0.0625 0.03125 0.015625 0.007813 8 16 32 64 4 8 16 32 2 4 8 16 Ci = 2 pF Zi (MΩ) 0.5 1 2 4 Ci = 4 pF Zi (MΩ) 0.25 0.5 1 2 Ci = 8 pF Zi (MΩ) 0.125 0.25 0.5 1 Track and Hold Time versus Source Output Impedance Figure 46-19 shows a simplified acquisition path. Figure 46-19. Simplified Acquisition Path ADC Input Mux. Sample & Hold 12-bit ADC ZSOURCE RON Ci During the tracking phase, the ADC needs to track the input signal during the tracking time shown below: tTRACK = 0.054 × ZSOURCE + 205 with tTRACK expressed in ns and ZSOURCE expressed in Ω. The ADC already includes a tracking time of 15 tCP_ADC Two cases must be considered: 46.7.5.4  If the calculated tracking time (tTRACK) is lower than 15 tCP_ADC, then AFEC_MR.TRACKTIM can be set to 0.  If the calculated tracking time (tTRACK) is higher than 15 tCP_ADC, then AFEC_MR.TRACKTIM must be set to the correct value. AFE DAC Offset Compensation Table 46-45. 1384 AFE DAC Offset Compensation Parameter Conditions Min Typ Max Unit Resolution - N — — 12 — bits INL Range [32 to 4063] -4 — +4 LSB DNL — -2 — +2 LSB LSB relative to VREFIN Scale LSB = VREFIN /2e12 — 732 — µV SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 46.7.6 ADC Resolution with Averaging 46.7.6.1 Conditions @ 25°C with Gain = 1  fADC = 20 MHz; fADC = 2 MHz for INL and DNL static measurement only  fS = 1 MHz, ADC Sampling Frequency in Free Run Mode  VADVREF = 3V  Signal Amplitude: VADVREF/2, Signal Frequency < 100 Hz  OSR: Number of Averaged Samples  VDDIN = 2.4V Table 46-46. ADC Resolution following Digital Averaging (Gain = 1) Parameter Averaging Resolution RES (AFEC_EMR) Over Sampling Ratio Mode (bits) INL (LSB) DNL (LSB) SNR (dB) THD (dB) ENOB (bits) FS (ksps) Single-ended Mode RES = 0 1 12 ±1 ±0.5 63.5 -83 10.2 1000 RES = 2 4 13 ±1 ±1 68.3 -84.5 11 250 RES = 3 16 14 +4 / -2 +3.2 / -1 73 -85.7 11.8 62.5 RES = 4 64 15 +6 / -3.5 — 76.8 -85.8 12.4 15.6 RES = 5 256 16 +15 / -8 — 82.7 -86.2 13.2 3.9 Differential Mode RES = 0 1 12 ±1 ±0.5 64 -83 10.3 1000 RES = 2 4 13 ±1 ±1 69.2 -83.7 11.2 250 RES = 3 16 14 +3 / -1.5 +3 / -1 74.8 -84.5 12.1 62.5 RES = 4 64 15 +6 / -3.5 — 80.2 -84.5 12.8 15.6 RES = 5 256 16 +10 / -7 — 83.9 -84.9 13.2 3.9 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1385 46.7.6.2 Conditions @ 25°C with Gain = 4  fADC = 20 MHz  fS = 1 MHz, ADC Sampling Frequency in Free Run Mode  VADVREF= 3V  Signal Amplitude: VADVREF/2, Signal Frequency < 100 Hz  OSR: Number of Averaged Samples Table 46-47. ADC Resolution following Digital Averaging (Gain = 4) Parameter Averaging Resolution RES (AFEC_EMR) Over Sampling Ratio Mode (bits) INL (LSB) DNL (LSB) SNR (dB) THD (dB) ENOB (bits) FS (ksps) Single-ended Mode RES = 0 1 12 ±1 ±0.5 59 -81 9.5 1000 RES = 2 4 13 +1.7 / -1.3 +1.6 / -1 63.1 -82.9 10.2 250 RES = 3 16 14 +1.7 / -2.5 +2 / -1 67 -83.6 10.8 62.5 RES = 4 64 15 ±8 — 70.3 -84.5 11.4 15.6 RES = 5 256 16 ±12 — 74.8 -85.1 12.1 3.9 Differential Mode 1386 RES = 0 1 12 ±1 ±0.5 62 -84.5 10 1000 RES = 2 4 13 ±1 ±1 67.7 -85.7 10.9 25 RES = 3 16 14 +4.1 / -1.6 +3.4 / -1 73.6 -86.8 11.9 6.25 RES = 4 64 15 ±3.5 — 78.7 -86.8 12.7 1.56 RES = 5 256 16 ±7.5 — 82.1 -86.8 13.1 0.39 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 46.8 12-bit DAC Characteristics Table 46-48. Analog Power Supply Characteristics Symbol Parameter Conditions Min Typ Max Unit VDDIN Analog Supply — 2.4 3.0 3.6 V Sleep Mode (Clock OFF) — — 3 µA Fast Wake-up (Standby Mode, Clock on) — 2 3 mA Normal Mode with 1 Output ON (IBCTLDACCORE = 01, IBCTLCHx = 10) — 4.3 5.6 mA Normal Mode with 2 Outputs ON (IBCTLDACCORE = 01, IBCTLCHx = 1 0) — 5 6.5 mA Min Typ Max Unit IVDDIN Current Consumption Table 46-49. Channel Conversion Time and DAC Clock Symbol Parameter Conditions fDAC Clock Frequency — 1 — 50 MHz tCP_DAC Clock Period — 20 — 1000 ns tREFRESH Refresh Time Between Conversions — 20 — — µs fS Sampling Frequency — 0.05 — 2 MHz 20 30 40 From Sleep Mode to Normal Mode: – Voltage Reference OFF – DAC Core OFF tSTART Startup time tCONV Conversion Time µs From Fast Wake-Up to Normal Mode: – Voltage Reference ON – DAC Core OFF 2.5 3.75 5 — — — 25 tCP_DAC External voltage reference for DAC is ADVREF. See the ADC voltage reference characteristics Table 46-29 on page 1377. Table 46-50. Symbol Static Performance Characteristics Parameter Conditions Resolution — Min Typ Max Unit — 12 — bit 2.4V < VDDIN < 2.7V -6 — +6 2.7V < VDDIN < 3.6V -2.5 ±1 +2.5 -2.5 ±1 +2.5 LSB INL Integral Non-linearity DNL Differential Non-linearity EO Offset Error — -32 ±8 32 LSB EG Gain Error — -32 ±2 32 LSB Note: 2.4V < VDDIN < 2.7V 2.7V < VDDIN < 3.6V LSB DAC Clock (fDAC) = 5 MHz, fS = 200 kHz, IBCTL = 01. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1387 Table 46-51. Dynamic Performance Characteristics Symbol Parameter SNR Signal to Noise Ratio THD Total Harmonic Distortion SINAD Signal to Noise and Distortion ENOB Effective Number of Bits Note: Table 46-52. Conditions Min Typ Max 2.4V < VDDIN < 2.7V 47 58 70 2.7V < VDDIN < 3.6V 56 61 74 2.4V < VDDIN < 2.7V — -72 -60 2.7V < VDDIN < 3.6V — -76 -68 2.4V < VDDIN < 2.7V 47 58 — 2.7V < VDDIN < 3.6V 56 61 — 2.4V < VDDIN < 2.7V 7.5 9 12 2.7V < VDDIN < 3.6V 9 10 12 Unit dB dB dB bits DAC Clock (fDAC) = 50 MHz, fS = 2 MHz, fIN = 241 kHz, IBCTL = 01, FFT using 1024 points or more, Frequency band = [10 kHz, 1 MHz] - Nyquist conditions fulfilled. Analog Outputs Symbol Parameter Conditions Min Typ Max Unit VOR Voltage Range — (1/6) x VADVREF — (5/6) x VADVREF V IBCTLCHx = 00 — 2.7 — V/µs IBCTLCHx = 01 — 5.3 — IBCTLCHx = 10 — 8 — IBCTLCHx = 11 — 10.7 — IBCTLCHx = 00 — 0.23 — IBCTLCHx = 01 — 0.45 — IBCTLCHx = 10 — 0.67 — IBCTLCHx = 11 — 0.89 — RLOAD = 10 kΩ/0 pF < CLOAD< 50 pF — — 0.5 µs Channel output current versus slew rate (IBCTL for DAC0 or DAC1, noted IBCTLCHx) RLOAD = 10 kΩ/0 pF < CLOAD< 50 pF SR Slew Rate No resistive load Output Channel Current Consumption mA tsa Settling Time RLOAD Output Load Resistor 10 — — kΩ CLOAD Output Load Capacitor — 30 50 pF 1388 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 46.9 Analog Comparator Characteristics Table 46-53. Analog Comparator Characteristics Symbol Parameter Conditions Min Typ Max Unit VR Voltage Range Analog Comparator is supplied by VDDIN 1.62 3.3 3.6 V VIR Input Voltage Range — GND + 0.2 — VDDIN - 0.2 V VIO Input Offset Voltage — — — 20 mV IVDDIN Current Consumption (VDDIN) Low-power option (ISEL = 0) — — 25 High-speed option (ISEL = 1) — — 170 Vhys Hysteresis HYST = 0x01 or 0x10 — 15 50 HYST = 0x11 — 30 90 tsa Settling Time Overdrive > 100 mV; Low-power option — — 1 Overdrive > 100 mV; High-speed option — — 0.1 µA mV µs 46.10 Temperature Sensor The temperature sensor is connected to channel 15 of the ADC. The temperature sensor provides an output voltage (VO_TS) that is proportional to absolute temperature (PTAT). VO_TS linearly varies with a temperature slope dVO_TS/dT = 4.7 mV/°C. VO_TS equals 1.44V at TA 27°C, with a ±60 mV accuracy. The VO_TS slope versus temperature dVO_TS/dT = 4.7 mV/°C only shows a ±7% slight variation over process, mismatch and supply voltage. The user needs to calibrate it (offset calibration) at ambient temperature to eliminate the VO_TS spread at ambient temperature (±15%). Table 46-54. Temperature Sensor Characteristics Symbol Parameter Conditions Min Typ Max Unit VO_TS Output Voltage TA = 27° C(1) — 1.44 — V +60 mV (1) VO_TS(accuracy) Output Voltage Accuracy TA = 27° C dVO_TS/dT Temperature Sensitivity (Slope Voltage vs Temperature (1) — 4.7 — mV/°C — Slope Accuracy Over temperature range -40 to 105 °C (1) -7 — +7 % After offset calibration over temperature range -40 to 105 °C -6 — +6 °C After offset calibration over temperature range 0 to 80 °C -5 — +5 °C Startup Time (1) — 5 10 µs Current Consumption (1) 50 70 80 µA (2) — Temperature Accuracy tSTART IVDDCORE Notes: -60 1. The value of TS only (the value does not take into account the ADC offset/gain/errors). 2. The temperature accuracy takes into account the ADC offset error, gain error in single ended mode with Gain = 1. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1389 46.11 AC Characteristics 46.11.1 Master Clock Characteristics Table 46-55. Symbol 1/(tCPMCK) 46.11.2 Master Clock Waveform Parameters Parameter Master Clock Frequency Min Max Unit VDDCORE @ 1.20V Conditions — 120 MHz VDDCORE @ 1.08V — 100 MHz I/O Characteristics Criteria used to define the maximum frequency of the I/Os:  Output duty cycle (40%–60%)  Minimum output swing: 100 mV to VDDIO - 100 mV  Addition of rising and falling time inferior to 75% of the period Table 46-56. Parameter FreqMax1 Pin Group 1(1) Maximum output frequency PulseminH1 Pin Group 1(1) High Level Pulse Width PulseminL1 Pin Group 1(1) Low Level Pulse Width FreqMax2 Pin Group 2(2) Maximum output frequency PulseminH2 Pin Group 2 (2) High Level Pulse Width PulseminL2 Pin Group 2(2) Low Level Pulse Width FreqMax3 Pin Group3(3) Maximum output frequency PulseminH3 Pin Group 3(3) High Level Pulse Width PulseminL3 Pin Group 3(3) Low Level Pulse Width FreqMax4 Pin Group 4(4) Maximum output frequency PulseminH4 Pin Group 4(4) High Level Pulse Width PulseminL4 Pin Group 4(4) Low Level Pulse Width FreqMax5 Pin Group 5(5) Maximum output frequency Notes: 1390 I/O Characteristics Symbol 1. 2. 3. 4. 5. Conditions Min Max 10 pF — 70 30 pF — 45 10 pF 7.2 — 30 pF 11 — 10 pF 7.2 — 30 pF 11 — 10 pF — 46 25 pF — 23 10 pF 11 — 25 pF 21.8 — 10 pF 11 — 25 pF 21.8 — — 70 — 35 10 pF 7.2 — 25 pF 14.2 — 10 pF 7.2 — 25 pF 14.2 — 10 pF — 58 25 pF — 29 10 pF 8.6 — 25pF 17.2 — 10 pF 8.6 — 25 pF 17.2 — 25 pF — 25 10 pF 25 pF VDDIO = 1.62V Pin Group 1 = PA14, PA29 Pin Group 2 = PA[4], PA[9–11], PA[15–25], PB[0–7], PB[12–13], PC[0–31], PD[2], PD[18–31], PE[0–5] Pin Group 3 = PA[5–8], PA[12–13], PA[26–28], PA[30–31], PB[8–9], PB[14], PD[0–1], PD[3–17] Pin Group 4 = PA[0–3] Pin Group 5 = PB[10–11] SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Unit MHz ns ns MHz ns ns MHz ns ns MHz ns ns MHz 46.11.3 SPI Characteristics In Figure 46-21 “SPI Master Mode with (CPOL = NCPHA = 0) or (CPOL = NCPHA = 1)” and Figure 46-22 “SPI Master Mode with (CPOL = 0 and NCPHA = 1) or (CPOL = 1 and NCPHA = 0)” below, the MOSI line shifting edge is represented with a hold time = 0. However, it is important to note that for this device, the MISO line is sampled prior to the MOSI line shifting edge. As shown in Figure 46-20 “MISO Capture in Master Mode”, the device sampling point extends the propagation delay (tp) for slave and routing delays to more than half the SPI clock period, whereas the common sampling point allows only less than half the SPI clock period. As an example, an SPI Slave working in Mode 0 is safely driven if the SPI Master is configured in Mode 0. Figure 46-20. MISO Capture in Master Mode 0 < delay < SPI0 or SPI3 SPCK (generated by the master) MISO Bit N (slave answer) Bit N+1 MISO cannot be provided before the edge tp Common sampling point Device sampling point Safe margin, always >0 Extended tp Internal shift register Bit N Figure 46-21. SPI Master Mode with (CPOL = NCPHA = 0) or (CPOL = NCPHA = 1) SPCK SPI0 SPI1 MISO SPI2 MOSI SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1391 Figure 46-22. SPI Master Mode with (CPOL = 0 and NCPHA = 1) or (CPOL = 1 and NCPHA = 0) SPCK SPI4 SPI3 MISO SPI5 MOSI Figure 46-23. SPI Slave Mode with (CPOL = 0 and NCPHA = 1) or (CPOL = 1 and NCPHA = 0) NPCSS SPI13 SPI12 SPCK SPI6 MISO SPI7 SPI8 MOSI Figure 46-24. SPI Slave Mode with (CPOL = NCPHA = 0) or (CPOL = NCPHA = 1) NPCS0 SPI15 SPI14 SPCK SPI9 MISO SPI10 MOSI 1392 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 SPI11 46.11.3.1 Maximum SPI Frequency The following formulas give the maximum SPI frequency in Master read and write modes and in Slave read and write modes. Master Write Mode The SPI only sends data to a slave device such as an LCD, for example. The limit is given by SPI2 (or SPI5) timing. Since it gives a maximum frequency above the maximum pad speed (see Section 46.11.2 “I/O Characteristics”), the maximum SPI frequency is defined by the pin FreqMax value. Master Read Mode 1 f SPCK Max = ------------------------------------------------------SPI 0 ( or SPI 3 ) + t valid tvalid is the slave time response to output data after detecting an SPCK edge. For a non-volatile memory with tvalid (or tV) = 12 ns Max, fSPCKMax = 43.4 MHz @ VDDIO = 3.3V. Slave Read Mode In slave mode, SPCK is the input clock for the SPI. The maximum SPCK frequency is given by setup and hold timings SPI7/SPI8(or SPI10/SPI11). Since this gives a frequency well above the pad limit, the limit in slave read mode is given by SPCK pad. Slave Write Mode 1 f SPCK Max = -------------------------------------------------------------------------------------2x ( S PI 6max ( or SPI 9max ) + t setup ) For 3.3V I/O domain and SPI6, fSPCKMax = 21 MHz. tSETUP is the setup time from the master before sampling data. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1393 46.11.3.2 SPI Timings SPI timings are given for the following domains:  3.3V domain: VDDIO from 2.85 to 3.6 V, maximum external capacitor = 40 pF  1.8V domain: VDDIO from 1.65 to 1.95 V, maximum external capacitor = 20 pF Table 46-57. 1394 SPI Timings Symbol Parameter SPI0 MISO Setup time before SPCK rises (master) SPI1 MISO Hold time after SPCK rises (master) SPI2 SPCK rising to MOSI Delay (master) SPI3 MISO Setup time before SPCK falls (master) SPI4 MISO Hold time after SPCK falls (master) SPI5 SPCK falling to MOSI Delay (master) SPI6 SPCK falling to MISO Delay (slave) SPI7 MOSI Setup time before SPCK rises (slave) SPI8 MOSI Hold time after SPCK rises (slave) SPI9 SPCK rising to MISO Delay (slave) SPI10 MOSI Setup time before SPCK falls (slave) SPI11 MOSI Hold time after SPCK falls (slave) SPI12 NPCS setup to SPCK rising (slave) SPI13 NPCS hold after SPCK falling (slave) SPI14 NPCS setup to SPCK falling (slave) SPI15 NPCS hold after SPCK falling (slave) SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Conditions Min Max Unit 3.3V domain 11.0 — ns 1.8V domain 12.5 — ns 3.3V domain 0 — ns 1.8V domain 0 — ns 3.3V domain -3.4 3.0 ns 1.8V domain -3.2 1.9 ns 3.3V domain 18.0 — ns 1.8V domain 19.8 — ns 3.3V domain 0 — ns 1.8V domain 0 — ns 3.3V domain -6.4 -1.9 ns 1.8V domain -5.9 -2.6 ns 3.3V domain 3.6 11.9 ns 1.8V domain 4.2 13.9 ns 3.3V domain 0 — ns 1.8V domain 0 — ns 3.3V domain 2.8 — ns 1.8V domain 2.3 — ns 3.3V domain 3.8 12.1 ns 1.8V domain 4.2 13.6 ns 3.3V domain 0 — ns 1.8V domain 0 — ns 3.3V domain 2.4 — ns 1.8V domain 2.5 — ns 3.3V domain 3.2 — ns 1.8V domain 3.3 — ns 3.3V domain 0 — ns 1.8V domain 0 — ns 3.3V domain 3.8 — ns 1.8V domain 3.3 — ns 3.3V domain 0 — ns 1.8V domain 0 — ns Note that in SPI master mode, the SAM4E does not sample the data (MISO) on the opposite edge where the data clocks out (MOSI), but the same edge is used. See Figure 46-21 and Figure 46-22. 46.11.4 HSMCI Timings The High Speed MultiMedia Card Interface (HSMCI) supports the MultiMedia Card (MMC) Specification V4.3, the SD Memory Card Specification V2.0, the SDIO V2.0 specification and CE-ATA V1.1. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1395 46.11.5 SMC Timings Timings are given in the following domains:  1.8V domain: VDDIO from 1.65V to 1.95V, maximum external capacitor = 30 pF  3.3V domain: VDDIO from 2.85V to 3.6V, maximum external capacitor = 50 pF Timings are given assuming a capacitance load on data, control and address pads. In the tables that follow, tCPMCK is MCK period. 46.11.5.1 Read Timings Table 46-58. SMC Read Signals - NRD Controlled (READ_MODE = 1) VDDIO Supply 1.8V Domain Symbol Parameter 3.3V Domain 1.8V Domain Min 3.3V Domain Max Unit NO HOLD Settings (NRD_HOLD = 0) SMC1 Data Setup before NRD High SMC2 Data Hold after NRD High 20.6 18.6 — — ns 0 0 — — ns 15.9 14.1 — — ns 0 0 — — ns — — ns — — ns — — ns 1.8V Domain 3.3V Domain HOLD Settings (NRD_HOLD ≠ 0) SMC3 Data Setup before NRD High SMC4 Data Hold after NRD High HOLD or NO HOLD Settings (NRD_HOLD ≠ 0, NRD_HOLD = 0) SMC5 A0–A22 Valid before NRD High SMC6 NCS low before NRD High SMC7 NRD Pulse Width Table 46-59. (NRD_SETUP + (NRD_SETUP + NRD_PULSE) × tCPMCK - 6.8 NRD_PULSE) × tCPMCK - 6.5 (NRD_SETUP + NRD_PULSE NCS_RD_SETUP) × tCPMCK - 5.1 (NRD_SETUP + NRD_PULSE NCS_RD_SETUP) × tCPMCK - 4.6 NRD_PULSE × tCPMCK - 7.5 NRD_PULSE × tCPMCK - 6.6 SMC Read Signals - NCS Controlled (READ_MODE = 0) VDDIO Supply 1.8V Domain Symbol Parameter 3.3V Domain Min Max Unit NO HOLD Settings (NCS_RD_HOLD = 0) SMC8 Data Setup before NCS High SMC9 Data Hold after NCS High 21.8 19.4 — — ns 0 0 — — ns HOLD Settings (NCS_RD_HOLD ≠ 0) SMC10 Data Setup before NCS High SMC11 Data Hold after NCS High 17.1 14.9 — — ns 0 0 — — ns — — ns — — ns HOLD or NO HOLD Settings (NCS_RD_HOLD ≠ 0, NCS_RD_HOLD = 0) SMC12 A0–A22 valid before NCS High SMC13 NRD low before NCS High 1396 (NCS_RD_SETUP + NCS_RD_PULSE) × tCPMCK - 6.9 (NCS_RD_SETUP + NCS_RD_PULSE) × tCPMCK - 6.6 (NCS_RD_SETUP + (NCS_RD_SETUP + NCS_RD_PULSE NCS_RD_PULSE NRD_SETUP) × tCPMCK - 5.8 NRD_SETUP) × tCPMCK - 5.5 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 46-59. SMC14 SMC Read Signals - NCS Controlled (READ_MODE = 0) (Continued) NCS_RD_PULSE length × tCPMCK - 7.6 NCS Pulse Width 46.11.5.2 NCS_RD_PULSE length × tCPMCK - 6.7 — — ns Write Timings Table 46-60. SMC Write Signals - NWE Controlled (WRITE_MODE = 1) VDDIO Supply 1.8V Domain Symbol Parameter 3.3V Domain 1.8V Domain Min 3.3V Domain Max Unit HOLD or NO HOLD Settings (NWE_HOLD ≠ 0, NWE_HOLD = 0) SMC15 Data Out Valid before NWE High NWE_PULSE × tCPMCK 6.2 NWE_PULSE × tCPMCK 5.9 — — ns SMC16 NWE Pulse Width NWE_PULSE × tCPMCK 7.0 NWE_PULSE × tCPMCK 6.1 — — ns SMC17 A0–A22 valid before NWE low NWE_SETUP × tCPMCK 6.6 NWE_SETUP × tCPMCK 6.2 — — ns NCS low before NWE high (NWE_SETUP NCS_RD_SETUP + NWE_PULSE) × tCPMCK 5.9 (NWE_SETUP NCS_RD_SETUP + NWE_PULSE) × tCPMCK 5.5 — — ns SMC18 HOLD Settings (NWE_HOLD ≠ 0) SMC19 NWE High to Data OUT, NBS0/A0 NBS1, NBS2/A1, NBS3, A2–A25 change SMC20 NWE High to NCS Inactive (1) NWE_HOLD × tCPMCK 9.4 NWE_HOLD × tCPMCK 7.6 — — ns (NWE_HOLD NCS_WR_HOLD) × tCPMCK - 6.0 (NWE_HOLD NCS_WR_HOLD) × tCPMCK - 5.6 — — ns — — ns NO HOLD Settings (NWE_HOLD = 0) SMC21 Notes: NWE High to Data OUT, NBS0/A0 NBS1, NBS2/A1, NBS3, A2–A25, NCS change(1) 3.3 3.2 1. Hold length = total cycle duration - setup duration - pulse duration. “hold length” is for “NCS_WR_HOLD length” or “NWE_HOLD length”. Table 46-61. SMC Write NCS Controlled (WRITE_MODE = 0) VDDIO Supply 1.8V Domain Symbol Parameter 3.3V Domain 1.8V Domain Min 3.3V Domain Max Unit SMC22 Data Out Valid before NCS High NCS_WR_PULSE × tCPMCK - 6.3 NCS_WR_PULSE × tCPMCK - 6.0 — — ns SMC23 NCS Pulse Width NCS_WR_PULSE × tCPMCK - 7.6 NCS_WR_PULSE × tCPMCK - 6.7 — — ns SMC24 A0–A22 valid before NCS low NCS_WR_SETUP × tCPMCK - 6.7 NCS_WR_SETUP × tCPMCK - 6.3 — — ns SAM4E Series [DATASHEET] 1397 Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 46-61. SMC Write NCS Controlled (WRITE_MODE = 0) VDDIO Supply 1.8V Domain 3.3V Domain Symbol Parameter 1.8V Domain Min SMC25 NWE low before NCS high SMC26 NCS High to Data Out, A0–A25, change SMC27 NCS High to NWE Inactive Max (NCS_WR_SETUP NWE_SETUP + NCS pulse) × tCPMCK - 5.3 — — ns NCS_WR_HOLD × tCPMCK - 10.6 NCS_WR_HOLD × tCPMCK - 9.0 — — ns (NCS_WR_HOLD NWE_HOLD) × tCPMCK 7.0 (NCS_WR_HOLD NWE_HOLD) × tCPMCK 6.8 — — ns SMC12 SMC12 SMC26 SMC24 A0–A23 SMC13 SMC13 NRD SMC14 SMC14 SMC8 SMC9 SMC10 SMC23 SMC11 SMC22 SMC26 DATA SMC25 SMC27 NWE NCS Controlled READ with NO HOLD 1398 Unit (NCS_WR_SETUP NWE_SETUP + NCS pulse) × tCPMCK - 5.6 Figure 46-25. SMC Timings - NCS Controlled Read and Write NCS 3.3V Domain SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 NCS Controlled READ with HOLD NCS Controlled WRITE Figure 46-26. SMC Timings - NRD Controlled Read and NWE Controlled Write SMC21 SMC17 SMC5 SMC5 SMC17 SMC19 A0–A23 SMC6 SMC21 SMC6 SMC18 SMC18 SMC20 NCS NRD SMC7 SMC7 SMC1 SMC2 SMC15 SMC21 SMC3 SMC4 SMC15 SMC19 DATA NWE SMC16 NRD Controlled READ with NO HOLD NWE Controlled WRITE with NO HOLD SMC16 NRD Controlled READ with HOLD NWE Controlled WRITE with HOLD SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1399 46.11.6 USART in SPI Mode Timings Timings are given in the following domains:  1.8V domain: VDDIO from 1.65 to 1.95 V, maximum external capacitor = 20 pF  3.3V domain: VDDIO from 2.85 to 3.6 V, maximum external capacitor = 40 pF Figure 46-27. USART SPI Master Mode • MOSI line is driven by the output pin TXD • MISO line drives the input pin RXD • SCK line is driven by the output pin SCK • NSS line is driven by the output pin RTS NSS SPI5 SPI3 CPOL = 1 SPI0 SCK CPOL = 0 SPI4 MISO SPI4 SPI1 SPI2 LSB MSB MOSI Figure 46-28. USART SPI Slave Mode (Mode 1 or 2) • MOSI line drives the input pin RXD • MISO line is driven by the output pin TXD • SCK line drives the input pin SCK • NSS line drives the input pin CTS NSS SPI13 SPI12 SCK SPI6 MISO SPI7 MOSI 1400 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 SPI8 Figure 46-29. USART SPI Slave Mode (Mode 0 or 3) NSS SPI14 SPI15 SCK SPI9 MISO SPI10 SPI11 MOSI SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1401 46.11.6.1 USART SPI TImings Table 46-62. Symbol USART SPI Timings Parameter Conditions Min Max Unit MCK/6 — ns — ns — ns — ns Master Mode SPI0 SCK Period SPI1 Input Data Setup Time SPI2 Input Data Hold Time SPI3 Chip Select Active to Serial Clock SPI4 Output Data Setup Time SPI5 Serial Clock to Chip Select Inactive 1.8V domain 3.3V domain 1.8V domain 0.5 × MCK + 3.3 3.3V domain 0.5 × MCK + 3.7 1.8V domain 1.5 × MCK + 0.8 3.3V domain 1.5 × MCK + 1.1 1.8V domain 1.5 × SPCK - 1.4 3.3V domain 1.5 × SPCK - 1.9 1.8V domain - 6.6 9.1 3.3V domain - 6.0 9.8 1.8V domain 1 × SPCK - 4.1 3.3V domain 1 × SPCK - 4.6 — ns ns Slave Mode SPI6 SCK falling to MISO SPI7 MOSI Setup time before SCK rises SPI8 MOSI Hold time after SCK rises SPI9 SCK rising to MISO SPI10 MOSI Setup time before SCK falls SPI11 MOSI Hold time after SCK falls SPI12 NPCS0 setup to SCK rising SPI13 NPCS0 hold after SCK falling SPI14 NPCS0 setup to SCK falling SPI15 NPCS0 hold after SCK rising 1402 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1.8V domain 3.9 17.1 3.3V domain 3.2 15.1 1.8V domain 2 × MCK + 2.6 3.3V domain 2 × MCK + 2.5 1.8V domain 1.3 3.3V domain 1.8 1.8V domain 3.9 17.2 3.3V domain 3.3 15.8 1.8V domain 2 × MCK + 2.5 3.3V domain 2 × MCK + 3.0 1.8V domain 1.4 3.3V domain 1.3 1.8V domain 2,.5 × MCK + 1.3 3.3V domain 2.5 × MCK + 0.4 1.8V domain 1.5 × MCK + 1.7 3.3V domain 1.5 × MCK + 1.0 1.8V domain 2.5 × MCK + 1.2 3.3V domain 2.5 × MCK + 0.9 1.8V domain 1.5 × MCK + 1.6 3.3V domain 1.5 × MCK + 1.5 ns — ns — ns ns — ns — ns — ns — ns — ns — ns 46.11.7 Two-wire Serial Interface Characteristics Table 46-63 describes the requirements for devices connected to the Two-wire Serial Bus. For timing symbols refer to Figure 46-30. Table 46-63. Two-wire Serial Bus Requirements Symbol Parameter Conditions Min Max Unit VIL Low-level input voltage — -0.3 0.3 × VDDIO V VIH High-level input voltage — 0.7 × VDDIO VCC + 0.3 V Vhys Hysteresis of Schmitt Trigger Inputs — 0.150 — V VOL Low-level output voltage 3 mA sink current — 0.4 V 0.1Cb(1)(2) 300 ns 20 + 0.1Cb(1)(2) 250 ns tr Rise Time for both TWD and TWCK tof Output Fall Time from VIHmin to VILmax Ci(1) Capacitance for each I/O Pin — — 10 pF fTWCK TWCK Clock Frequency — 0 400 kHz RP Value of Pull-up resistor 1000ns ÷ Cb Ω Low Period of the TWCK clock tLOW tHIGH High Period of the TWCK clock th(start) Hold Time (repeated) START Condition tsu(start) Set-up time for a repeated START condition Data hold time th(data) tsu(data) Data setup time tsu(stop) Setup time for STOP condition tBUF Bus Free Time between a STOP and START Condition Notes: 1. 2. 3. 4. 5. 20 + 10 pF < Cb < 400 pF Figure 46-30 fTWCK ≤ 100 kHz (VDDIO - 0.4V) ÷ 3mA 300ns ÷ Cb Ω fTWCK ≤ 100 kHz (3) — µs fTWCK > 100 kHz (3) — µs fTWCK ≤ 100 kHz (4) — µs fTWCK > 100 kHz (4) — µs fTWCK ≤ 100 kHz tHIGH — µs fTWCK > 100 kHz tHIGH — µs fTWCK ≤ 100 kHz tHIGH — µs fTWCK > 100 kHz tHIGH — µs fTWCK > 100 kHz 0 3× tCPMCK(5) fTWCK ≤ 100 kHz fTWCK > 100 kHz 0 3× tCPMCK(5) fTWCK ≤ 100 kHz fTWCK > 100 kHz µs µs tLOW - 3 × tCPMCK(5) — ns tLOW - 3 × tCPMCK(5) — ns fTWCK ≤ 100 kHz tHIGH — µs fTWCK > 100 kHz tHIGH — µs fTWCK ≤ 100 kHz tLOW — µs fTWCK > 100 kHz tLOW — µs Required only for fTWCK > 100 kHz. Cb = capacitance of one bus line in pF. Per I2C Standard, Cb Max = 400 pF The TWCK low period is defined as follows: tLOW = ((CLDIV × 2CKDIV) + 4) × tMCK The TWCK high period is defined as follows: tHIGH = ((CHDIV × 2CKDIV) + 4) × tMCK tCPMCK = MCK bus period SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1403 Figure 46-30. Two-wire Serial Bus Timing tfo tHIGH tr tLOW tLOW TWCK tsu(start) th(start) th(data) tsu(data) tsu(stop) TWD tBUF 46.11.8 Ethernet MAC (GMAC) Characteristics 46.11.8.1 Timing Conditions Table 46-64. Capacitance Load On Data, Clock Pads Corner 46.11.8.2 Supply MAX STH MIN 3.3V 20 pf 20 pf 0 pf 1.8V 20 pf 20 pf 0 pf Timing Constraints The Ethernet controller must be constrained so as to satisfy the standard timings given in Table 46-65 and Table 46-66, in MAX and STH corners. Table 46-65. Symbol Parameter EMAC1 EMAC2 EMAC3 Note: EMAC Signals Relative to GMDC Min (ns) Max (ns) Setup for GMDIO from GMDC rising 10 — Hold for GMDIO from GMDC rising 10 — GMDIO toggling from GMDC falling 0 (1) 1. For EMAC output signals, Min and Max access time are defined. The Min access time is the time between the GMDC falling edge and the signal change. The Max access timing is the time between the GMDC falling edge and the signal stabilization. Figure 46-31 illustrates Min and Max accesses for EMAC3. Figure 46-31. Min and Max Access Time of EMAC Output Signals GMDC EMAC1 EMAC2 EMAC3 max GMDIO EMAC4 EMAC5 EMAC3 min 1404 10 (1) SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 46.11.8.3 MII Mode Table 46-66. EMAC MII Timings Symbol Parameter Min (ns) Max (ns) EMAC4 Setup for GCOL from GTXCK rising 10 — EMAC5 Hold for GCOL from GTXCK rising 10 — EMAC6 Setup for GCRS from GTXCK rising 10 — EMAC7 Hold for GCRS from GTXCK rising 10 — EMAC8 GTXER toggling from GTXCK rising 10 25 EMAC9 GTXEN toggling from GTXCK rising 10 25 EMAC10 GTX toggling from GTXCK rising 10 25 EMAC11 Setup for GRX from GRXCK 10 — EMAC12 Hold for GRX from GRXCK 10 — EMAC13 Setup for GRXER from GRXCK 10 — EMAC14 Hold for GRXER from GRXCK 10 — EMAC15 Setup for GRXDV from GRXCK 10 — EMAC16 Hold for GRXDV from GRXCK 10 — SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1405 Figure 46-32. EMAC MII Mode Signals GMDC EMAC1 EMAC3 EMAC2 GMDIO EMAC4 EMAC5 EMAC6 EMAC7 GCOL GCRS GTXCK EMAC8 GTXER EMAC9 GTXEN EMAC10 GTX[3:0] GRXCK EMAC11 EMAC12 GRX[3:0] EMAC13 EMAC14 EMAC15 EMAC16 GRXER GRXDV 1406 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 46.11.9 Embedded Flash Characteristics The embedded flash is fully tested during production test. The flash contents are not set to a known state prior to shipment. Therefore, the flash contents should be erased prior to programming an application. The maximum operating frequency given in Table 46-67 is limited by the Embedded Flash access time when the processor is fetching code out of it. The table provides the device maximum operating frequency defined by the value of field FWS in the EEFC_FMR. This field defines the number of wait states required to access the Embedded Flash Memory. Table 46-67. Embedded Flash Wait State at 105°C Maximum Operating Frequency (MHz) VDDCORE 1.08 V VDDCORE 1.2 V FWS Read Operations VDDIO 1.62–3.6 V VDDIO 2.7–3.6 V VDDIO 1.62–3.6 V VDDIO 2.7–3.6 V 0 1 cycle 17 20 17 21 1 2 cycles 34 41 35 43 2 3 cycles 51 62 53 64 3 4 cycles 69 83 71 86 4 5 cycles 86 104 88 107 5 6 cycles 100 – 106 129 6 7 cycles – – 124 – Table 46-68. AC Flash Characteristics Parameter Conditions Min Typ Max Unit Write page mode – 1.5 3 ms Erase page mode – 10 50 ms Erase block mode (by 4 Kbytes) – 50 200 ms Erase sector mode – 400 950 ms 200 – – ms 1 Mbyte – 9 18 512 Kbytes – 5.5 11 Data Retention Not Powered or Powered – 20 – years Endurance Write/Erase cycles per page, block or sector @ 105°C 10k – – cycles Program Cycle Time Erase Pin Assertion Time Full Chip Erase Erase pin high SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 s 1407 47. SAM4E Mechanical Characteristics The SAM4E series devices are available in TFBGA100, LFBGA144, LQFP100, and LQFP144 packages. 47.1 100-ball TFBGA Package Drawing Figure 47-1. 100-ball TFBGA Package Drawing Table 47-1. Device and TFBGA Package Maximum Weight (Preliminary) SAM4E Table 47-2. 150 TFBGA Package Reference JEDEC Drawing Reference MO-275-DDAC-2 JESD97 Classification e8 Table 47-3. TFBGA Package Characteristics Moisture Sensitivity Level 3 This package respects the recommendations of the NEMI User Group. 1408 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 mg 47.2 144-ball LFBGA Package Drawing Figure 47-2. 144-ball LFBGA Package Drawing Table 47-4. Device and LFBGA Package Maximum Weight (Preliminary) SAM4E Table 47-5. 200 mg LFBGA Package Reference JEDEC Drawing Reference MS-275-EEAD-1 JESD97 Classification e8 Table 47-6. LFBGA Package Characteristics Moisture Sensitivity Level 3 This package respects the recommendations of the NEMI User Group. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1409 47.3 100-lead LQFP Package Drawing Figure 47-3. 100-lead LQFP Package Drawing Table 47-7. Device and LQFP Package Maximum Weight (Preliminary) SAM4E Table 47-8. 740 LQFP Package Reference JEDEC Drawing Reference MS-026 JESD97 Classification e3 Table 47-9. LQFP Package Characteristics Moisture Sensitivity Level 3 This package respects the recommendations of the NEMI User Group. 1410 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 mg 47.4 144-lead LQFP Package Drawing Figure 47-4. 144-lead LQFP Package Drawing Table 47-10. Device and LQFP Package Maximum Weight (Preliminary) SAM4E Table 47-11. 900 mg LQFP Package Reference JEDEC Drawing Reference MS-026-C JESD97 Classification e3 Table 47-12. LQFP Package Characteristics Moisture Sensitivity Level 3 This package respects the recommendations of the NEMI User Group. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1411 47.5 Soldering Profile Table 47-13 gives the recommended soldering profile from J-STD-020C. Table 47-13. Soldering Profile Profile Feature Green Package Average Ramp-up Rate (217°C to Peak) 3°C/sec. max. Preheat Temperature 175°C ±25°C 180 sec. max. Temperature Maintained Above 217°C 60 sec. to 150 sec. Time within 5°C of Actual Peak Temperature 20 sec. to 40 sec. Peak Temperature Range 260°C Ramp-down Rate 6°C/sec. max. Time 25°C to Peak Temperature 8 min. max. Note: The package is certified to be backward compatible with Pb/Sn soldering profile. A maximum of three reflow passes is allowed per component. 47.6 Packaging Resources Land Pattern Definition. Refer to the following IPC Standards: 1412  IPC-7351A and IPC-782 (Generic Requirements for Surface Mount Design and Land Pattern Standards) http://landpatterns.ipc.org/default.asp  Atmel Green and RoHS Policy and Package Material Declaration Datasheet available on www.atmel.com SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 48. Marking All devices are marked with the Atmel logo and the ordering code. Additional marking is as follows: YYWW V XXXXXXXXX ARM where  “YY”: manufactory year  “WW”: manufactory week  “V”: revision  “XXXXXXXXX”: lot number SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1413 49. Ordering Information Table 49-1. Ordering Codes for SAM4E Devices Ordering Code MRL Flash (Kbytes) RAM (Kbytes) Package ATSAM4E16EA-CU Carrier Type Tray A ATSAM4E16EA-CUR Reel Operating Temperature Range Industrial (-40°C to 85°C) LFBGA144 ATSAM4E16EB-CN Tray B ATSAM4E16EB-CNR Reel ATSAM4E16EA-AU Tray A ATSAM4E16EA-AUR Reel ATSAM4E16EA-AN Industrial (-40°C to 105°C) Industrial (-40°C to 85°C) Tray A LQFP144 ATSAM4E16EA-ANR Reel ATSAM4E16EB-AN Tray Industrial (-40°C to 105°C) B ATSAM4E16EB-ANR Reel 1024 ATSAM4E16CA-CU Tray A ATSAM4E16CA-CUR Reel Industrial (-40°C to 85°C) TFBGA100 ATSAM4E16CB-CN Tray B ATSAM4E16CB-CNR Reel ATSAM4E16CA-AU Tray A ATSAM4E16CA-AUR Reel ATSAM4E16CA-AN Industrial (-40°C to 105°C) Industrial (-40°C to 85°C) Tray 128 A LQFP100 ATSAM4E16CA-ANR Reel ATSAM4E16CB-AN Tray Industrial (-40°C to 105°C) B ATSAM4E16CB-ANR Reel ATSAM4E8EA-CU Tray A ATSAM4E8EA-CUR Reel Industrial (-40°C to 85°C) LFBGA144 ATSAM4E8EB-CN Tray B ATSAM4E8EB-CNR Reel ATSAM4E8EA-AU Tray A ATSAM4E8EA-AUR Reel ATSAM4E8EA-AN Industrial (-40°C to 105°C) Industrial (-40°C to 85°C) Tray A 512 LQFP144 ATSAM4E8EA-ANR Reel ATSAM4E8EB-AN Tray Industrial (-40°C to 105°C) B ATSAM4E8EB-ANR Reel ATSAM4E8CA-CU Tray A ATSAM4E8CA-CUR Reel Industrial (-40°C to 85°C) TFBGA100 ATSAM4E8CB-CN Tray B ATSAM4E8CB-CNR 1414 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Reel Industrial (-40°C to 105°C) Table 49-1. Ordering Codes for SAM4E Devices (Continued) Ordering Code MRL Flash (Kbytes) RAM (Kbytes) Package ATSAM4E8CA-AU Carrier Type Tray A ATSAM4E8CA-AUR Reel ATSAM4E8CA-AN Operating Temperature Range Industrial (-40°C to 85°C) Tray A 512 128 LQFP100 ATSAM4E8CA-ANR Reel ATSAM4E8CB-AN Tray Industrial (-40°C to 105°C) B ATSAM4E8CB-ANR Reel SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1415 50. Errata on SAM4E Devices 50.1 Errata SAM4E Rev. A Parts The errata are applicable to the devices listed in the table below: Table 50-1. Revision A parts Chip Name Revision CHIPID_CIDR CHIPID_EXID SAM4E16E A 0xA3CC_0CE0 0x0012_0200 SAM4E8E A 0xA3CC_0CE0 0x0012_0208 SAM4E16C A 0xA3CC_0CE0 0x0012_0201 SAM4E8C A 0xA3CC_0CE0 0x0012_0209 50.1.1 Watchdog 50.1.1.1 Watchdog Not Stopped in Wait Mode When the Watchdog is enabled and the bit WAITMODE = 1 is used to enter Wait mode, the watchdog is not halted. If the time spent in Wait mode is longer than the Watchdog time-out, the device will be reset if Watchdog reset is enabled. Problem Fix/Workaround When entering Wait mode, the Wait For Event (WFE) instruction of the processor Cortex-M4 must be used with the SLEEPDEEP bit of the System Control Register (SCB_SCR) of the Cortex-M = 0. 50.1.2 Brownout Detector 50.1.2.1 Unpredictable Behavior if BOD is Disabled, VDDCORE is Lost and VDDIO is Connected In Active mode or in Wait mode, if the Brownout Detector is disabled (SUPC_MR.BODDIS = 1) and power is lost on VDDCORE while VDDIO is powered, the device might not be properly reset and may behave unpredictably. Problem Fix/Workaround When the Brownout Detector is disabled in Active or in Wait mode, VDDCORE always needs to be powered. 50.1.3 Flash 50.1.3.1 Flash: Incorrect Flash Read May Occur Depending on VDDIO Voltage and Flash Wait State Flash read issues leading to wrong instruction fetch or incorrect data read may occur under the following operating conditions: VDDIO < 2.4V and Flash wait state(1) ≥ 1 If the core clock frequency does not require the use of the Flash wait state (2) (FWS = 0 in EEFC_FMR), or if only data reads are performed on the Flash (e.g., if the code is running out of SRAM), there are no constraints on 1416 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 VDDIO voltage. The usable voltage range for VDDIO is defined in Table 46-2 “DC Characteristics” in Section 46. “SAM4E Electrical Characteristics”. Notes: 1. Defined in FWS field in EEFC_FMR. 2. See “Embedded Flash Characteristics” in Section 46. “SAM4E Electrical Characteristics” for the maximum core clock frequency at zero (0) wait state. Problem Fix/Workaround Two workarounds are available:  Reduce the device speed to decrease the number of wait states to 0.  Copy the code from Flash to SRAM at 0 wait states and then run the code out of SRAM. 50.1.4 Floating Point Unit (FPU) 50.1.4.1 FPU: IXC flag interrupt The FPU exhibits six exceptions that are logically ORed and connected to the interrupt controller. If the IXC (Inexact result) flag occurrence is frequent, this leads to a very high rate of interrupts which severely affects FPU performance. Problem Fix/Workaround Disable the FPU Error interrupt. After each FPU operation, check whether an error occurred by polling the FPU Status register (FPSCR). 50.2 Errata SAM4E Rev.B Parts The errata are applicable to the devices listed in the table below: Table 50-2. Revision B parts Chip Name Revision CHIPID_CIDR CHIPID_EXID SAM4E16E B 0xA3CC_0CE1 0x0012_0200 SAM4E8E B 0xA3CC_0CE1 0x0012_0208 SAM4E16C B 0xA3CC_0CE1 0x0012_0201 SAM4E8C B 0xA3CC_0CE1 0x0012_0209 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1417 50.2.1 Watchdog 50.2.1.1 Watchdog Not Stopped in Wait Mode When the Watchdog is enabled and the bit WAITMODE = 1 is used to enter Wait mode, the watchdog is not halted. If the time spent in Wait mode is longer than the Watchdog time-out, the device will be reset if Watchdog reset is enabled. Problem Fix/Workaround When entering Wait mode, the Wait For Event (WFE) instruction of the processor Cortex-M4 must be used with the SLEEPDEEP bit of the System Control Register (SCB_SCR) of the Cortex-M = 0. 50.2.2 Brownout Detector 50.2.2.1 Unpredictable Behavior if BOD is Disabled, VDDCORE is Lost and VDDIO is Connected In Active mode or in Wait mode, if the Brownout Detector is disabled (SUPC_MR.BODDIS = 1) and power is lost on VDDCORE while VDDIO is powered, the device might not be properly reset and may behave unpredictably. Problem Fix/Workaround When the Brownout Detector is disabled in Active or in Wait mode, VDDCORE always needs to be powered. 1418 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table of Contents Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 1. Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 1.1 Configuration Summary. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4 2. Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 3. Signal Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 4. Package and Pinout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 4.1 4.2 4.3 4.4 5. General Purpose I/O Lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 System I/O Lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 Product Mapping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Memories . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . External Memories . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Cortex-M Cache Controller (CMCC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24 25 29 29 Real-time Event Management . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 8.1 8.2 9. 15 15 16 16 17 21 21 Memories . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24 7.1 7.2 7.3 7.4 8. Power Supplies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Power-up Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Voltage Regulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Typical Powering Schematics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Low-power Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wake-up Sources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Fast Start-up . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Input/Output Lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 6.1 6.2 7. 11 12 13 14 Power Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 5.1 5.2 5.3 5.4 5.5 5.6 5.7 6. 100-ball TFBGA Package and Pinout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144-ball LFBGA Package and Pinout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100-lead LQFP Package and Pinout. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144-lead LQFP Package and Pinout. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 Real-time Event Mapping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 System Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32 9.1 9.2 System Controller and Peripherals Mapping. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32 Power-on-Reset, Brownout and Supply Monitor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32 10. Peripherals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33 10.1 10.2 Peripheral Identifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33 Peripheral Signal Multiplexing on I/O Lines. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35 11. Cortex-M4 processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42 11.1 11.2 11.3 11.4 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Cortex-M4 Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42 43 43 44 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1419 11.5 11.6 11.7 11.8 11.9 11.10 11.11 11.12 11.13 Power Management . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74 Cortex-M4 Instruction Set . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76 Cortex-M4 Core Peripherals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 218 Nested Vectored Interrupt Controller (NVIC). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 219 System Control Block (SCB) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 229 System Timer (SysTick) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 256 Memory Protection Unit (MPU) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 262 Floating Point Unit (FPU) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 285 Glossary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 294 12. Debug and Test Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 299 12.1 12.2 12.3 12.4 12.5 12.6 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Debug and Test Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Application Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Debug and Test Pin Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Functional Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 299 299 299 300 301 302 13. Reset Controller (RSTC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 308 13.1 13.2 13.3 13.4 13.5 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Functional Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Reset Controller (RSTC) User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 308 308 308 309 315 14. Real-time Timer (RTT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 319 14.1 14.2 14.3 14.4 14.5 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Functional Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Real-time Timer (RTT) User Interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 319 319 319 319 322 15. Real-time Clock (RTC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 327 15.1 15.2 15.3 15.4 15.5 15.6 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Product Dependencies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Functional Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Real-time Clock (RTC) User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 327 327 327 328 328 336 16. Watchdog Timer (WDT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 356 16.1 16.2 16.3 16.4 16.5 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Functional Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Watchdog Timer (WDT) User Interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 356 356 357 358 360 17. Reinforced Safety Watchdog Timer (RSWDT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 365 17.1 17.2 17.3 17.4 1420 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Functional Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 365 365 366 366 17.5 Reinforced Safety Watchdog Timer (RSWDT) User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . 368 18. Supply Controller (SUPC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 373 18.1 18.2 18.3 18.4 18.5 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Functional Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Supply Controller (SUPC) User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 373 373 374 375 384 19. General Purpose Backup Registers (GPBR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 394 19.1 19.2 19.3 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 394 Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 394 General Purpose Backup Registers (GPBR) User Interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 395 20. Enhanced Embedded Flash Controller (EEFC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 397 20.1 20.2 20.3 20.4 20.5 Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 397 Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 397 Product Dependencies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 397 Functional Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 397 Enhanced Embedded Flash Controller (EEFC) User Interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . 414 21. Fast Flash Programming Interface (FFPI) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 420 21.1 21.2 21.3 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 420 Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 420 Parallel Fast Flash Programming . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 421 22. Cortex-M Cache Controller (CMCC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 429 22.1 22.2 22.3 22.4 22.5 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Functional Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Cortex-M Cache Controller (CMCC) User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 429 429 429 430 431 23. SAM-BA Boot Program for SAM4E Microcontrollers . . . . . . . . . . . . . . . . . . . . . . . . . . . . 443 23.1 23.2 23.3 23.4 23.5 23.6 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Hardware and Software Constraints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Flow Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Device Initialization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SAM-BA Monitor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 443 443 443 444 444 445 24. Bus Matrix (MATRIX) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 449 24.1 24.2 24.3 24.4 24.5 24.6 24.7 24.8 24.9 24.10 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Memory Mapping. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Special Bus Granting Mechanism . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . No Default Master . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Last Access Master . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Fixed Default Master . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Arbitration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . System I/O Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SMC NAND Flash Chip Select Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 449 449 451 451 451 452 452 452 454 454 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1421 24.11 Write Protect Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 455 24.12 Bus Matrix (MATRIX) User Interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 456 25. DMA Controller (DMAC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 466 25.1 25.2 25.3 25.4 25.5 25.6 25.7 25.8 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DMA Controller Peripheral Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Product Dependencies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Functional Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DMAC Software Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DMA Controller (DMAC) User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 466 466 467 468 468 469 484 485 26. Peripheral DMA Controller (PDC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 508 26.1 26.2 26.3 26.4 26.5 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Functional Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Peripheral DMA Controller (PDC) User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 508 508 509 510 512 27. Static Memory Controller (SMC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 523 27.1 27.2 27.3 27.4 27.5 27.6 27.7 27.8 27.9 27.10 27.11 27.12 27.13 27.14 27.15 27.16 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . I/O Lines Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Multiplexed Signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Product Dependencies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . External Memory Mapping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Connection to External Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Application Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Standard Read and Write Protocols . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Scrambling/Unscrambling Function. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Automatic Wait States . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Data Float Wait States . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . External Wait . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Slow Clock Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Asynchronous Page Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Static Memory Controller (SMC) User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 523 523 524 524 524 526 526 529 531 539 540 544 548 554 556 559 28. Clock Generator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 570 28.1 28.2 28.3 28.4 28.5 28.6 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 570 Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 570 Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 571 Slow Clock. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 572 Main Clock. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 573 Divider and PLL Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 577 29. Power Management Controller (PMC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 579 29.1 29.2 29.3 29.4 29.5 1422 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Master Clock Controller. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Processor Clock Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 579 579 580 580 581 29.6 29.7 29.8 29.9 29.10 29.11 29.12 29.13 29.14 29.15 29.16 29.17 29.18 SysTick Clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 581 USB Clock Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 581 Peripheral Clock Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 582 Free-Running Processor Clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 582 Programmable Clock Output Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 582 Fast Startup. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 582 Startup from Embedded Flash . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 584 Main Clock Failure Detector . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 584 32768 Hz Crystal Oscillator Frequency Monitor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 585 Programming Sequence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 586 Clock Switching Details . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 588 Register Write Protection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 591 Power Management Controller (PMC) User Interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 592 30. Advanced Encryption Standard (AES) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 622 30.1 30.2 30.3 30.4 30.5 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Product Dependencies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Functional Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Advanced Encryption Standard (AES) User Interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 622 622 622 623 630 31. Controller Area Network (CAN) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 643 31.1 31.2 31.3 31.4 31.5 31.6 31.7 31.8 31.9 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 643 Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 643 Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 644 Application Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 644 I/O Lines Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 644 Product Dependencies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 645 CAN Controller Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 645 Functional Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 658 Controller Area Network (CAN) User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 670 32. Chip Identifier (CHIPID) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 700 32.1 32.2 32.3 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 700 Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 700 Chip Identifier (CHIPID) User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 700 33. Parallel Input/Output Controller (PIO) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 705 33.1 33.2 33.3 33.4 33.5 33.6 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 705 Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 706 Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 707 Product Dependencies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 708 Functional Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 709 Parallel Input/Output Controller (PIO) User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 724 34. Serial Peripheral Interface (SPI) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 782 34.1 34.2 34.3 34.4 34.5 34.6 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Application Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Signal Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Product Dependencies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 782 782 783 783 784 784 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1423 34.7 34.8 Functional Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 785 Serial Peripheral Interface (SPI) User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 800 35. Two-wire Interface (TWI) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 815 35.1 35.2 35.3 35.4 35.5 35.6 35.7 35.8 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . List of Abbreviations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . I/O Lines Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Product Dependencies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Functional Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Two-wire Interface (TWI) User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 815 815 816 816 816 817 817 843 36. Universal Asynchronous Receiver Transmitter (UART) . . . . . . . . . . . . . . . . . . . . . . . . . 860 36.1 36.2 36.3 36.4 36.5 36.6 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Product Dependencies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Functional Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Universal Asynchronous Receiver Transmitter (UART) User Interface . . . . . . . . . . . . . . . . . . . . . 860 860 860 861 861 867 37. Universal Synchronous Asynchronous Receiver Transmitter (USART) . . . . . . . . . 878 37.1 37.2 37.3 37.4 37.5 37.6 37.7 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 878 Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 878 Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 880 I/O Lines Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 880 Product Dependencies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 881 Functional Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 882 Universal Synchronous Asynchronous Receiver Transmitter (USART) User Interface . . . . . . . . . 912 38. Timer Counter (TC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 949 38.1 38.2 38.3 38.4 38.5 38.6 38.7 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Pin List . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Product Dependencies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Functional Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Timer Counter (TC) User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 949 949 950 951 951 952 976 39. Pulse Width Modulation Controller (PWM) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1009 39.1 39.2 39.3 39.4 39.5 39.6 39.7 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . I/O Lines Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Product Dependencies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Functional Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Pulse Width Modulation Controller (PWM) User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1009 1010 1011 1011 1011 1013 1040 40. High Speed Multimedia Card Interface (HSMCI) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1093 40.1 40.2 40.3 1424 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1093 Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1093 Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1094 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 40.4 40.5 40.6 40.7 40.8 40.9 40.10 40.11 40.12 40.13 40.14 Application Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Pin Name List . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Product Dependencies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Bus Topology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . High Speed MultiMedia Card Operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SD/SDIO Card Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CE-ATA Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . HSMCI Boot Operation Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . HSMCI Transfer Done Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Register Write Protection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . High Speed MultiMedia Card Interface (HSMCI) User Interface. . . . . . . . . . . . . . . . . . . . . . . . . . 1095 1095 1096 1096 1098 1106 1107 1108 1109 1111 1112 41. USB Device Port (UDP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1140 41.1 41.2 41.3 41.4 41.5 41.6 41.7 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Product Dependencies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Typical Connection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Functional Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . USB Device Port (UDP) User Interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1140 1140 1141 1141 1142 1144 1157 42. Ethernet MAC (GMAC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1181 42.1 42.2 42.3 42.4 42.5 42.6 42.7 42.8 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Signal Interfaces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Product Dependencies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Functional Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Programming Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Ethernet MAC (GMAC) User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1181 1181 1182 1182 1183 1184 1203 1207 43. Analog Front-End Controller (AFEC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1265 43.1 43.2 43.3 43.4 43.5 43.6 43.7 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Signal Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Product Dependencies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Functional Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Analog Front-End Controller (AFEC) User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1265 1266 1267 1267 1268 1270 1287 44. Digital-to-Analog Converter Controller (DACC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1318 44.1 44.2 44.3 44.4 44.5 44.6 44.7 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Signal Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Product Dependencies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Functional Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Digital-to-Analog Converter Controller (DACC) User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . 1318 1318 1319 1319 1319 1321 1323 45. Analog Comparator Controller (ACC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1340 45.1 45.2 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1340 Embedded Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1340 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1425 45.3 45.4 45.5 45.6 45.7 Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1340 Signal Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1341 Product Dependencies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1341 Functional Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1341 Analog Comparator Controller (ACC) User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1343 46. SAM4E Electrical Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1354 46.1 46.2 46.3 46.4 46.5 46.6 46.7 46.8 46.9 46.10 46.11 Absolute Maximum Ratings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1354 DC Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1355 Power Consumption . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1361 Oscillator Characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1369 PLLA Characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1373 USB Transceiver Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1374 12-bit AFE (Analog Front End) Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1376 12-bit DAC Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1387 Analog Comparator Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1389 Temperature Sensor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1389 AC Characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1390 47. SAM4E Mechanical Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1408 47.1 47.2 47.3 47.4 47.5 47.6 100-ball TFBGA Package Drawing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144-ball LFBGA Package Drawing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100-lead LQFP Package Drawing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144-lead LQFP Package Drawing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Soldering Profile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Packaging Resources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1408 1409 1410 1411 1412 1412 48. Marking . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1413 49. Ordering Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1414 50. Errata on SAM4E Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1416 50.1 50.2 Errata SAM4E Rev. A Parts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1416 Errata SAM4E Rev.B Parts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1417 Table of Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1419 51. Revision History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1427 1426 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 51. Revision History In the tables that follow, the most recent version of the document appears first. Table 51-1. Doc. Date SAM4E Datasheet Rev. 11157H 31-Mar-2016 Revision History Changes Updated Figure 2-1. SAM4E 144-pin Block Diagram (replaced AFEx_AD0..11 with AFEx_AD0..14) Section 4. “Package and Pinout” GNDPLL, GNDCORE, GNDANA and GNDIO replaced with GND for BGA packages in Table 4-1 “SAM4E 100-ball TFBGA Pinout” and Table 4-2 “SAM4E 144-ball LFBGA Pinout” Adde note 2 to XIN32 and XOUT32 in Table 6-1 “System I/O Configuration Pin List” Section 11. “Cortex-M4 processor” : Removed SCB_AFSR in Section 11-33 “System Control Block (SCB) Register Mapping” Section 12. “Debug and Test Features”: Updated Section 12.6.9 “IEEE® 1149.1 JTAG Boundary Scan” Section 13. “Reset Controller (RSTC)” Updated Section 13.4.3.3 “Watchdog Reset”: Replaced “is set” with “is written to 1” and “is reset” with “is written to 0”. Updated Section 13.4.2.1 “NRST Signal or Interrupt” Reworked Section 13.1 “Description” and Section 13.2 “Embedded Characteristics” Section 15. “Real-time Clock (RTC)” Updated Section 15.2 “Embedded Characteristics” Figure 15-5, “Calibration Circuitry Waveforms” corrected two instances of “3,906 ms” to “3.906 ms” Table 15-2 “Register Mapping”: added offset 0xCC as reserved Section 15.6.1 “RTC Control Register”: updated descriptions of value ‘0’ for bits UPDTIM and UPDCAL and updated CALEVSEL bit description Deleted “All non-significant bits read zero.” from the following registers: 31-Mar-2016 - Section 15.6.3 “RTC Time Register” - Section 15.6.4 “RTC Calendar Register” Reworked Figure 15-5, “Calibration Circuitry Waveforms” Modified Section 15.5.6 “Updating Time/Calendar” Section 16. “Watchdog Timer (WDT)” Replaced “Idle mode” with “Sleep mode (idle mode)” in Section 16.1 “Description” and with “Sleep mode” in Section 16.4 “Functional Description” Section 16.5.1 “Watchdog Timer Control Register”: added note on modification of WDT_CR values. Section 16.5.2 “Watchdog Timer Mode Register”: updated note on modification of WDT_MR values. Section 16.4 “Functional Description” and Section 16.5.2 “Watchdog Timer Mode Register” (WDDIS bit description) : modified information on WDDIS bit setting” Section 16.4 “Functional Description” : Modified paragraph starting with “The reload of the WDT must occur...” Section 20. “Enhanced Embedded Flash Controller (EEFC)” Section 20.4.3.6 “Calibration Bit”: changed information on oscillators that are calibrated in production Section 21. “Fast Flash Programming Interface (FFPI)” Figure 21.3.3. Entering Parallel Programming Mode: deleted note on device clocking. Section 21.3 “Parallel Fast Flash Programming”: in block diagrams, changed input source for XIN. Table 21-1 Signal Description List: deleted comment for XIN. Section 21.3.3 “Entering Parallel Programming Mode”: reworded steps 2 and 3. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1427 Table 51-1. Doc. Date SAM4E Datasheet Rev. 11157H 31-Mar-2016 Revision History Changes Section 22. “Cortex-M Cache Controller (CMCC)” Updated Section 22.2 “Embedded Characteristics” Section 25. “DMA Controller (DMAC)” Section 25.7 “DMAC Software Requirements”: deleted bullet referencing hardware handshake interface protocol Moved Section 25.6.7 “Register Write Protection” into Section 25.6 “Functional Description” Section 25.6.5 “Programming a Channel”: “DMAC_SARx, DMAC_DARx, DMAC_CTLx, and DMAC_LLPx” corrected to “DMAC_SADDRx, DMAC_DADDRx, DMAC_CTRLAx, DMAC_CTRLBx, and DMAC_DSCRx” Section 25-3 “Multiple Buffers Transfer Management”: - added links to footnotes - deleted footnote “Channel stalled is true if the relevant BTC interrupt is not masked Section 27. “Static Memory Controller (SMC)” Modified Figure 27-3 “NAND Flash Signal Multiplexing on SMC Pins” and added Note 1 below the figure Section 27.10 “Scrambling/Unscrambling Function”: added details on access for SMC_KEY1 and SMC_KEY2 registers. Section 27.16.6 “SMC Off-Chip Memory Scrambling Key1 Register” and Section 27.16.7 “SMC Off-Chip Memory Scrambling Key2 Register”: added Note (1) to clarify Write-once access Section 28. “Clock Generator” Section 29.17 “Register Write Protection”: added “PMC Clock Generator Main Clock Frequency Register” to list of protectable registers Updated Figure 28-1 “Clock Generator Block Diagram” 31-Mar-2016 Section 29.11 “Fast Startup”: inserted warning “The duration of the WKUPx pins active level must be greater than four main clock cycles.” Section 34. “Serial Peripheral Interface (SPI)” Section 34.8.1 “SPI Control Register”: added bit REQCLR Section 34-5 “Register Mapping”: for Chip Select Register, replaced fixed offset with equation Modified transmission condition description in Section 34.7.3 “Master Mode Operations” Section 37. “Universal Synchronous Asynchronous Receiver Transmitter (USART)” Section 8.6 “USART Interrupt Enable Register (SPI_MODE)”: added bit NSSE (register bit 19) in Section 37.7.6 “USART Interrupt Enable Register (SPI_MODE)”, Section 37.7.8 “USART Interrupt Disable Register (SPI_MODE)”, and Section 37.7.10 “USART Interrupt Mask Register (SPI_MODE)”. Section 37.7.12 “USART Channel Status Register (SPI_MODE)”: added bit NSSE (register bit 19) and bit NSS (register bit 23). Section 37-2 “Baud Rate Generator”: added label “Selected Clock” to USCLKS multiplexer output and corrected value in "The frequency of the signal provided on SCK must be at least... Section “Baud Rate Calculation Example”: in baud rate calculation formula, replaced “fperipheral clock” with “Selected Clock” Figure 37-3, “Fractional Baud Rate Generator”: added label “Selected Clock” to USCLKS mux output Section 37.6.1.3 “Baud Rate in Synchronous Mode or SPI Mode”: in second paragraph, replaced “fperipheral clock” with “Selected Clock” At end of Section 37.6.1.2 “Fractional Baud Rate in Asynchronous Mode”, added warning “When the value of field FP is greater than 0...” Cont’d 1428 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 51-1. Doc. Date SAM4E Datasheet Rev. 11157H 31-Mar-2016 Revision History Changes Section 37.7.15 “USART Baud Rate Generator Register”: added warning “When the value of field FP is greater than 0...” to FP field description: Section 37.6.3.4 “Manchester Decoder”: corrected “MANE flag” with “MANERR” flag. Section 37.6.8.5 “Character Transmission”: corrected bit names: RTSEN to RCS, RTSDIS to FCS and added content “An additional condition...on the receiver side”. Section 37.7.1 “USART Control Register”: updated RTSDIS bit description. Section 37.7.3 “USART Mode Register”: updated descripiton for row 0xE, SPI_MASTER Section 38. “Timer Counter (TC)” Section 38.2 “Embedded Characteristics”: rephrased "Total number of TC channels" to read "Total number of TC channels implemented on this device Reformatted and renamed Table 38-2 “Channel Signal Description” Section 38.6.3 “Clock Selection”: updated notes (1) and (2) Section 38.6.9 “Transfer with PDC in Capture Mode”: added “in Capture mode” and updated Figure 38-5. Example of Transfer with PDC in Capture Mode Replaced TIOA, TIOB, TCLK with TIOAx, TIOBx, TCLK 31-Mar-2016 Section 38.6.16.4 “Position and Rotation Measurement”: added sentence on clearing the internal counter Added Section 38.6.16.6 “Detecting a Missing Index Pulse” Section 39. “Pulse Width Modulation Controller (PWM)” Updated Figure 39-1, “Pulse Width Modulation Controller Block Diagram” Added reference to Section 39.5.4 “Fault Inputs” in register descriptions Updated Section 39.6.2.2 “Comparator” Corrected PWM period formulas in Section 39.7.43 “PWM Channel Period Register” and Section 39.7.44 “PWM Channel Period Update Register” Section 39.6.5.1 “Initialization”: modified “Enable of the interrupts...” list item Section 42. “Ethernet MAC (GMAC)” Updated Section 42.1 “Description” Section 42.5.2 “Power Management”: deleted reference to PMC_PCER. Section 42.5.3 “Interrupt Sources”: deleted reference to ‘Advanced Interrupt Controller’. Replaced by ‘interrupt controller’. Section 42.6.13 “IEEE 1588 Support”: deleted reference to GMAC_TSS and removed reference to ‘output pins’ in 2nd paragraph Section 42.7.1.2 “Receive Buffer List” and Section 42.7.1.3 “Transmit Buffer List”: added note at end of sections on queue pointer intialization. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1429 Table 51-1. Doc. Date SAM4E Datasheet Rev. 11157H 31-Mar-2016 Revision History Changes Section 43. “Analog Front-End Controller (AFEC)” Section 43.7.13 “AFEC Interrupt Status Register”: defined EOCAL bit as ‘cleared on read’ Section 43.6.1 “Analog Front-End Conversion”: updated section and added equations for AFE conversion time. Updated Section 43-2 “Sequence of AFE Conversions when Tracking Time > Conversion Time” Added sentence on write protection below the register table for: Section 43.7.21 “AFEC Channel Offset Compensation Register” Section 43.7.22 “AFEC Temperature Sensor Mode Register” Section 43.6.16 “Register Write Protection”: added ”AFEC Channel Differential Register” to the list of write-protected registers. Section 43.7.2 “AFEC Mode Register”: updated descriptions of fields TRACKTIM and TRANSFER Updated Warning in Section 43.6.10 “AFE Timings” Section 43.2 “Embedded Characteristics”: deleted bullet on conversion rate (redundant with Electrical Characteristics) Deleted Section 7.5 ”Conversion Results Format”. 31-Mar-2016 Section 43.6.7 “Comparison Window”: deleted paragraph on conversion sign. Section 43.7.3 “AFEC Extended Mode Register” bits 28 and 29 now reserved (were ‘SIGNMODE’) Section 43.7.21 “AFEC Channel Offset Compensation Register”: added note on configuration of AOFF. Section 43.7.19 “AFEC Channel Selection Register”: updated CSEL bit description. Section 43.6.9 “Input Gain and Offset”: updated information on AOFF field. Section 31. “Controller Area Network (CAN)” Updated MIDvA and MIDvB description in Section 31.9.15 “CAN Message Acceptance Mask Register” Updated Section 31.6.3 “Interrupt Sources” (replaced “Advanced Interrupt Controller” with ”interrupt controller”) and Figure 9-1, “Possible Initialization Procedure” (replaced “AIC” with “Interrupt Controller”) Section 31.8.3.2 “Transmission Handling”: in sixth paragraph, “CAN_MACR” remplaced with “CAN_ACR Section 46. “SAM4E Electrical Characteristics” Section 46.11.8.3 “MII Mode” : Removed note 1 below Table 46-66 “EMAC MII Timings” Deleted tTRACKTIM and ts in Table 46.7.3 “ADC Timings” Modified Section 46.11.3 “SPI Characteristics” Table 51-2. Doc. Date SAM4E Datasheet Rev. 11157G 12-Feb-2016 Revision History Changes Added MRLB (Rev. B) devices: - Updated Table 32-1 “SAM4E Chip ID Registers”. 12-Feb-2016 - Updated Section 50. “Errata on SAM4E Devices” (added Section 50.1.4 “Floating Point Unit (FPU)”, Section 50.2 “Errata SAM4E Rev.B Parts” and CHIPID information). - Table 49-1, “Ordering Codes for SAM4E Devices”. 1430 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 51-3. Doc. Date SAM4E Datasheet Rev. 11157F Revision History Changes Editorial and formatting changes throughout Deleted reset values and/or address offsets from individual register description sections (information found in “Register mapping” tables) Modified Section 1. “Features” and Figure 2-1, “SAM4E 144-pin Block Diagram” Updated Table 10-1, “Peripheral Identifiers” Section 11., “ARM Cortex-M4 Processor” Updated Table 11-11 “Faults” Table 11-35 “System Timer (SYST) Register Mapping”: corrected SYST_CSR reset value Modified Figure 11-1 “Typical Cortex-M4F Implementation” Section 12., “Debug and Test Features” Updated Section 12.6.3 “ERASE Pin” Section 13., “Reset Controller (RSTC)” Updated Section 13.4.1, “Reset Controller Overview”, Section 13.5.2, “Reset Controller Status Register” and Section 13.5.3, “Reset Controller Mode Register” Section 14. “Real-time Timer (RTT)” Modified Section 14.4 “Functional Description” Updated Section 14.5.3 “Real-time Timer Value Register” and Section 14.5.4 “Real-time Timer Status Register” 27-Apr-15 Section 15., “Real-time Clock (RTC)” Modified Section 15.3 “Block Diagram” Updated “Section 15.1 “Description” and Section 15.5 “Functional Description” (removed references to the 20th century) Section 15.5.5 “RTC Internal Free Running Counter Error Checking”: replaced “RTC status clear control register” with “Status Clear Command Register” Modified Section 15.5.7 “RTC Accurate Clock Calibration” Added TDERR field in Section 15.6.11 “RTC Interrupt Mask Register” Section 16., “Watchdog Timer (WDT)” Modified Figure 16-2 “Watchdog Behavior” and Section 16.5.3 “Watchdog Timer Status Register” Section 17., “Reinforced Safety Watchdog Timer (RSWDT)” Updated Section 17.1 “Description”, Section 17.2 “Embedded Characteristics” and Section 17.4 “Functional Description” Section 18., “Supply Controller (SUPC)” Updated Figure 18-1 “Supply Controller Block Diagram”. Modified Section 18.4.2, “Slow Clock Generator” Updated Section 18.5.5, “Supply Controller Mode Register”, Section 18.5.8, “Supply Controller Status Register” and Section 18.5.7, “Supply Controller Wake-up Inputs Register” Section 18.4.7.3, “Low-power Tamper Detection and Anti-Tampering” Modified Figure 18-4 “Wake-up Sources” and added a paragraph and Figure 18-5 “Entering and Exiting Backup Mode with a WKUP Pin” in Section 18.4.7.2, “Wake-up Inputs” SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1431 Table 51-3. Doc. Date SAM4E Datasheet Rev. 11157F Revision History (Continued) Changes Section 1. “Enhanced Embedded Flash Controller (EEFC)” Updated Table 1-8 “Register Mapping” Updated Section 1.3.2 “Interrupt Sources” Updated Section 1.4.3.2 “Write Commands” Updated Section 1.5.1 “EEFC Flash Mode Register”, Section 1.5.2 “EEFC Flash Command Register” and Section 1.5.3 “EEFC Flash Status Register”, Updated Figure 1-3 “Code Read Optimization for FWS = 0” and Figure 1-4 “Code Read Optimization for FWS = 3”. Modified Section 1.4.3.3 “Erase Commands”, Section 1.4.3.8 “Unique Identifier Area”, Section 1.4.3.9 “User Signature Area” and Section 1-7 “Command State Chart” Section 22. “Cortex-M Cache Controller (CMCC)” Modified Section 22.4.3 ”Cache Performance Monitoring”, Section 22.5.1 ”Cache Controller Type Register” and Section 22.5.2 ”Cache Controller Configuration Register” Section 22.5.7 ”Cache Controller Monitor Configuration Register”: changed access from Write-only to Read/Write. Updated Section 22.5.3 ”Cache Controller Control Register”, Section 22.5.4 ”Cache Controller Status Register”, Section 22.5.5 ”Cache Controller Maintenance Register 0”, Section 22.5.8 ”Cache Controller Monitor Enable Register” and Section 22.5.9 ”Cache Controller Monitor Control Register”. Section 25. “DMA Controller (DMAC)” 27-Apr-15 Updated Section 25.2 “Embedded Characteristics”: added bullet “Register Write Protection” Added Section 25.5 “Product Dependencies” Modified Section 25.6.3.1 “Software Handshaking” Updated Section 25.6.6 “Disabling a Channel Prior to Transfer Completion” and Section 25.6.6.1 “Abnormal Transfer Termination” Modified Section 25.8 “Register Write Protection” Updated Table 25-4, “Register Mapping”: replaced reserved offset range “0x01EC- 0x1FC” with “0x1EC–0x1FC” Updated Section 25.9.19 “DMAC Write Protection Mode Register” and Section 25.9.20 “DMAC Write Protection Status Register” Section 26., “Peripheral DMA Controller (PDC)” Modified Section 26.5.10, “Transfer Status Register” Section 27., “Static Memory Controller (SMC)” Updated Table 27-1 “I/O Line Description”. Updated Section 27.9.5 “Register Write Protection” and added information on write protection to Section 27.16.1 “SMC Setup Register”, Section 27.16.2 “SMC Pulse Register”, Section 27.16.3 “SMC Cycle Register” and Section 27.16.5 “SMC OCMS Mode Register”. Updated Section 27.16.8 “SMC Write Protection Mode Register” and Section 27.16.9 “SMC Write Protection Status Register”. Updated Section 27.10 “Scrambling/Unscrambling Function”. 1432 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 51-3. Doc. Date SAM4E Datasheet Rev. 11157F Revision History (Continued) Changes Section 28. “Clock Generator”. Modified Section 28.2 “Embedded Characteristics” and Section 29.2 “Embedded Characteristics”. Added Section 28.5.5 ”Bypassing the 3 to 20 MHz Crystal Oscillator”. Updated Section 28.4.2 “32768 Hz Crystal Oscillator” Updated Section 28.5.6 “Main Clock Frequency Counter” and Section 28.5.7 “Switching Main Clock between the RC Oscillator and the Crystal Oscillator” Modified Section 28.6.1 “Divider and Phase Lock Loop Programming” Modified Table 29-2, “Register Mapping” Modified Section 29.7 “USB Clock Controller”, Section 29.11 “Fast Startup” and Section 29.13 “Main Clock Failure Detector” Updated Section 29.17.7 “PMC Clock Generator Main Oscillator Register”, Section 29.17.8 “PMC Clock Generator Main Clock Frequency Register” and Section 29.17.9 “PMC Clock Generator PLLA Register” Section 30. “Advanced Encryption Standard (AES)” Section 30.4.2 “Operation Modes”: updated content relative to 1 megabyte limitation Modified Section 30.4.5.1 “Manual and Auto Modes” Modified Section 30.5.6 “AES Interrupt Status Register” Section 32. “Chip Identifier (CHIPID)” Section 32.3.1 “Chip ID Register”: Updated EPROC, SRAMSIZ and NVPSIZ descriptions Section 31. “Controller Area Network (CAN)” Modified Table 31-6, “Register Mapping” 27-Apr-15 Modified Section 31.9.1 “CAN Mode Register”, Section 31.9.5 “CAN Status Register”, Section 31.9.12 “CAN Write Protection Mode Register”, Section 31.9.13 “CAN Write Protection Status Register”, Section 31.9.18 “CAN Message Status Register” and Section 31.9.21 “CAN Message Control Register” Section 33., “Parallel Input/Output Controller (PIO)” Section 33.6.38 “PIO Additional Interrupt Modes Mask Register”: modified P0–P31 bit description Modified Figure 33-1 “Block Diagram” and Figure 33-9 “PIO Controller Connection with CMOS Digital Image Sensor” Replaced instances of “div_slclk” with “div_slck”; replaced instances of “slow_clock” with “slck” Modified Table 33-5 “Register Mapping” Removed section “External Interrupt Lines” and Figure 4-4 Application Block Diagram. Updated Figure 33-10 “Parallel Capture Mode Waveforms (DSIZE = 2, ALWYS = 0, HALFS = 0)”,Figure 33-11 “Parallel Capture Mode Waveforms (DSIZE = 2, ALWYS = 1, HALFS = 0)”, Figure 33-12 “Parallel Capture Mode Waveforms (DSIZE = 2, ALWYS = 0, HALFS = 1, FRSTS = 0)” and Figure 33-13 “Parallel Capture Mode Waveforms (DSIZE = 2, ALWYS = 0, HALFS = 1, FRSTS = 1)” Updated Section 33.5.16 “Register Write Protection” and Section 33.6.32 “PIO Pad Pull-Down Status Register”:.” and Section 33.5.3 “Peripheral A or B or C or D Selection” Section 34. “Serial Peripheral Interface (SPI)” Updated Figure 34.3. Block Diagram and Figure 34-7. Master Mode Flow Diagram Section 34.7.3.6 “SPI Peripheral DMA Controller (PDC)” (“Transfer size”): replaced “8-bit to 16-bit data” with “9-bit to 16bit data” Modified Section 34.7.3.5 “Peripheral Selection” and Section 34.7.3.9 “Peripheral Deselection without DMA nor PDC” Updated Section 34.7.1 “Modes of Operation”, Section 34.7.3 “Master Mode Operations”, Section 34.8.1 “SPI Control Register”, Section 34.8.2 “SPI Mode Register”, Section 34.8.5 “SPI Status Register” and Section 34.8.9 “SPI Chip Select Register” SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1433 Table 51-3. Doc. Date SAM4E Datasheet Rev. 11157F Revision History (Continued) Changes Section 35. “Two-wire Interface (TWI)” Modified Section 35.1 “Description” Modified Table 35-1, “Atmel TWI Compatibility with I2C Standard” Modified Section “Clock Synchronization Sequence” and added Section “Clock Stretching Sequence” Section 35.7.3.3 “Programming Master Mode”: replaced all occurrences of "TWIHS_" with "TWI_". Replaced instance of acronym “TWI2” with “TWI” Section 35.8 “Two-wire Interface (TWI) User Interface” Replaced Sections “Application Block Diagram” with updated Section 35.5 “I/O Lines Description” Updated Figure 35-9 “Master Read Wait State with Multiple Data Bytes”, Figure 35-23. Read Access Ordered by a Master, Figure 35-24. Write Access Ordered by a Master, Figure 35-25. Master Performs a General Call Figure 35-30. Read Write Flowchart in Slave Mode, Figure 35-27. Clock Synchronization in Write Mode and Figure 35-30. Read Write Flowchart in Slave Mode Replaced all instances of “(Optional) Wait for the TXCOMP flag in TWI_SR before disabling the peripheral clock if required.” with “(Only if peripheral clock must be disabled) Wait for the TXCOMP flag to be raised in TWI_SR” Modified Section 35.7.3.3 “Master Transmitter Mode” and Section “Read Sequence” (note on clearing TXRDY flag) Section 35.7.3.4 “Master Receiver Mode”: Modified “Warning”. Modified Section 35.8.5 “TWI Clock Waveform Generator Register” and Section 35.8.6 “TWI Status Register” Section 36. “Universal Asynchronous Receiver Transmitter (UART)” 27-Apr-15 Updated Section 36.5.1 “Baud Rate Generator”, Section 36.5.2.4 “Receiver Overrun” and Section 36.6.9 “UART Baud Rate Generator Register” Section 37. “Universal Synchronous Asynchronous Receiver Transceiver (USART)” Updated Section 37.2 “Embedded Characteristics”, Section 37.3 “Block Diagram” and Section 37.6.10 “Register Write Protection” Modified Section 37.5.1 “I/O Lines” and Table 37-15 “Register Mapping” Modifed Section 37.7.1 “USART Control Register”, Section 37.7.3 “USART Mode Register”, Section 37.7.4 “USART Mode Register (SPI_MODE)”, Section 37.7.5 “USART Interrupt Enable Register”, Section 37.7.6 “USART Interrupt Enable Register (SPI_MODE)”, Section 37.7.7 “USART Interrupt Disable Register”, Section 37.7.8 “USART Interrupt Disable Register (SPI_MODE)”Section 37.7.9 “USART Interrupt Mask Register”, Section 37.7.10 “USART Interrupt Mask Register (SPI_MODE)”Section 37.7.11 “USART Channel Status Register”, and Section 37.7.12 “USART Channel Status Register (SPI_MODE)”, Section 37.7.15 “USART Baud Rate Generator Register”, Section 37.7.16 “USART Receiver Time-out Register”, Section 37.7.17 “USART Transmitter Timeguard Register” and Section 37.7.18 “USART FI DI RATIO Register” Updated symbols used to express time to JEDEC standards Section 37.6.3.3 “Asynchronous Receiver” Section 37.6.1 “Baud Rate Generator”, Section 37.6.4 “ISO7816 Mode” Section “Transmit Character Repetition”: replaced “ITERATION bit” with “ITER bit” Removed RXIDLEV bit (bit 31 now reserved) from “USART Manchester Configuration Register” Modified information on Hardware handshaking 1434 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 51-3. Doc. Date SAM4E Datasheet Rev. 11157F Revision History (Continued) Changes Section 38. “Timer Counter (TC)” Modified Section 38.1 “Description”, Section 38.5.2 “Power Management” and Section 38.6.19 “Register Write Protection” Moved Table 38-1, “Timer Counter Clock Assignment” Modified ‘Name’ line in Section 38.7.2 “TC Channel Mode Register: Capture Mode” and Section 38.7.3 “TC Channel Mode Register: Waveform Mode” Modified Section 38.7.10 “TC Status Register”, Section 38.7.14 “TC Extended Mode Register”Section 38.7.16 “TC Block Mode Register”, Section 38.7.20 “TC QDEC Interrupt Status Register” Section 38.7.22 “TC Write Protection Mode Register” Modified Section 38.5.3 “Interrupt Sources” and Section 38.6.14 “Synchronization with PWM”, Section 38.6.16.4 “Position and Rotation Measurement”, Section 38.6.16.5 “Speed Measurement” Section 38.6.16 “Quadrature Decoder”: removed subsection “Missing Pulse Detection and Auto-correction” Section 39. “Pulse Width Modulation Controller (PWM)” Modified Section “Method 3: Automatic write of duty-cycle values and automatic trigger of the update” Updated Section 39.2 “Embedded Characteristics” throughout: corrected register name PWM_CPRx to PWM_CPRDx (PWM_CPRx does not exist) Section 39.5.3 “Interrupt Sources” Section 39.6 “Functional Description” Section 39.6.2.9 “Synchronous Channels” Section 39.7.24 “PWM Fault Mode Register”, Section 39.7.25 “PWM Fault Status Register”: changed field descriptions. Section 39.7.26 “PWM Fault Clear Register”, Section 39.7.28 “PWM Fault Protection Enable Register” 27-Apr-15 Section 39.7.40 “PWM Channel Mode Register” Figure 39-17 “Comparison Unit Block Diagram” Table 39-7 “Register Mapping”: deleted reset value from PWM_SCUPUPD (this register is write-only) Added Figure 39-20 “Event Line Generation Waveform (Example)” Section 39.6.4 “PWM Event Lines”: in first sentence, replaced “i.e.” with “e.g.” Section 40. “High Speed Multimedia Card Interface (HSMCI)” Modified Section 40.1 “Description”: removed sentence “Only one slot can be selected at a time (slots are multiplexed)” Added Section 40.14.19 “HSMCI FIFOx Memory Aperture” Updated Section 40.14.12 “HSMCI Status Register” Updated Table 40-4, “Bus Topology” (4-bit instead of 8-bit) Section 41. “USB Device Port (UDP)” Table 41-6 Register Mapping: update footnote No. 1. Modified Section 41.7.4, “UDP Interrupt Enable Register” Section , “Using Endpoints With Ping-pong Attribute”: Replaced Bank 0 with Bank 1 in step 12. Section 42. “Ethernet MAC (GMAC)” Modified Section 42.2 “Embedded Characteristics”, Section 42.5.3 “Interrupt Sources” Modified Section 42.3 “Block Diagram” Added Section 42.5 “Product Dependencies”. Modified Section 42.6.3.1 “Receive AHB Buffers” and Section 42.6.3.2 “Transmit AHB Buffers”, Section 42.6.6.1 “Receiver Checksum Offload”, Section 42.6.15.2 “802.3 Pause Frame Transmission”, Section 42.6.16.2 “PFC Pause Frame Transmission” SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1435 Table 51-3. Doc. Date SAM4E Datasheet Rev. 11157F Revision History (Continued) Changes Updated Section 42.6.4 “MAC Transmit Block”, Section 42.6.5 “MAC Receive Block”, Section 42.6.7 “MAC Filtering Block”, Section 42.6.13 “IEEE 1588 Support” and Section 42.6.14 “Time Stamp Unit” Modified Section 42.7.1.8 “Receiving Frames” Modified “GMAC Type ID Match x Registers” descriptions Modified Section 42.8 “Ethernet MAC (GMAC) User Interface” Modified Table 42-17 Register Mapping and updated register description sections accordingly Updated Section 42.8.1 “GMAC Network Control Register”, Section 42.8.6 “GMAC Transmit Status Register”, , Section 42.8.9 “GMAC Receive Status Register”, Section 42.8.13 “GMAC Interrupt Mask Register” and Section 42.8.14 “GMAC PHY Maintenance Register” Section 45. “Analog Comparator Controller (ACC)” Section 45.2 “Embedded Characteristics”: Changed ADVREF to ‘External Voltage Reference’ Updated Figure 45-1 “Analog Comparator Controller Block Diagram” and Table 45-1, “ACC Signal Description” Modified Section 45.5.1 “I/O Lines” and Section 45.7.2 “ACC Mode Register”, Section 45.7.6 “ACC Interrupt Status Register” Corrected signal names Removed Table “List of External Analog Data Inputs” and note referring to this table. Changed all occurrences of ‘MCK’ to ‘peripheral clock’. Section 43. “Analog Front-End Controller (AFEC)” Updated Figure 43-1 “Analog Front-End Controller Block Diagram” 27-Apr-15 Modified Section 43.5.1 “I/O Lines”, Section 43.5.2 “Power Management” and Section 43.6.12 “Temperature Sensor” Section 43.6.4 “Conversion Results” and Section 43.7.7 “AFEC Channel Disable Register”: updated warning text Section 43.6.8 “Comparison Window”: Replaced CPM_ALL bit name with CMPALL. Modified Section 43.6.13 “Enhanced Resolution Mode and Digital Averaging Function” and Section 43.6.14 “Automatic Calibration” Changed ‘AFEC Clock’ to ‘AFE clock’ and MCK to ‘peripheral clock’. Modified Figure 43-4 “EOCx and DRDY Flag Behavior” and Figure 43-5 “EOCx, GOVRE and OVREx Flag Behavior” Removed “Section 7.3.1 Enhanced Resolution Mode” Updated Section 43.7.2 “AFEC Mode Register”, Section 43.7.13 “AFEC Interrupt Status Register” and Section 43.7.20 “AFEC Channel Data Register” Section 44. “Digital-to-Analog Converter Controller (DACC)” Modified Figure 44-1 “DACC Block Diagram” , Section 44.2 “Embedded Characteristics” and Table 44-3, “Register Mapping” Removed references to Sleep mode and refresh period Modified Section 44.6.6 “DACC Timings” Updated Section 44.7.2 “DACC Mode Register”, Section 44.7.12 “DACC Write Protection Mode Register”, Section 44.7.13 “DACC Write Protection Status Register” Section 46. “SAM4E Electrical Characteristics” Updated Table 46-18 “32.768 kHz Crystal Oscillator Characteristics” (removed CLEXT) Updated Table 46-2 “DC Characteristics” (conditions for VOL and VOL) 1436 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 51-4. Doc. Date SAM4E Datasheet Rev. 11157E Revision History Changes Editorial and formatting changes throughout “Description”: Corrected “nine general-purpose 16-bit Timers” to “3 three-channel general-purpose 32-bit timers” Replaced “one RTC” with “a low-power RTC, a low-power RTT, 256-bit General Purpose Backup Registers” Added paragraph relating to low-power modes Added paragraph relating to Real-time Event Management Section 1. “Features” Updated description of “Low-power Modes” Under “Peripherals”: - changed “32-bit Real-time Timer and RTC” to “32-bit low-power Real-time Timer (RTT) and low-power Real-time Clock (RTC)” - added bullet “256-bit General Purpose Backup Registers (GPBR)” Table 1-1 “Configuration Summary”: renamed “EMAC” to “GMAC” Section 2. “Block Diagram” Removed Figure “SAM4E 100-pin Block Diagram” Inserted sentence “See Table 1-1 for detailed configurations of memory size, package and features of the SAM4E devices” Revised Figure 2-1 “SAM4E 144-pin Block Diagram” Section 3. “Signal Description” 13-Feb-15 Table 3-1 “Signal Description List”: removed Ethernet MAC signals GREFCK, GTXDV, and GCRSDV; redistributed links to footnote 1 Section 5. “Power Considerations” Table 5-1 “Low-power Mode Configuration Summary”: replaced “Backup Registers” with “GPBR” in column header Added Section 5.2 “Power-up Considerations” Figure 5-2 “Single Supply”: - changed main supply range from 1.8V-3.6V to 1.62–3.6 V - renamed “ADC” to “AFEC” - below figure, added Analog Comparator to AFEC/DAC restrictions Figure 5-3 “Core Externally Supplied”: - renamed “ADC” to “AFEC” - below figure, added Analog Comparator to AFEC/DAC restrictions Section 5.6.3 “Sleep Mode”: added “with bit LPM = 0 in PMC_FSMR” to end of second paragraph Section 5.7 “Wake-up Sources”: removed figure “Wake-up Source” Section 5.8 “Fast Start-up”: in last sentence, changed “switches the master clock on this 4 MHz clock” to “switches the master clock on this 4 MHz clock by default”; removed figure “Fast Start-up Sources” Section 6. “Input/Output Lines” Section 6.2 “System I/O Lines”: rewrote first paragraph Table 6-1 “System I/O Configuration Pin List”: changed column header “SYSTEM_IO Bit Number” to “CCFG_SYSIO Bit No.” SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1437 Table 51-4. Doc. Date SAM4E Datasheet Rev. 11157E Revision History (Continued) Changes Section 7. “Memories” Inserted Section 7.1 “Product Mapping” (was previously section 7. “Product Mapping”) Figure 7-1 “SAM4E Product Mapping”: renamed “EFC” to “EEFC”; removed reserved space block between addresses 0x400E1600 and 0x400E1800 of System Controller map Section 8. “Real-time Event Management” Section 8.1 “Embedded Characteristics”: renamed instance of “ADC” to “AFEC” Revised Table 8-1 “Real-time Event Mapping List” Section 9. “System Controller” Deleted first two sentences “The System Controller is a set of peripherals...” and “See the system controller block diagram...” Removed Figure 10-1. “System Controller Block Diagram” Removed Section 10.3 “Reset Controller” (reset controller is described in Section 13. “Reset Controller (RSTC)”) Section 10. “Peripherals” Table 10-1 “Peripheral Identifiers”: renamed “EFC” to “EEFC”; renamed “EMAC” to “GMAC”; updated instance descriptions Table 10-2 “Multiplexing on PIO Controller A (PIOA)”: added footnotes providing information on selecting extra functions and system functions Table 10-3 “Multiplexing on PIO Controller B (PIOB)”: added footnotes providing information on selecting extra functions and system functions Table 10-4 “Multiplexing on PIO Controller C (PIOC)”: added footnotes providing information on selecting extra functions Table 10-5 “Multiplexing on PIO Controller D (PIOD)”: 13-Feb-15 - removed signal GREFCK from PD0/Peripheral A - removed signal GCRSDV from PD4/Peripheral A Section 12. “Debug and Test Features” Section 12.6.1 “Test Pin”: at end of section, deleted sentence “For more on the manufacturing and test mode, refer to the “Debug and Test” section of the product datasheet” Section 12.6.4 “Debug Architecture”: in first paragraph, corrected “Cortex-M4 embeds four functional units” to “CortexM4 embeds five functional units” Section 12.6.5 “Serial Wire JTAG Debug Port (SWJ-DP) Pins”: - in second paragraph, deleted sentence “Please refer to the “Debug and Test” section of the product datasheet.” - in sixth paragraph, deleted sentence “For more information about SW-DP and JTAG-DP switching, please refer to the “Debug and Test” section of the datasheet.” Section 23. “SAM-BA Boot Program for SAM4E Microcontrollers” Section 23.6 “SAM-BA Monitor”: rephrased introductory sentence Section 23.6.3 “USB Device Port”: - in first paragraph, replaced “from Windows 98SE to Windows XP” with “beginning with Windows 98SE” - updated link to www.usb.org - deleted sentence “Unauthorized use of assigned or unassigned USB Vendor ID Numbers and associated Product ID Numbers is strictly prohibited.” Section 23.6.4 “In Application Programming (IAP) Feature”: replaced two instances of “MC_FSR register” with “EEFC_FSR” Section 24. “Bus Matrix (MATRIX)” Table 24-2 “Master to Slave Access”: inserted “PDC0” as name of master 2 1438 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 51-4. Doc. Date SAM4E Datasheet Rev. 11157E Revision History (Continued) Changes Section 27. “Static Memory Controller (SMC)” Section 27.1 “Description”: replaced instance of “CM4P2” with “SAM4E” Section 27.7.1 “Implementation Examples”: replaced instance of “CM4P2” with “SAM4E” Section 46. “SAM4E Electrical Characteristics” Updated and harmonized parameter symbols Table 46-2 “DC Characteristics”: updated footnotes Table 46-3 “1.2V Voltage Regulator Characteristics”: replaced two footnotes with single footnote in VDDIN conditions; deleted “Cf. External Capacitor Requirements” from CDIN and CDOUT conditions Table 46-4 “Core Power Supply Brownout Detector Characteristics”: added parameter “Reset Period” Table 46-7 “Zero-Power-On Reset Characteristics”: modified parameter name “Reset Time-out Period” to “Reset Period” Section 46.3.2.1 “Sleep Mode”: deleted sentence “Table 47-10 shows the current consumption in typical conditions” Figure 46-6 “Current Consumption in Sleep Mode (AMP1) versus Master Clock Ranges (refer to Table 46-10)”: replaced comma with dot as decimal separator in mA values Table 46-15 “Power Consumption on VDDCORE (VDDIO = 3.3V, VDDCORE = 1.08V, TA = 25°C)”: renamed peripheral “EMAC” to “GMAC” Table 46-18 “32.768 kHz Crystal Oscillator Characteristics”: added parameter “Allowed Crystal Capacitance Load” Figure 46-11 “32.768 kHz Crystal Oscillator Schematics”: added label “Ccrystal” Table 46-20 “3 to 20 MHz Crystal Oscillator Characteristics”: removed parameter “Maximum External Capacitor on XIN and XOUT”; added parameter “Allowed Crystal Capacitance Load” Table 46-22 “XIN Clock Electrical Characteristics (In Bypass Mode)”: added parameters “Internal Parasitic Capacitance During Standby” and “Internal Parasitic Resistance During Standby” 13-Feb-15 Added Figure 46-13 “XIN Clock Timing” Table 46-27 “Analog Power Supply Characteristics”: redirected link in first footnote to section “Low Voltage Supply” (was linked to section “ADC Channel Input Impedance”) Table 46-29 “ADVREF Electrical Characteristics”: redirected link in first footnote to section “Low Voltage Supply” (was linked to section “ADC Channel Input Impedance”) Figure 46-19 “Simplified Acquisition Path”: added caption “ADC Input”; replaced caption “12-bit ADC Core” with “12-bit ADC” Added “Symbol” column to Table 46-50 “Static Performance Characteristics”, Table 46-51 “Dynamic Performance Characteristics”, Table 46-52 “Analog Outputs”, and Table 46-53 “Analog Comparator Characteristics” Section 46.10 “Temperature Sensor”: specified instances of “27°C” as ambient temperature Table 46-54 “Temperature Sensor Characteristics”: deleted “After TSON = 1” from Startup Time conditions Section 46.11.3.1 “Maximum SPI Frequency”: - replaced “frequency above the pin FreqMax value” with “frequency above the maximum pad speed” in “Master Write Mode” - updated content in “Master Read Mode” - replaced “25 MHz” with “21 MHz” in “Slave Write Mode” Table 46-57 “SPI Timings”: removed footnotes defining 1.8V and 3.3V domains (this information is now found at the beginning of Section 46.11.3.2 “SPI Timings”) Section 46.11.5 “SMC Timings”: in timings tables, removed footnotes defining 1.8V and 3.3V domains (this information is already provided at the beginning of the section) Table 46-62 “USART SPI Timings”: removed footnotes defining 1.8V and 3.3V domains (this information is now found at the beginning of Section 46.11.6 “USART in SPI Mode Timings”) Table 46-63 “Two-wire Serial Bus Requirements”: added parameter “Bus free time between a STOP and START condition” SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1439 Table 51-4. Doc. Date SAM4E Datasheet Rev. 11157E Revision History (Continued) Changes Section 46. “SAM4E Electrical Characteristics” (cont’d) Section 46.11.8.1 “Timing Conditions”: at end of section, deleted sentence “These values may be product dependent and should be confirmed by the specification” Section 46.11.9 “Embedded Flash Characteristics”: - inserted paragraph explaining that flash contents should be erased prior to programming an application 13-Feb-15 - in second paragraph, corrected “field FWS of the MC_FMR” to “field FWS of the EEFC_FMR” - replaced four “Embedded Flash Wait State” tables with single Table 46-67 “Embedded Flash Wait State at 105°C” Section 49. “Ordering Information” Table 49-1 “Ordering Codes for SAM4E Devices”: removed “Package Type” column (package type information is provided on the Atmel website) 1440 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 51-5. SAM4E Datasheet Rev. 11157D 12-Jun-14 Revision history Doc. Date Changes Modified Title of the document (SAM4E Series) Changed structure of the document (order of sections: GMAC, DAC...) Ethernet MAC (EMAC) replaced with Ethernet MAC (GMAC) and EMAC signals replaced with GMAC signals throughout the document (example: GTXCK instead of ETXCK, etc.). Section 1. “Features” Added tamper detection Modified note 1 (removed “or using internal voltage regulator”) Table 1-1 “Configuration Summary”: Modified information on Timer channels Section 2-1 “SAM4E 144-pin Block Diagram” Updated Figure 2-1 “SAM4E 144-pin Block Diagram” and Figure 2-2 “SAM4E 144-pin Block Diagram” (Tamper detection added ; AFE block ; WKUP pins) Timer Counter B and C added in Figure 2-1 “SAM4E 144-pin Block Diagram” Section 3. “Signal Description” Modified Table 3-1 “Signal Description List” (“DATRG” instead of “DACTRG”, WKUP[15:0] added, FFPI signals modified) Section 4. “Package and Pinout” Modified Table 4-1 “SAM4E 100-ball TFBGA Pinout”, Table 4-2 “SAM4E 144-ball LFBGA Pinout”, Table 4-3 “SAM4E 100-lead LQFP Pinout” and Table 4-4 “SAM4E 144-lead LQFP Pinout” Section 5. “Power Considerations” 12-Jun-2014 Modified notes after Figure 5-2 “Single Supply” and Figure 5-3 “Core Externally Supplied”: 2.0V replaced with 2.4V (VDDIN minimum value for FAE) Section 7.2 “Embedded Memories” Modified Section 7.2.3.1 “Flash Overview” (paragraph below Section 7-4 “Flash Size”) Updated information on the ERASE pin in Section 7.2.3.5 “Security Bit Feature” Section 10. “Peripherals” Removed note 1 in Table 10-2 “Multiplexing on PIO Controller A (PIOA)”, Table 10-3 “Multiplexing on PIO Controller B (PIOB)” and Table 10-4 “Multiplexing on PIO Controller C (PIOC)” Removed reset values for Write-only registers Section 11. “ARM Cortex-M4 Processor” Minor formatting and editorial changes throughout Updated 2nd instruction line, in Section 11.5.3 “Power Management Programming Hints” Section 11.9.1.2 “CPUID Base Register”: updated ‘Constant’ field description Section 11.9.1.5 “Application Interrupt and Reset Control Register”: updated ‘VECTCLRACTIVE’ and ‘VECTRESET’ field descriptions Section 11.9.1.7 “Configuration and Control Register”: updated ‘USERSETMPEND’ field description Section 11.9.1.16 “MemManage Fault Address Register”: updated ‘ADDRESS’ field description Section 11.9.1.16 “MemManage Fault Address Register”: updated ‘ADDRESS’ field description Section 11.10.1.1 “SysTick Control and Status”: updated ‘TICKINT’ and ‘ENABLE’ field descriptions Section 11.10.1.2 “SysTick Reload Value Registers””: updated ‘RELOAD’ field description Section 11.10.1.3 “SysTick Current Value Register”: updated ‘CURRENT' field description SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1441 Table 51-5. SAM4E Datasheet Rev. 11157D 12-Jun-14 Revision history (Continued) Doc. Date Changes Section 11.10.1.4 “SysTick Calibration Value Register”: updated register reset value; updated ‘TENMS’ and ‘SKEW’ field descriptions Section 11.12.2.1 “Coprocessor Access Control Register”: replaced ‘CPn’ field description with ‘CP10 and CP11’ field descriptions Section 11.12.2.3 “Floating-point Context Address Register”: updated ‘ADDRESS’ field description Section 11.12.2.5 “Floating-point Default Status Control Register”: updated descriptions of fields ‘AHP’, ‘DN’, ‘FZ’, and ‘RMode’ Replaced “£” with “≤” in operation description in equations (Section 11.6.7.1 “SSAT and USAT”) Updated Section 11.8.2.1 “NVIC Programming Hints” Updated Section 12.6.3 “ERASE Pin”. Modified Section 12.6.5 “Serial Wire JTAG Debug Port (SWJ-DP) Pins” (added references to PA7) Section 13. “Reset Controller (RSTC)” Minor editorial and formatting changes throughout Section 13.4.2.2 “NRST External Reset Control” replaced “ext_nreset” with “exter_nreset” Section 13.4.4.1 “General Reset”: replaced “A general reset occurs when a Power-on-reset is detected” with “A general reset occurs when a VDDIO Power-on-reset is detected” Updated Figure 13-3 “General Reset State” Section 13.4.4.2 “Backup Reset”: replaced “core_backup_reset” with “vddcore_nreset”; reworded content to improve comprehension Section 13.5.1 “Reset Controller Control Register” updated EXTRST value 1 description Updated Section 13.5.2 “Reset Controller Status Register” Updated Section 13.5.3 “Reset Controller Mode Register” 12-Jun-2014 Modified Section 13.2 “Embedded Characteristics” and Section 13.4.4.4 “Software Reset” Section 14. “Real-time Timer (RTT)” General editorial and formatting changes throughout Figure 14-1, “Real-time Timer” replaced “16-bit Divider” with “16-bit Prescaler Revised Section 14.4 “Functional Description” Section 14.5.1 “Real-time Timer Mode Register”: updated RTPRES field description Section 14.5.4 “Real-time Timer Status Register”: updated RTTINC bit description Updated Section 14.4 “Functional Description”. Modified ALMV description in Section 14.5.2 “Real-time Timer Alarm Register”. Updated Figure 14-2 “RTT Counting” Section 15. “Real-time Clock (RTC)” Section 15.1 “Description””: updated to explain need for accurate external 32.768 kHz clock Harmonized write protection register naming throughout Updated Section 15.2 “Embedded Characteristics” Section 15.5.6 “Updating Time/Calendar””: reworded second paragraph for clarity Section 15.5.7 “RTC Accurate Clock Calibration”: replaced sentence “The period interval between 2 correction events is programmable in order to cover the possible crystal oscillator clock variations” with “According to the CORRECTION, NEGPPM and HIGHPPM values configured in the RTC Mode Register (RTC_MR), the period interval between two correction events differs” Updated Section 15.6.2 “RTC Mode Register” Modified Section 15.6.1 “RTC Control Register”, Section 15.6.5 “RTC Time Alarm Register” and Section 15.6.6 “RTC Calendar Alarm Register” : added sentence “This register can only be written if the WPEN bit is cleared in the System Controller Write Protection Mode Register (SYSC_WPMR)” 1442 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 51-5. SAM4E Datasheet Rev. 11157D 12-Jun-14 Revision history (Continued) Doc. Date Changes Section 1. “Watchdog Timer (WDT)” Figure 1-2, “Watchdog Behavior”, “WDT_CR = WDRSTT” replaced with “WDT_CR.WDRSTT=1” Section 17. “Reinforced Safety Watchdog Timer (RSWDT)” General formatting and editorial changes throughout Section 17.2 “Embedded Characteristics”: added bullet “Windowed Watchdog” Figure 17-2 “Watchdog Behavior” replaced “RSWDT_CR = WDRSTT” with “RSWDT_CR.WDRSTT = 1” Added notes in Section 17.5.2 “Reinforced Safety Watchdog Timer Mode Register” and updated Section 17.4 “Functional Description”. KEY is now decribed with a table in Section 17.5.1 “Reinforced Safety Watchdog Timer Control Register” Section 18. “Supply Controller (SUPC)” Added Tamper detection and Anti-tampering (Section 18.2 “Embedded Characteristics”, Section 18.4.7.3 “Low-power Tamper Detection and Anti-Tampering”) “Low-power Debouncer Inputs” section restructured: content modified and included in Section 18.4.7.3 “Low-power Tamper Detection and Anti-Tampering” Updated Section 18.3 “Block Diagram” and Figure 18-4 “Wake-up Sources” Updated Section 18.4.2 “Slow Clock Generator”, Section 18.4.4 “Supply Monitor” Updated Section 18.4.4 “Supply Monitor” Section 18.4.6.2 “Brownout Detector Reset” : Reworked 1st paragraph Added Section 18.4.8 “Register Write Protection” and Section 18.4.9 “Register Bits in Backup Domain (VDDIO)” In Section 18.5.9 “System Controller Write Protection Mode Register”: updated register name and bit descriptions. 12-Jun-2014 Section 18-5 “Low-power Debouncer (Push-to-Make Switch, Pull-up Resistors)”, Section 18-6 “Low-power Debouncer (Push-to-Break Switch, Pull-down Resistors)” and Section 18-7 “Using WKUP Pins Without RTCOUTx Pins”: Modified pin names. Updated Section 18.5.3 “Supply Controller Control Register”, Section 18.5.4 “Supply Controller Supply Monitor Mode Register”, Section 18.5.6 “Supply Controller Wake-up Mode Register”, Section 18.5.7 “Supply Controller Wake-up Inputs Register”, Section 18.5.8 “Supply Controller Status Register” and Section 18.5.9 “System Controller Write Protection Mode Register” (added information on VDDIO domain and WPEN bit) Section 18.4.7.2 “Wake-up Inputs” corrected WKUPPLx pins to WKUPTx pins. WKUP0, WKUP15 references changed to WKUPx. Section 19. “General Purpose Backup Registers (GPBR)” Minor editorial changes Section 19-1 “Register Mapping”: added reset value 0x00000000 for all registers SYS_GPBRx Section 19.3.1 “General Purpose Backup Register x”: inserted sentence “These registers are reset at first power-up and on each loss of VDDBU” below bitmap Section 20. “Enhanced Embedded Flash Controller (EEFC)” Reworked section Section 20.4.3.2 “Write Commands” and all sub-sections with figures Figure 20-7 “Full Page Programming” to Figure 20-9 “Programming Bytes in the Flash” Modified Section 20.4.3.3 “Erase Commands” In Section 20.5.2 “EEFC Flash Command Register”, changed the description of FARG field Replaced NVIC by “interrupt controller” everywhere in the document. Revised all figures in the section. Section 21. “Fast Flash Programming Interface (FFPI)” Modified Table 21-1 “Signal Description List” (removed references to PGMEN2) SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1443 Table 51-5. SAM4E Datasheet Rev. 11157D 12-Jun-14 Revision history (Continued) Doc. Date Changes Section 22. “Cortex M Cache Controller (CMCC)” Modified access rights for “Cache Controller Monitor Configuration Register” and “Cache Controller Monitor Enable Register” Modified reset value for “Cache Controller Monitor Status Register” Removed reset values for write-only registers Section 24. “SAM-BA Boot Program for SAM4E Microcontrollers” Modified frequency values in Section 24.2 “Embedded Characteristics” and Section 24.3 “Hardware and Software Constraints” (“,” replaced with “.”) Section 25. “DMA Controller (DMAC)” Modified Section 25.2 “Embedded Characteristics” (added Section 25.3 “DMA Controller Peripheral Connections”) ARB_CFG described with a table in Section 25.8.1 “DMAC Global Configuration Register” WPKEY described with a table in Section 25.8.19 “DMAC Write Protect Mode Register” Section 26. “Peripheral DMA Controller (PDC)” Replaced “on- and/or off-chip” with “target” in Section 26.1 “Description” and Section 26.5.2 “Memory Pointers”. Added Section 26.3 “Peripheral DMA Controller Connections” Added last paragraph to Section 26.5.1 “Configuration” specifying that the peripheral clock must be enabled for a PDC transfer Section 29. “Power Management Controller (PMC)” Added Section 28.5.5 “Switching Main Clock between the Main RC Oscillator and Fast Crystal Oscillator”. Reworked Section 29.13 “Main Clock Failure Detector” for clarity. 12-Jun-2014 Updated the list of write protected registers Reworked Section 29.11 “Fast Startup” and added Section 29.12 “Startup from Embedded Flash” Enhanced Section 29.14 “Programming Sequence” Section 29.16 “Register Write Protection”: Changed section title and re-worked content. In Section 29.17.20 “PMC Write Protection Mode Register” and Section 29.17.21 “PMC Write Protection Status Register”: Changed register names and modified bit and field descriptions. Updated Figure 28-1, “Clock Generator Block Diagram”, Figure 28-3, “Main Clock Block Diagram”Figure 28-4, “Divider and PLL Block Diagram” Section 29.17.8 “PMC Clock Generator Main Clock Frequency Register”: Added equation to MAINF description. Section 30. “Advanced Encryption Standard (AES)” Editorial and minor formatting changes throughout Section 30.4.1 “Operation Modes” updated text at end of section Restructured Section 30.4.3 “Start Modes” to include Section 30.4.3.3 “DMA Mode” Restructured Section 30.4.4 “Last Output Data Mode” Section 30-3 “DMA transfer with LOD = 0”: repositioned rising edge of BTC (channel 0) Updated Section 30-4 “Last Output Data Mode Behavior versus Start Modes” In Section 30.6.2 “AES Mode Register”, updated LOD field description: Section 30.6.2 “AES Mode Register”: updated formula in PROCDLY field description In Section 30.6.10 “AES Initialization Vector Register x”, updated IV field description. Section 31. “Chip Identifier (CHIPID)” Corrected title for Section 31.3 “Chip Identifier (CHIPID) User Interface” 1444 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 51-5. SAM4E Datasheet Rev. 11157D 12-Jun-14 Revision history (Continued) Doc. Date Changes Section 32. “Controller Area Network (CAN)” Minor editorial formatting changes throughout MCK replaced with Peripheral clock Section 32.6.3 “Interrupt” and Section 32.8.1 “CAN Controller Initialization”: Replaced 2 “AIC” occurences with “interrupt controller. Updated Section 32.9.12 “CAN Write Protection Mode Register” Section 32-10 “Possible Initialization Procedure” replaced instance of “AIC” with “Interrupt Controller” Table 32-4 “Receive Mailbox Objects”: added missing title Table 32-5 “Transmit Mailbox Objects”: added missing title Section 32.7.4.1 “CAN Bit Timing Configuration”: moved three bullets describing the phase segments to precede the bullet “TIME QUANTAM” Section 33. “Parallel Input/Output Controller (PIO)” Minor editorial and formatting changes throughout Replaced all instances of “PIO clock” and “PIO controller clock” with “peripheral clock” “MCK” replaced with “Peripheral clock” as needed 12-Jun-2014 Section 33.5.1 “Pull-up and Pull-down Resistor Control” Changed information to specify that pull-up or pull-down can be set. Updated Section 33.5.3 “Peripheral A or B or C or D Selection” Section 33.5.10 “Input Edge/Level Interrupt”: edited, reorganized and reformatted example of interrupt generation Figure 33-3 “I/O Line Control Logic”: updated connectivity between clocks and glitch/debouncing filter block; renamed “Resynchronization Stage” to “Peripheral Clock Resynchronization Stage” Moved Section 33.5.15 “I/O Lines Programming Example” to appear before Section 33.5.16 “Register Write Protection” Section 33.5.16 “Register Write Protection”: Changed section title and revised content. Updated Section 33.6.46 “PIO Write Protection Mode Register”, Section 33.6.47 “PIO Write Protection Status Register” Section 33.6.51 “PIO Parallel Capture Interrupt Enable Register” added bit configuration values Section 33.6.52 “PIO Parallel Capture Interrupt Disable Register”: added bit configuration values Section 33.6.53 “PIO Parallel Capture Interrupt Mask Register”: added bit configuration values Section 33-6 “Input Debouncing Filter Timing”: inserted “(div_slclk)” under “Divided Slow Clock” waveform label Section 34. “Serial Peripheral Interface (SPI)” Reworked content. MCK replaced with peripheral clock Updated Section 34.3 “Block Diagram”, Section 34-3 “SPI Transfer Format (NCPHA = 1, 8 bits per transfer)” and Section 34-4 “SPI Transfer Format (NCPHA = 0, 8 bits per transfer)” Section 34.2 “Embedded Characteristics”: added bullet “Register Write Protection” Modified Section 34.7.3 “Master Mode Operations”, Modified Section 34.7.5 “Register Write Protection”, Section 34.8.10 “SPI Write Protection Mode Register” and Section 34.8.11 “SPI Write Protection Status Register” SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1445 Table 51-5. SAM4E Datasheet Rev. 11157D 12-Jun-14 Revision history (Continued) Doc. Date Changes Section 35. “Two-wire Interface (TWI)” Minor editorial and formatting changes throughout Added “Register Write Protection” in Section 35.2 “Embedded Characteristics” Updated Figure 35-1, “Block Diagram” Updated Section 35.6 “Product Dependencies”, Section 35.7.3.5 “Master Receiver Mode”, Section 35.7.3.7 “Using the Peripheral DMA Controller (PDC)” Restructured Section 35.7 “Functional Description” Table 35-7 “Register Mapping”: replaced TWI_THR reset value “0x00000000” with “–” Section 35.7.3.3 “Programming Master Mode” added one note Clock Synchronization in Write Mode” in Section 35.7.5.5 “Data Transfer”: at end of last sentence, changed “in Read mode” to “in Write mode” Added Section 35.7.5.6 “Using the Peripheral DMA Controller (PDC) in Slave Mode” Updated Section 35.7.6 “Register Write Protection” (changed title and content), Section 35.8.1 “TWI Control Register” Section 35.8.5 “TWI Clock Waveform Generator Register”: replaced tmck with tperipheral clock in CLDIV and CHDIV field descriptions 12-Jun-2014 Modified Section 35.8.6 “TWI Status Register”: replaced the description of “NACK”, used in master mode, with a new text (address byte is now referenced too) Updated Section 35.8.7 “TWI Interrupt Enable Register” (added first paragraph) Section 35.8.8 “TWI Interrupt Disable Register””: removed reset value from this write-only register Section 35.8.11 “TWI Transmit Holding Register”: removed reset value from this write-only register Section 35.8.12 “TWI Write Protection Mode Register”: replaced list of protectable registers with link to Section 35.7.6 “Register Write Protection” Modified Section 35.8.13 “TWI Write Protection Status Register” Replaced instances of “shift register” with “internal shifter” Section 36. “Universal Asynchronous Receiver Transmitter (UART)” Minor editorial/language changes throughout. Changed ‘MCK’ to ‘peripheral clock’ Updated Figure 36-1, “UART Functional Block Diagram” Corrected the offset for PDC registers in Section 36.6 “Universal Asynchronous Receiver Transmitter (UART) User Interface”. Added Section 36.5.5 “Register Write Protection”, and Section 36.6.10 “UART Write Protection Mode Register”. Updated Section 36.6 “Universal Asynchronous Receiver Transmitter (UART) User Interface” table with Write Protection Register. 1446 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 51-5. SAM4E Datasheet Rev. 11157D 12-Jun-14 Revision history (Continued) Doc. Date Changes Section 37. “Universal Synchronous Asynchronous Receiver Transmitter (USART)” Minor formatting and editorial changes throughout Replaced all references to ‘MCK’ with ’peripheral clock’ Modified Figure 37-1 “USART Block Diagram”: Removed ‘SLCK’. Added ‘Bus clock’. Section 37-2 “I/O Line Description”: removed sentences: ‘Note that it is not recommended to use the USART interrupt line in edge sensitive mode.’ and ‘Configuring the USART does not require the USART clock to be enabled.’ Updated Section 37.2 “Embedded Characteristics”: added bullet: ‘Digital Filter on Receive Line’ Table 37-2 “I/O Line Description”: corrected RXD type from Input to I/O. Section 37.3 “Block Diagram”: removed table “SPI Operating Mode” (information is already present in Table 37-2 “I/O Line Description”) Section 37.7 “Functional Description” Removed list of peripheral characteristics that was redundant with Section 37.2 “Embedded Characteristics”. 12-Jun-2014 In Section 37.7.3.4 “Manchester Decoder”, updated information on RXIDLEV bit in 4th paragraph. Section 37.7.5.3 “IrDA Demodulator”: replaced instances of “T” with “t” when used to express time Section 37.7.8.5 “Character Transmission”: INACK replaced by WRDBT. Section 37.7.10 “Register Write Protection”: Changed section title and reworked content. Updated Section 37.8.3 “USART Mode Register” Section 37-13 “IrDA Baud Rate Error”: added missing units of measure to column headers In Table 9-1, “Section 37-16 “Register Mapping”” changed register name to Manchester Configuration Register to be consistent throughout the document. Section 37.8.18 “USART FI DI RATIO Register” modified FI_DI_RATIO field from 16 bits to 11 bits. In Section 37.8.21 “USART Manchester Configuration Register”: added RXIDLEV as bit 31 and added bit description. Section 37.8.22 “USART Write Protection Mode Register” and Section 37.8.23 “USART Write Protection Status Register”: Changed register names and modified bit and field descriptions. Figure 37-37 “Example of RTS Drive with Timeguard”: Figure modified with RTS rising edge prior to start bit SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1447 Table 51-5. SAM4E Datasheet Rev. 11157D 12-Jun-14 Revision history (Continued) Doc. Date Changes Section 1. “Timer Counter (TC)” Editorial and formatting changes throughout Master clock” or “MCK” replaced with “peripheral clock”. Removed references to FILTER bit (register bit 19 now reserved in Section 39.7.16 “TC Block Mode Register”) Figure 1-16 “Synchronization with PWM” added value ‘1’ to all multiplexers Figure 1-18 “Input Stage”: replaced “FILTER” with “MAXFILTER > 0” Updated Figure 1-1 “Timer Counter Block Diagram” Updated Figure 1-5 “Example of Transfer with PDC” Erroneous description of TCCLKS table, rows 0 to 4 reworked in Section 1.7.2 “TC Channel Mode Register: Capture Mode” and Section 1.7.3 “TC Channel Mode Register: Waveform Mode”” Updated Section 1.7.16 “TC Block Mode Register” Section 1.6.16.3 “Direction Status and Change Detection”: rewrote sixth paragraph for clarity Section 1.6.16.4 “Position and Rotation Measurement” rewrote first paragraph for clarity Section 1.6.16.3 “Direction Status and Change Detection” replaced sentence “The speed can be read on TC_RA0 register in TC_CMR0” with “The speed can be read on field RA in register TC_RA0” Added Section 1.6.16.6 “Missing Pulse Detection and Auto-correction” Added configuration bit AUTOC in Section 1.7.16 “TC Block Mode Register” Section 1.6.18 “Register Write Protection” changed title (was “Write Protection System”); revised content 12-Jun-2014 Section 1.7.22 “TC Write Protection Mode Register”: modified register name (was “TC Write Protect Mode Register”); updated WPEN field description (replaced list of protectable registers with link to Section 1.6.18 “Register Write Protection”) Replaced “0xFFFF” with “2n-1” (with “n” representing counter size) in Section 1.6.12.1 “WAVSEL = 00”, Section 1.6.12.3 “WAVSEL = 01”, Figure 1-10 “WAVSEL = 10 without Trigger”, Section 1-14 “WAVSEL = 11 without Trigger”, Figure 1-11 “WAVSEL = 10 with Trigger” and Figure 1-15 “WAVSEL = 11 with Trigger”: Section 1. “Pulse Width Modulation Controller (PWM)” Editorial and formatting changes throughout. Updated Table 1-4 “Fault Inputs” Modified Section 1.6.2.2 “Comparator” Section 1.6.6 “Register Write Protection”: at end of section, replaced sentence “The WPVS and PWM_WPSR fields are automatically reset after reading the PWM_WPSR register” with “The WPVS and WPVSRC fields are automatically cleared after reading the PWM_WPSR” Section 1.7.9 “PWM Sync Channels Mode Register”: removed table row for value 3 “reserved” in UPDM field description WPKEY/WPCMD are now described with tables in Section 1.7.34 “PWM Write Protection Control Register” Corrected reset value of PWM_FPV2 in Table 1-7 “Register Mapping” (was 0x0000_0000; is 0x003F_003F) Deleted instances of “(fault input bit varies from 0 to Z-1)” from field descriptions in Section 1.7.24 “PWM Fault Mode Register”, Section 1.7.25 “PWM Fault Status Register” on page 1139, Section 1.7.26 “PWM Fault Clear Register” and Section 1.7.28 on page 1142 Updated Section 1.7.34 “PWM Write Protection Control Register” on page 1148 1448 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 51-5. SAM4E Datasheet Rev. 11157D 12-Jun-14 Revision history (Continued) Doc. Date Changes Section 40. “High Speed MultiMedia Card Interface (HSMCI)” Minor formatting and editorial changes throughout Figure 40-1, “Block Diagram (4-bit configuration)”: added “(4-bit configuration)” to title; added missing note below figure Modified Section 40.8.1 “Command - Response Operation” Section 40.13 “Register Write Protection” changed title (was “Write Protection Registers”); revised content Section 40.14.17 “HSMCI Write Protection Mode Register”: modified register name (was HSMCI Write Protect Mode Register); replaced list of protectable registers with cross-reference to section “Register Write Protection” Section 40.14.18 “HSMCI Write Protection Status Register” modified register name (was HSMCI Write Protect Status Register) and updated description Section 41. “USB Device Port (UDP)” Minor editorial and formatting changes throughout Figure 41-1 “Block Diagram”: added “interrupt line” below “udp_int” Section 41.2 “Embedded Characteristics” on page 1158: replaced bullet “Integrated Pull-up on DP” with “Integrated Pull-up on DPP” added bullet “Integrated Pull-down on DDM”* Section 41.5.1 “USB Device Transceiver” on page 1161: reworded content for clarity Section 41-5 “USB Transfer Events” on page 1163: restructured table and reorganized contents Section 41.6.3 “Controlling Device States” on page 1173: replaced “may not consume more than 500 μA” with “must not consume more than 2.5 mA” 12-Jun-2014 Section 41.6.3.6 “Entering in Suspend State” on page 1174: replaced “must drain less than 500uA” with “must drain no more than 2.5 mA” Section 41.7.10 “UDP Endpoint Control and Status Register (CONTROL_BULK)”: changed EPTYPE[2:0] field configuration values from binary to decimal Section 41.7.11 “UDP Endpoint Control and Status Register (ISOCHRONOUS)””: changed EPTYPE[2:0] field configuration values from binary to decimal Section 42. “Ethernet MAC (GMAC)” Minor editorial and formatting changes throughout Updated Section 42.6.1.6 “Interrupts”: in first paragraph, deleted content “Depending on the overall system ... CPU enters the interrupt handler” and “Note that in the default ... be write-one-toclear if desired” In Section 42.6.2 “Statistics Registers”, deleted sentence “In order to reduce overall design area, the Statistics Registers may be optionally removed in the configuration file if they are deemed unnecessary for a particular design.” Section 42.7.1 “Network Control Register” removed bit RDS (“Read Snapshot” function not supported) Section 42.7.32 “Stacked VLAN Register”: added missing description to field ESVLAN Updated Section 42.7.27 “Type ID Match 1 Register”, Section 42.7.28 “Type ID Match 2 Register”, Section 42.7.29 “Type ID Match 3 Register” and Section 42.7.30 “Type ID Match 4 Register” (added EINDx bits and updated TID bit description Section 42.7.81 “1588 Timer Sync Strobe Seconds [31:0] Register” and Section 42.7.83 “1588 Timer Seconds [31:0] Register”: updated title and register name (GMAC_TSSSL and GMAC_TSL instead of GMAC_TSSS and GMAC_TS Updated Section 42.5.2 “1588 Time Stamp Unit” SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1449 Table 51-5. SAM4E Datasheet Rev. 11157D 12-Jun-14 Revision history (Continued) Doc. Date Changes Section 43. “Analog Comparator Controller (ACC)” Updated Figure 43-1 “Analog Comparator Controller Block Diagram” Added Table 43-1 “List of analog inputs” Updated Table 43-2 “ACC Pin List” ADx analog inputs replaced with AFEx_ADx external analog data inputs Section 43.1 “Description”, Section 43.6.2 “Analog Settings” and Section 43.6.4 “Fault Mode”: Updated section for clarity. Replaced Section “Write Protection System” with Section 43.6.5 “Register Write Protection”. Updated Section 43.7.8 “ACC Write Protection Mode Register” and Section 43.7.9 “ACC Write Protection Status Register”. Section 44. “Analog-Front-End Controller (AFEC)” General editorial and formatting changes throughout Updated Section 44.3 “Block Diagram” Updated Section 44.6.3 “Conversion Resolution” Added Section 44.6.5 “Conversion Results Format” Added the last paragraph (“Depending on the sign of the conversion...”) in ”Section 44.6.8 “Comparison Window” Updated Section 44.6.9 “Differential Inputs”, 12-Jun-2014 Section 44.6.13 “Enhanced Resolution Mode and Digital Averaging Function”, added two paragraphs (“Note that,...” and “As the consequence,...”) Updated Section 44.6.17 “Register Write Protection” Section 44-7 “Analog Full Scale Ranges in Single Ended/Differential Applications Versus Gain”: replaced instances of “vrefin” with “VADVREF” Updated Table 44-7 “Register Mapping”, added new registers and updated offsets for reserved registers ”Section 44.7.3 “AFEC Extended Mode Register”: added SIGNMODE (bits 29:28) and AFEMODE (bits 21:20) Section 44.7.14 “AFEC Overrun Status Register” added a note Section 44.7.15 “AFEC Compare Window Register”: -LOWTHRES: updated the field description -HIGHTRES: updated the field description Section 44.7.17 “AFEC Channel Calibration DC Offset Register” In OFFx bit description, replaced instances of “Vrefin/2” with “VADVREF/2” Modified DATA field description in Section 44.7.20 “AFEC Channel Data Register” Section 44.7.23 “AFEC Temperature Compare Window Register”: -TLOWTHRES: updated the field description -THIGHTRES: updated the field description Section 44.7.25 “AFEC Write Protection Mode Register” - modified the section title/register name (was “AFEC Write Protect Mode Register”)/content Section 44.7.26 “AFEC Write Protection Status Register”: updated WPVSRC field description 1450 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 51-5. SAM4E Datasheet Rev. 11157D 12-Jun-14 Revision history (Continued) Doc. Date Changes Section 44. “Digital-to-Analog Converter Controller (DACC)” Editorial and formatting changes throughout. MCK or Master clock replaced with Peripheral clock. Removed references to Sleep mode and refresh period Renamed “Features” chapter as “Embedded Characteristics” Updated Section 44.2 “Embedded Characteristics”” In Section 44.7.2 “DACC Mode Register”: - REFRESH bit replaced with ONE bit - Removed FASTWAKEUP bit and SLEEP bit Re-worked Section 44.6.7 “Register Write Protection” and associated registers and bit/field descriptions in Section 44.7.7 “DACC Interrupt Enable Register”, Section 44.7.8 “DACC Interrupt Disable Register” and Section 44.7.9 “DACC Interrupt Mask Register”: modified bit descriptions. Section 44.7.12 “DACC Write Protection Mode Register”” and Section 44.7.13 “DACC Write Protection Status Register” Section 46. “SAM4E Electrical Characteristics” 12-Jun-2014 Updated whole section Added reference to note 1 in Table 46-12 “Typical Current Consumption in Wait Mode (1)” title IO conditions modified in Table 46-2 “DC Characteristics” VDDIN replaced with VVDDIN for VDDIN voltage values VDDIO replaced with VVDDIO for VDDIO voltage values Modified Section 46.3.1 “Backup Mode Current Consumption” Modified Figure 46-7, “Measurement Setup for Wait Mode” Updated Section 46.7 “12-bit AFE (Analog Front End) Characteristics” and Figure 46-15 “12-bit AFE (Analog Front End) Diagram” Updated Section 46.8 “12-bit DAC Characteristics” Added Erase Pin Assertion Time in Table 46-68 “AC Flash Characteristics” “Marking”section moved to Section 48. Section 50. “Errata on SAM4E Devices” Added Section 50.1.3 “Flash” SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1451 Table 51-6. Doc. Date SAM4E Datasheet Rev. 11157C 25-Jul-2013 Revision History Changes Introduction In “Features” : - added information on Two-wire Interface in Peripherals section and Wake-on-LAN for EMAC - changed operating temperature range to 105°C Updated Table 1-1 “Configuration Summary” with TWI information In Section 4. “Package and Pinout”, added the FFPI signals to Table 4-1 “SAM4E 100-ball TFBGA Pinout”, Table 4-2 “SAM4E 144-ball LFBGA Pinout”, Table 4-3 “SAM4E 100-lead LQFP Pinout” and Table 4-4 “SAM4E 144-lead LQFP Pinout”. Updated Section 5.5 “Low-power Modes”. Added information on WFE. In Section 6.1 “General Purpose I/O Lines” on page 21, added information on GPIOs as analog input. Removed Section 7. “Processor and Architecture”. Removed Sections 11-4 to 11-16. Reordered introduction sections. Removed Note regarding PIOs and 144-pin package at bottom of Table 11-5, “Multiplexing on PIO Controller D (PIOD),” on page 40 and Table 11-6, “Multiplexing on PIO Controller E (PIOE),” on page 41. RSTC: In Section 15.3.6 “Reset Controller Status Register”, RSTTYP information corrected. RTT: Added notes in Section 16.4 “Functional Description”, Section 16.5.1 “Real-time Timer Mode Register” and in Section 16.5.2 “Real-time Timer Alarm Register”. 25-Jul-2013 RTC: In Section 18.5.3 “Alarm”, added new information and note. In Section 18.5.7 “RTC Accurate Clock Calibration”, updated information on temperature range. In Section 18.6.5 “RTC Time Alarm Register” and Section 18.6.6 “RTC Calendar Alarm Register”, added notes. WDT: In Section 19.1 “Description”, added information on slow clock at 32 kHz. In Section 19.2 “Embedded Characteristics”, added that Watchdog Clock is independent from Processor Clock. Moved note (WDD, WDV) from Section 19.5.3 “Watchdog Timer Status Register” to Section 19.5.2 “Watchdog Timer Mode Register”. EFC: In Section 22.4.3.5 “Lock Bit Protection”, added notes on FARG exceeding limits. Updated existing note in Section 22.4.3.6 “GPNVM Bit”. Added Section 22.4.3.3 “Optimized Partial Programming”. Added note on programming limitations in Section 22.4.3.2 “Write Commands”. FFPI: Removed information throughout on Serial Fast Flash Programming not available for device. CMCC: In Table 24.5 “Cortex M Cache Controller (CMCC) User Interface”, updated reset value of CMCC_SR. 1452 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 51-6. Doc. Date SAM4E Datasheet Rev. 11157C 25-Jul-2013 Revision History (Continued) Changes PMC: Section 30.1.4.2 “Slow Clock Crystal Oscillator”, replaced “...in MOSCSEL bit of CKGR_MOR,...” with “...in XTALSEL bit of SUPC_CR,...” in the last phrase of the 3d paragraph. Section 30.1.4.2 “Slow Clock Crystal Oscillator”, added references on the OSCSEL bit of PMC_SR in the 3d paragraph. Register names in Clock Generator: Replaced “PLL_MCKR” with “PMC_MCKR” and “PLL_SR” with “PMC_SR” in Section 30.1.5.5 “Software Sequence to Detect the Presence of Fast Crystal”. In Section 30.1.6.1 “Divider and Phase Lock Loop Programming”, 3rd bullet, replaced PMC_IER with PMC_SR. Deleted previous 4th bullet (was useless sentence “Disable and then enable the PLL...”). In Figure 30-3 “Main Clock Block Diagram” and Section 30.1.5.3 “3 to 20 MHz Crystal or Ceramic Resonator-based Oscillator” paragraph 5, replaced MOSCXTCNT with MOSCXTST. Added code example in step 1. of Section 30.2.13 “Programming Sequence”. Corrected reset value of CKGR_MOR register in Table 30-2, “Register Mapping”. 25-Jul-2013 USART: In Table 37-10 “Maximum Timeguard Length Depending on Baud Rate” and in Table 37-11 “Maximum Time-out Period”, modified 33400 baudrate to 38400. Updated Figure 37-22 “Parity Error” with corrected stop bit value. TC: In Section 38.1 “Description”, corrected reference to TIOA1 with TIOB1. In Section 38.7.3 “TC Channel Mode Register: Waveform Mode”, added note for ENETRG description. HSMCI: In Figure 39-8 “Read Functional Flow Diagram”, Figure 39-9 “Write Functional Flow Diagram” and Figure 39-10 “Multiple Write Functional Flow Diagram”, corrected HSMCI_MR to HSMCI_BLKR when referring to Block Length field that is not available in HSMCI_MR. Removed related Note 2. In Section 39.14.7 “HSMCI Block Register”, BLKLEN bit description, removed reference on accessiblity in Mode Register. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1453 Table 51-6. Doc. Date SAM4E Datasheet Rev. 11157C 25-Jul-2013 Revision History (Continued) Changes Electrical Characteristics Operating temperature is extended to 105°C. Changed/updated in: - Table 46-1 “Absolute Maximum Ratings*” - Section 46.2 “DC Characteristics” - Table 46-5 “VDDIO Supply Monitor” - Table 46-16 “32 kHz RC Oscillator Characteristics” - Table 46-17 “4/8/12 MHz RC Oscillators Characteristics” - Table 46-45 “Temperature Sensor Characteristics” - Table 46-58 “Embedded Flash Wait State VDDCORE set at 1.08V and VDDIO 1.62V to 3.6V @105C” - Table 46-59 “Embedded Flash Wait State VDDCORE set at 1.08V and VDDIO 2.7V to 3.6V @105C” - Table 46-60 “Embedded Flash Wait State VDDCORE set at 1.2V and VDDIO 1.62V to 3.6V @ 105C” - Table 46-61 “Embedded Flash Wait State VDDCORE set at 1.20V and VDDIO 2.7V to 3.6V @ 105C” 25-Jul-2013 - Table 46-62 “AC Flash Characteristics”. Added Program cycle time/Write page mode values. In Section 46.3 “Power Consumption”, added bullet with conditions of power consumption values. In Section 46.3.1.1 “Configuration A: Embedded Slow Clock RC Oscillator Enabled” and Section 46.3.1.2 “Configuration B: 32768 kHz Crystal Oscillator Enabled”, added bullet on BOD disabled. New values in Table 46-9 “Power Consumption for Backup Mode Configuration A and B” In Section 46.3.2.1 “Sleep Mode”, added bullet on VDDIO. In Section 46.3.2.2 “Wait Mode”, added bullet on VDDIO. New values in Table 46-12 “Typical Current Consumption in Wait Mode”. Ordering Information Table 48-1 “Ordering Codes for SAM4E Devices” updated with new ordering codes for parts at 105°C and for tape & reel Errata Added Section 49. “Errata on SAM4E Devices” that includes Section 49.2.1.1 “Watchdog Not Stopped in Wait Mode” and Section 49.2.2.1 “Unpredictable Behavior if BOD is Disabled, VDDCORE is Lost and VDDIO is Connected” 1454 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 51-7. Doc. Date SAM4E Datasheet Rev. 11157B 25-April-2013 Revision History Changes Introduction: Updated the section structure and added references to 100-ball TFBGA and 100-lead LQFP packages in: - Section 1. “Features” - Table 1-1 “Configuration Summary” - Figure 2-1 “SAM4E 100-pin Block Diagram” - Section 4.1 “100-ball TFBGA Package and Pinout” - Section 4.3 “100-lead LQFP Package and Pinout” - Table 11-1 “PIO available according to Pin Count” Added Analog Comparator (ACC) and Reinforced Safety Watchdog Timer (RSWDT) blocks in Figure 2-2 “SAM4E 144pin Block Diagram”. Updated the description of power supply pins in Section 5.1 “Power Supplies”. Updated Figure 11-3 “Power Management Controller Block Diagram”. Removed RC80M references in Figure 10-1 “System Controller Block Diagram” and Figure 11-2 “Clock Generator Block Diagram”. Add data on consumption and wake-up time in Table 5-1 “Low-power Mode Configuration Summary”. Removed “AT91SAM” from the document title and further on in the entire document (where appropriate). Replaced “Cortex™” references with “Cortex®“ in “Description” and further on in the entire document. Section 11.14 “Chip Identification”, replaced “Table 11-1. SAM4E Chip ID Register” with a cross-reference to the corresponding Table 14-1 “SAM4E Chip ID Registers” (Section 14. “Chip Identifier (CHIPID)”). Removed package dimension references in Section 4. “Package and Pinout”. 25-Apr-2013 Added a phrase on the flash write commands usage in Section 8.1.3.1 “Flash Overview” (the last paragraph). Updated package information in Section 11.2 “Peripheral Signal Multiplexing on I/O Lines”: - replaced “100/144 pin version” with “144 pin version” in Table 11-4 “Multiplexing on PIO Controller C (PIOC)” - removed “144 pin version” in Table 11-5 “Multiplexing on PIO Controller D (PIOD)” Updated Figure 7-1 “SAM4E Product Mapping”. Replaced GRX by GRX1 on line PD6 in Table 11-5 “Multiplexing on PIO Controller D (PIOD)”. CHIPID: Section 14.3 “Chip Identifier (CHIPID) User Interface”, updated the ARCH bitfield table in “ARCH: Architecture Identifier” (removed rows with not relevant information: 0x43, 0x88, 0x89, 0x8A, 0x93, 0x94, and 0x95). Section 14.2 “Embedded Characteristics”, replaced ‘0x0011_0201’ with ‘0x0012_0201’ and ‘0x0011_0209’ with ‘0x0012_0209’ in Table 14-1 “SAM4E Chip ID Registers”. Section 14.3.2 “Chip ID Extension Register”, updated value in the Flash Size table and removed package references in the Product Number table. RTT: Section 16.3 “Block Diagram”, replaced ‘CLKSRC’ source reference with ‘RTC1HZ’ in Figure 16-1 “Real-time Timer”. Updated the 4th and the 8th paragraphs in Section 16.4 “Functional Description” (“Setting the RTC 1 HZ clock to 1...” and “The RTTINC bit in RTT_SR is set...” respectively). Section 16.5.1 “Real-time Timer Mode Register”, added notes in “RTTDIS: Real-time Timer Disable” and “RTC1HZ: RealTime Clock 1Hz Clock Selection”. RSWDT: Added a new component: Section 17. “Reinforced Safety Watchdog Timer (RSWDT)”. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1455 Table 51-7. Doc. Date SAM4E Datasheet Rev. 11157B 25-April-2013 Revision History (Continued) Changes RTC: Section 18.2 “Embedded Characteristics”, added a new bullet "Safety/Security features”, right indented the 2 following bullets. Section 18.5 “Functional Description”, added the last paragraph (“The RTC can generate...”). SUPC: Updated Figure 20-1 “Supply Controller Block Diagram”. Updated the 2-nd paragraph in Section 20.4.7.4 “Low-power Tamper Detection Inputs” and placed this section just after Section 20.4.7.3 “Low-power Debouncer Inputs”. EFC: Typo fixed in Section 22.4.3.5 “GPNVM Bit” and added title in Section 22.4.3.6 “Calibration Bit”. Added notes when FARG exceeds limits in Section 22.4.3.4 “Lock Bit Protection” and reworked the existing note in Section 22.4.3.5 “GPNVM Bit”. FFPI: Removed duplicate and erroneous figures in: - Section 23.3.1 “Device Configuration” - Section 23.3.4.1 “Write Handshaking” - Section 23.3.4.2 “Read Handshaking” - Section 23.3.5.8 “Get Version Command” Fixed the section structure. MATRIX: 25-Apr-2013 Removed references to Special Function Registers (SFR) and to Bus Matrix Priority Registers B for Slaves. Updated sections: - Section 26.1 “Description” - Section 26.2 “Embedded Characteristics” - Section 26.12 “Bus Matrix (MATRIX) User Interface”: - Table 26-3 “Register Mapping” - Section 26.12.1 - Section 26.12.4 - Section 26.12.7 Updated register names to “MATRIX_MCFGx [x=0..6]” and so on in Section 26.12.1 “Bus Matrix Master Configuration Registers”, Section 26.12.2 “Bus Matrix Slave Configuration Registers”, and Section 26.12.3 “Bus Matrix Priority Registers A For Slaves”. Replaced the WPKEY bitfield description with the corresponding table in Section 26.12.7 “Write Protect Mode Register”. PMC: Section 30.2.16.9 “PMC Clock Generator PLLA Register”, removed “x8” in “PLLACOUNT: PLLA Counter” bitfield description. Section “”, replaced the KEY bitfield description with a table. Section 30.2.16.20 “PMC Write Protect Mode Register”, replaced the WPKEY bitfield description with a table. Updated the last paragraph in Section 30.1.5.2 “Fast RC Oscillator Clock Frequency Adjustment” and added the corresponding note in Section 30.2.16.8 “PMC Clock Generator Main Clock Frequency Register”. AES: Updated Section 31.4.4 “DMA Mode” and Section 31.4.7 “DMA Mode” (“PDC Mode” --> “DMA Mode”). Section 31.6.2 “AES Mode Register”, replaced the CKEY bitfield description with a table. 1456 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 51-7. Doc. Date SAM4E Datasheet Rev. 11157B 25-April-2013 Revision History (Continued) Changes PIO: Section 33.5 “Functional Description”, added pull-down resistor and registers in Figure 33-5 “Input Glitch Filter Timing”. Section 33.7.46 “PIO Write Protect Mode Register”, replaced the WPKEY bitfield description with a table. Added missing dashes for reserved registers in Table 33-3 “Register Mapping”. Replaced “DIVx” with “DIV” in Section 33.7.29 “PIO Slow Clock Divider Debouncing Register”. Updated the SCHMITTx bitfield description in Section 33.7.48 “PIO Schmitt Trigger Register” and updated the Delayx bitfield description in Section 33.7.49 “PIO I/O Delay Register”. SPI: Section 34.7.3.2 “Master Mode Flow Diagram”, added TDRE references in Figure 34-8 “PDC Status Register Flags Behavior”. Section 34.7.4 “SPI Slave Mode”, updated the next-to-last paragraph (“Then, a new data is loaded...”). Replaced offset 0x4C with 0x40, 0xE8 with 0xEC in Section 34.8 “Serial Peripheral Interface (SPI) User Interface”. 25-Apr-2013 USART: Added a paragraph on IRDA_FILTER programming criteria in Section 37.7.5.3 “IrDA Demodulator” and in the corresponding bitfield description in Section 37.8.20 “USART IrDA FILTER Register”. Section 37.8.18 “USART FI DI RATIO Register”, expanded FI_DI_RATIO bitfield to 16 bits in the register table. Added RXBUFF and TXBUFE bitfields and their descriptions in: - Section 37.8.6 “USART Interrupt Enable Register (SPI_MODE)” - Section 37.8.8 “USART Interrupt Disable Register (SPI_MODE)” - Section 37.8.10 “USART Interrupt Mask Register (SPI_MODE)” - Section 37.8.12 “USART Channel Status Register (SPI_MODE)” TC: Fixed a typo in Section 38.1 “Description”: “TIOA1” --> “TIOB1”. ACC: Added Table 42-2 “Analog Comparator Inputs”. SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1457 Table 51-7. Doc. Date SAM4E Datasheet Rev. 11157B 25-April-2013 Revision History (Continued) Changes AFEC: Fixed a typo in Section 43.7.25 “AFEC Write Protect Mode Register”: replaced ‘(“AFE” in ASCII)’ with ‘(“ADC” in ASCII)’ in the WPEN and WPKEY bitfield descriptions. Updated register tables (replaced bitfield data with “-” for bits from 16 to 23) in: - Section 43.7.6 “AFEC Channel Enable Register” - Section 43.7.7 “AFEC Channel Disable Register” - Section 43.7.8 “AFEC Channel Status Register” - Section 43.7.17 “AFEC Channel Calibration DC Offset Register” Updated the acronym from ‘AFE’ to ‘AFEC’ in the entire document (except of ‘AFE Controller’). Updated Section 43.2 “Embedded Characteristics”. Reworked Section Section 43.6.9 “Input Gain and Offset”: - updated the first and the last paragraphs - removed Table 42-7 Offset of the Sample and Hold Unit: OFFSET DIFF and Gain (G) - updated Figure 43-7 “Analog Full Scale Ranges in Single Ended/Differential Applications Versus Gain”. 25-Apr-2013 Rewritten Section 43.6.13 “Automatic Calibration”. Updated Section 43.7 “Analog-Front-End Controller (AFEC) User Interface”: - AFEC_CSELR, AFEC_COCR are declared as Read-write registers - “Channel DC Offset Register’ --> ‘Channel Calibration DC Offset Register” - updated “ANACH: Analog Change” bitfield description table in Section 43.7.2 “AFEC Mode Register” - updated the OFFx bitfield description and added a note in Section 43.7.17 “AFEC Channel Calibration DC Offset Register”. Updated the last paragraph in Section 43.6.3.1 “Enhanced Resolution Mode”. GMAC: Replaced "at all three speeds" by "at all supported speeds" in Section 44.1 “Description” and Section 44.2 “Embedded Characteristics”. Section 44.7.1 “Network Control Register”, removed the LB bitfield and its description and updated the LBL bitfield description (removed phrases: "Bit 11 of GMAC_R ... loopback mode." and "Local loopback functionality is optional."). Section 44.7.4 “User Register”, removed the BPDG, HDFC and RMII bitfields and their descriptions. Removed references to external FIFO/external FIFO interface in the entire document. 1458 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 Table 51-7. Doc. Date SAM4E Datasheet Rev. 11157B 25-April-2013 Revision History (Continued) Changes Electrical Characteristics: Added references to 100-ball TFBGA and 100-lead LQFP packages in Table 46-1 “Absolute Maximum Ratings*”. Updated data in: - Table 46-2 “DC Characteristics” - Table 46-3 “1.2V Voltage Regulator Characteristics” - Section 46.3.1.2 “Configuration B: 32768 kHz Crystal Oscillator Enabled” - Section 46.3.2.1 “Sleep Mode” - Section 46.3.2.2 “Wait Mode”, including Table 46-12 “Typical Current Consumption in Wait Mode” - Table 46-13 “Active Power Consumption with VDDCORE @ 1.2V running from Embedded Memory (IDDCORE- AMP1)” - Table 46-15 “Power Consumption on VDDCORE(1)” - Table 46-16 “32 kHz RC Oscillator Characteristics” - Table 46-27 “Analog Power Supply Characteristics” - Table 46-28 “Channel Conversion Time and ADC Clock” 25-Apr-2013 - Table 46-29 “External Voltage Reference Input” - Section 46.7.1 “ADC Resolution” - Section 46.7.2 “Static Performance Characteristics” - Section 46.7.3 “Dynamic Performance Characteristics” - Notes in Table 46-47 “I/O Characteristics” Added: - Figure 46-6 “Current Consumption in Sleep Mode (AMP1) versus Master Clock Ranges (Condition from Table 46-10)” - Figure 46-9 “Active Power Consumption with VDDCORE @ 1.2V” - Section 46.3.3.2 “SAM4E Active Total Power Consumption” - Figure 46-14 “12-bit AFE (Analog Front End) Diagram” Updated temperature range from “-40°C - +125°C” to “-40°C - +85°C” in Table 46-16 “32 kHz RC Oscillator Characteristics”. Added missing titles in: - Figure 46-11 “32.768 kHz Crystal Oscillator Schematics” - Figure 46-12 “3 to 20 MHz Crystal Oscillator Schematics” SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 1459 Table 51-7. Doc. Date SAM4E Datasheet Rev. 11157B 25-April-2013 Revision History (Continued) Changes Replaced “RES = 1” with “RES = 0” in Table 46-30 “ADC Resolution following Digital Averaging”. Updated Table 46-33 “Gain and Error Offset, 12-bit Mode, VDDIN 2.4V to 3.6V Supply Voltage Conditions”. Section 46.7.1.2 “Conditions @ 25 degrees with Gain =4”, replaced “fS = 1 kHz” with “fS = 1 MHz”. Section 46.11.3.2 “SPI Timings”, updated for better presentation the paragraph “Note that in SPI master mode,...”. Updated notes in Table 46-52 “SMC Write NCS Controlled (WRITE_MODE = 0)” and in Table 46-57 “EMAC MII Timings”. Section 46.8 “12-bit DAC Characteristics”, updated data in Table 46-41 “Static Performance Characteristics” and Table 46-42 “Dynamic Performance Characteristics”. 25-Apr-2013 Updated data in Table 46-62 “AC Flash Characteristics”. Mechanical Characteristics: Updated the section structure and added references on 100-ball TFBGA and 100-lead LQFP packages in: - Section 47.1 “100-ball TFBGA Package Drawing” - Section 47.3 “100-lead LQFP Package Drawing” Ordering Codes: Added references to 100-ball TFBGA and 100-lead LQFP packages in Table 48-1 “Ordering Codes for SAM4E Devices”. S Table 51-8. Doc. Date SAM4E Datasheet Rev. 11157A 14-Jan-2013 Revision History Changes 14-Jan-2013 Initial release 1460 SAM4E Series [DATASHEET] Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16 ARM Connected Logo XXXXXX Atmel Corporation 1600 Technology Drive, San Jose, CA 95110 USA T: (+1)(408) 441.0311 F: (+1)(408) 436.4200 | www.atmel.com © 2016 Atmel Corporation. / Rev.: Atmel-11157H-ATARM-SAM4E16-SAM4E8-Datasheet_31-Mar-16. Atmel®, Atmel logo and combinations thereof, Enabling Unlimited Possibilities®, and others are registered trademarks or trademarks of Atmel Corporation in U.S. and other countries. ARM®, ARM Connected® logo, and others are the registered trademarks or trademarks of ARM Ltd. Other terms and product names may be trademarks of others. DISCLAIMER: The information in this document is provided in connection with Atmel products. No license, express or implied, by estoppel or otherwise, to any intellectual property right is granted by this document or in connection with the sale of Atmel products. EXCEPT AS SET FORTH IN THE ATMEL TERMS AND CONDITIONS OF SALES LOCATED ON THE ATMEL WEBSITE, ATMEL ASSUMES NO LIABILITY WHATSOEVER AND DISCLAIMS ANY EXPRESS, IMPLIED OR STATUTORY WARRANTY RELATING TO ITS PRODUCTS INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTY OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE, OR NON-INFRINGEMENT. IN NO EVENT SHALL ATMEL BE LIABLE FOR ANY DIRECT, INDIRECT, CONSEQUENTIAL, PUNITIVE, SPECIAL OR INCIDENTAL DAMAGES (INCLUDING, WITHOUT LIMITATION, DAMAGES FOR LOSS AND PROFITS, BUSINESS INTERRUPTION, OR LOSS OF INFORMATION) ARISING OUT OF THE USE OR INABILITY TO USE THIS DOCUMENT, EVEN IF ATMEL HAS BEEN ADVISED OF THE POSSIBILITY OF SUCH DAMAGES. Atmel makes no representations or warranties with respect to the accuracy or completeness of the contents of this document and reserves the right to make changes to specifications and products descriptions at any time without notice. Atmel does not make any commitment to update the information contained herein. Unless specifically provided otherwise, Atmel products are not suitable for, and shall not be used in, automotive applications. Atmel products are not intended, authorized, or warranted for use as components in applications intended to support or sustain life. SAFETY-CRITICAL, MILITARY, AND AUTOMOTIVE APPLICATIONS DISCLAIMER: Atmel products are not designed for and will not be used in connection with any applications where the failure of such products would reasonably be expected to result in significant personal injury or death (“Safety-Critical Applications”) without an Atmel officer's specific written consent. Safety-Critical Applications include, without limitation, life support devices and systems, equipment or systems for the operation of nuclear facilities and weapons systems. Atmel products are not designed nor intended for use in military or aerospace applications or environments unless specifically designated by Atmel as military-grade. Atmel products are not designed nor intended for use in automotive applications unless specifically designated by Atmel as automotive-grade.
ATSAM4E8EA-ANR 价格&库存

很抱歉,暂时无法提供与“ATSAM4E8EA-ANR”相匹配的价格&库存,您可以联系我们找货

免费人工找货
ATSAM4E8EA-ANR
    •  国内价格 香港价格
    • 1+106.957171+12.97334
    • 25+97.3112325+11.80334
    • 100+88.15997100+10.69334

    库存:0