0
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心
发布
  • 发文章

  • 发资料

  • 发帖

  • 提问

  • 发视频

创作活动
AD9643-170EBZ

AD9643-170EBZ

  • 厂商:

    AD(亚德诺)

  • 封装:

    -

  • 描述:

    BOARD EVAL FOR AD9643-170

  • 数据手册
  • 价格&库存
AD9643-170EBZ 数据手册
Quick Start Guide for testing the AD9643 Analog-to-Digital Converter (ADC) Customer Evaluation Board Using the FPGA based Capture Board HSC-ADC-EVALCZ Figure 1: AD9643 Evaluation Board with HSC-ADC_EVALCZ Data Capture Board Equipment Needed ► Analog signal source and anti-aliasing filter ► Analog Clock Source ► PC ► USB 2.0 port recommended (USB 1.1-compatible) ► AD9643 evaluation board ► HSC-ADC-EVALCZ FPGA Based Data Capture Board Documents Needed ► AD9643 Datasheet ► VisualAnalog Converter Evaluation Tool User Manual, AN-905 ► High Speed ADC SPI Control Software User Manual, AN-878 ► Interfacing to High Speed ADCs via SPI, AN-877 Software Needed ► VisualAnalog ► SPIController All documents and software are available at http://www.analog.com/fifo. For any questions please send an email to highspeed.converters@analog.com. 1 Testing 1. Connect the AD9643 evaluation board and the HSC-ADC-EVALCZ board together as shown in Figure 1. 2. Connect one 6V, 2A switching power supply (such as the CUI EPS060250UH-PHP-SZ supplied) to the AD9643 board. 3. Make sure a jumper is installed on header J9 at the 2.5V position on the HSC-ADCEVALCZ evaluation board to set the FPGA I/O voltage to 2.5V. Connect one 6V, 2A switching power supply (such as the CUI EPS060250UH-PHP-SZ supplied) to the HSCADC-EVALCZ board. 4. Connect the HSC-ADC-EVALCZ board to the PC with a USB cable. (Connect to J6.) 5. On the ADC evaluation board, make sure that jumpers are installed on headers P105, P108, P104, P107, and P103 for the default setup. 6. On the ADC evaluation board, provide a clean, low jitter clock source to connector J506 at the desired ADC conversion rate. If the AD9643 input clock divider is used provide a clock into connector J506 at the appropriate rate which will be divided to your desired clock rate. The input clock level should be between 10dBm and 14dBm. 7. On the ADC evaluation board, use a clean signal generator with low phase noise to provide an input signal to the analog input at connector J301 (Channel A) and/or J303 (Channel B). Use a 1 m, shielded, RG-58, 50 Ω coaxial cable to connect the signal generator. For best results use a narrow-band, band-pass filter with 50 Ω terminations and an appropriate center frequency. (ADI uses TTE, Allen Avionics, and K&L band-pass filters.) In order for the input level to be near the ADC’s full scale, the generator level should be set to 8dBm to 12dBm – this level depends on the input frequency and any losses in bandpass filters. 8. Open VisualAnalog on the PC. “AD9643” should be listed in the status bar of the “New Canvas” window. Select the template that corresponds to the type of testing that you are performing. Select ‘Yes’ when VisualAnalog prompts for programming the FPGA. The ‘DONE’ LED should illuminate on the HSC-ADC-EVALCZ board indicating that the FPGA has been correctly programmed. (If Visual Analog does not prompt for programming the FPGA select the ADC Data Capture Settings window and click on the ‘Capture Board’ tab. In the FPGA box select program to configure the FPGA.) 9. Next open the SPI Controller software. If prompted for a configuration file, select the configuration file titled AD9643spiR03.cfg. If not, check the title bar of the window to see which configuration is loaded. If necessary, choose “Cfg Open” from the “File” menu and select one of the configuration files named above. Note that the CHIP ID(1) field may be filled whether the correct SPI Controller configuration file is loaded or not. 10. Click the New DUT button ( ) in SPI Controller. 11. Set the DCO Clk Delay Enable checkbox in the FLEXOUTPUT DELAY(17) panel on the ADCBase0 tab as shown below. In addition, set the DCO Clk Delay register to the ‘600ps 2 additional delay on DCO pin’. These settings align the output timing with the input timing on the capture FPGA. 12. Click the Run button ( ) in VisualAnalog. 13. Adjust the amplitude of the input signal so that the fundamental is at the desired level. (Examine the “Fund Power” reading in the left panel of the VisualAnalog FFT window.) 14. If desired, click on File>Save Form as in the FFT window to save the FFT plot. 3 Troubleshooting ► The FFT plot appears abnormal...  If you see a normal noise floor when you disconnect the signal generator from the analog input, be sure you are not overdriving the ADC. Reduce input level if necessary.  In VisualAnalog, Click on the Settings button in the “Input Formatter” block. Check that “Number Format” is set to the correct encoding (2’s compliment by default). ► The FFT plot appears normal, but performance is poor.  Make sure you are using an appropriate filter on the analog input.  Make sure the signal generators for the clock and the analog input are clean (low phase noise).  If you are using non-coherent sampling, change the analog input frequency slightly.  Make sure the SPI config file matches the product being evaluated. ► The FFT window remains blank after the Run button is clicked.  Make sure the evaluation board is securely connected to the HSC-ADC-EVALCZ board  Repeat steps 8 through 12.  Make sure the FPGA has been programmed by verifying that the ‘D6’ LED is illuminated on the HSC-ADC-EVALCZ board.  Make sure the correct FPGA program was installed. ► VisualAnalog indicates that the “FIFO capture timed out.”  Make sure all power and USB connections are secure.  Repeat steps 11 through 14.  Double check that the encode clock source is present at connector J506. Revision: 0 September 7, 2010 Revision: A December 7, 2010 4
AD9643-170EBZ 价格&库存

很抱歉,暂时无法提供与“AD9643-170EBZ”相匹配的价格&库存,您可以联系我们找货

免费人工找货