0
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心
发布
  • 发文章

  • 发资料

  • 发帖

  • 提问

  • 发视频

创作活动
ATSAME70Q20A-CNT

ATSAME70Q20A-CNT

  • 厂商:

    ACTEL(微芯科技)

  • 封装:

    LFBGA144

  • 描述:

    IC MCU 32BIT 1MB FLASH 144LFBGA

  • 数据手册
  • 价格&库存
ATSAME70Q20A-CNT 数据手册
SAM E70/S70/V70/V71 32-bit Arm Cortex-M7 MCUs with FPU, Audio and Graphics Interfaces, High-Speed USB, Ethernet, and Advanced Analog Features Core • Arm® Cortex®-M7 running at up to 300 MHz • 16 Kbytes of I-Cache and 16 Kbytes of D-Cache with Error Code Correction (ECC) • Single-precision and double-precision HW Floating Point Unit (FPU) • Memory Protection Unit (MPU) with 16 zones • DSP Instructions, Thumb®-2 Instruction Set • Embedded Trace Module (ETM) with instruction trace stream, including Trace Port Interface Unit (TPIU) Memories • Up to 2048 Kbytes embedded Flash with unique identifier and user signature for user-defined data • Up to 384 Kbytes embedded Multi-port SRAM • Tightly Coupled Memory (TCM) • 16 Kbytes ROM with embedded Bootloader routines (UART0, USB) and IAP routines • 16-bit Static Memory Controller (SMC) with support for SRAM, PSRAM, LCD module, NOR and NAND Flash with on-the-fly scrambling • 16-bit SDRAM Controller (SDRAMC) interfacing up to 128 MB and with on-the-fly scrambling System • Embedded voltage regulator for single-supply operation • Power-on-Reset (POR), Brown-out Detector (BOD) and Dual Watchdog for safe operation • Quartz or ceramic resonator oscillators: 3 MHz to 20 MHz main oscillator with failure detection, 12 MHz or 16 MHz needed for USB operations. Optional low-power 32.768 kHz for RTC or device clock • RTC with Gregorian calendar mode, waveform generation in low-power modes • RTC counter calibration circuitry compensates for 32.768 kHz crystal frequency variations • 32-bit low-power Real-time Timer (RTT) • High-precision Main RC oscillator with 12 MHz default frequency • 32.768 kHz crystal oscillator or Slow RC oscillator as source of low-power mode device clock (SLCK) • One 500 MHz PLL for system clock, one 480 MHz PLL for USB high-speed operations • Temperature Sensor • One dual-port 24-channel central DMA Controller (XDMAC) Low-Power Features • Low-power sleep, wait and backup modes, with typical power consumption down to 1.1 μA in Backup mode with RTC, RTT and wakeup logic enabled • Ultra low-power RTC and RTT • 1 Kbyte of backup RAM (BRAM) with dedicated regulator Peripherals © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1 SAM E70/S70/V70/V71 • • • • • • • • • • • • • • • • • • One Ethernet MAC (GMAC) 10/100 Mbps in MII mode and RMII with dedicated DMA. IEEE® 1588 PTP frames and 802.3az Energy-efficiency support. Ethernet AVB support with IEEE802.1AS Timestamping and IEEE802.1Qav credit-based traffic-shaping hardware support. USB 2.0 Device/Mini Host High-speed (USBHS) at 480 Mbps, 4-Kbyte FIFO, up to 10 bidirectional endpoints, dedicated DMA 12-bit ITU-R BT. 601/656 Image Sensor Interface (ISI) Two host Controller Area Networks (MCAN) with Flexible Data Rate (CAN-FD) with SRAM-based mailboxes, time-triggered and event-triggered transmission MediaLB® device with 3-wire mode, up to 1024 x Fs speed, supporting MOST25 and MOST50 networks Three USARTs, USART0, USART1, USART2, support LIN mode, ISO7816, IrDA®, RS-485, SPI, Manchester and Modem modes; USART1 supports LON mode. Five 2-wire UARTs with SleepWalking™ support Three Two-Wire Interfaces (TWIHS) (I2C-compatible) with SleepWalking support Quad I/O Serial Peripheral Interface (QSPI) interfacing up to 256 MB Flash and with eXecute-In-Place and on-the-fly scrambling Two Serial Peripheral Interfaces (SPI) One Serial Synchronous Controller (SSC) with I2S and TDM support Two Inter-IC Sound Controllers (I2SC) One High-speed Multimedia Card Interface (HSMCI) (SDIO/SD Card/e.MMC) Four Three-Channel 16-bit Timer/Counters (TC) with Capture, Waveform, Compare and PWM modes, constant on time. Quadrature decoder logic and 2-bit Gray Up/Down Counter for stepper motor Two 4-channel 16-bit PWMs with complementary outputs, Dead Time Generator and eight fault inputs per PWM for motor control, two external triggers to manage power factor correction (PFC), DC-DC and lighting control Two Analog Front-End Controllers (AFEC), each supporting up to 12 channels with differential input mode and programmable gain stage, allowing dual sample-and-hold (S&H) at up to 1.7 Msps. Offset and gain error correction feature. One 2-channel, 12-bit, 1 Msps-per-channel Digital-to-Analog Controller (DAC) with Differential and Over Sampling modes One Analog Comparator Controller (ACC) with flexible input selection, selectable input hysteresis Cryptography • True Random Number Generator (TRNG) • AES: 256-bit, 192-bit, 128-bit Key Algorithm, Compliant with FIPS PUB-197 Specifications • Integrity Check Monitor (ICM). Supports Secure Hash Algorithm SHA1, SHA224 and SHA256. I/O • Up to 114 I/O lines with external interrupt capability (edge- or level-sensitivity), debouncing, glitch filtering and On-die Series Resistor Termination • Five Parallel Input/Output Controllers (PIO) Voltage • Single supply voltage from 3.0V to 3.6V for Qualification AEC - Q100 Grade 2 Devices • Single Supply voltage from 1.7V to 3.6V for Industrial Temperature Devices Packages • LQFP144, 144-lead LQFP, 20x20 mm, pitch 0.5 mm • LFBGA144, 144-ball LFBGA, 10x10 mm, pitch 0.8 mm • TFBGA144, 144-ball TFBGA, 10x10 mm, pitch 0.8 mm • UFBGA144, 144-ball UFBGA, 6x6 mm, pitch 0.4 mm • LQFP100, 100-lead LQFP, 14x14 mm, pitch 0.5 mm • TFBGA100, 100-ball TFBGA, 9x9 mm, pitch 0.8 mm • VFBGA100, 100-ball VFBGA, 7x7 mm, pitch 0.65 mm • LQFP64, 64-lead LQFP, 10x10 mm, pitch 0.5 mm • QFN64, 64-pad QFN 9x9 mm, pitch 0.5 mm with wettable flanks © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 2 SAM E70/S70/V70/V71 Table of Contents Features......................................................................................................................................................... 1 1. Configuration Summary........................................................................................................................ 14 2. Ordering Information............................................................................................................................. 16 3. Block Diagram.......................................................................................................................................17 4. Signal Description................................................................................................................................. 21 5. Automotive Quality Grade..................................................................................................................... 28 6. Package and Pinout.............................................................................................................................. 29 6.1. 6.2. 6.3. 6.4. 6.5. 6.6. 7. Power Considerations........................................................................................................................... 44 7.1. 7.2. 7.3. 7.4. 7.5. 7.6. 7.7. 7.8. 8. Power Supplies.......................................................................................................................... 44 Power Constraints...................................................................................................................... 44 Voltage Regulator.......................................................................................................................45 Backup SRAM Power Switch..................................................................................................... 45 Active Mode................................................................................................................................46 Low-power Modes...................................................................................................................... 46 Wakeup Sources........................................................................................................................ 48 Fast Startup................................................................................................................................48 Input/Output Lines.................................................................................................................................49 8.1. 8.2. 8.3. 8.4. 9. 144-lead Packages.....................................................................................................................29 144-lead Package Pinout........................................................................................................... 30 100-lead Packages.....................................................................................................................36 100-lead Package Pinout........................................................................................................... 37 64-lead Package........................................................................................................................ 40 64-lead Package Pinout............................................................................................................. 40 General-Purpose I/O Lines.........................................................................................................49 System I/O Lines........................................................................................................................ 49 NRST Pin................................................................................................................................... 50 ERASE Pin................................................................................................................................. 50 Interconnect.......................................................................................................................................... 52 10. Product Mapping................................................................................................................................... 53 11. Memories.............................................................................................................................................. 54 11.1. Embedded Memories................................................................................................................. 54 11.2. External Memories..................................................................................................................... 60 12. Event System........................................................................................................................................ 61 12.1. Embedded Characteristics......................................................................................................... 61 12.2. Real-time Event Mapping........................................................................................................... 61 13. System Controller..................................................................................................................................65 13.1. System Controller and Peripherals Mapping..............................................................................65 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 3 SAM E70/S70/V70/V71 13.2. Power-on-Reset, Brownout and Supply Monitor........................................................................ 65 13.3. Reset Controller......................................................................................................................... 65 14. Peripherals............................................................................................................................................ 66 14.1. Peripheral Identifiers.................................................................................................................. 66 14.2. Peripheral Signal Multiplexing on I/O Lines................................................................................68 15. ARM Cortex-M7 (ARM)......................................................................................................................... 69 15.1. ARM Cortex-M7 Configuration................................................................................................... 69 16. Debug and Test Features......................................................................................................................70 16.1. 16.2. 16.3. 16.4. 16.5. 16.6. 16.7. Description................................................................................................................................. 70 Embedded Characteristics......................................................................................................... 70 Associated Documents...............................................................................................................70 Debug and Test Block Diagram..................................................................................................71 Debug and Test Pin Description................................................................................................. 71 Application Examples................................................................................................................. 72 Functional Description................................................................................................................73 17. SAM-BA Boot Program......................................................................................................................... 77 17.1. 17.2. 17.3. 17.4. 17.5. 17.6. Description................................................................................................................................. 77 Embedded Characteristics......................................................................................................... 77 Hardware and Software Constraints.......................................................................................... 77 Flow Diagram............................................................................................................................. 77 Device Initialization.....................................................................................................................78 SAM-BA Monitor.........................................................................................................................78 18. Fast Flash Programming Interface (FFPI).............................................................................................82 18.1. Description................................................................................................................................. 82 18.2. Embedded Characteristics......................................................................................................... 82 18.3. Parallel Fast Flash Programming............................................................................................... 82 19. Bus Matrix (MATRIX).............................................................................................................................90 19.1. 19.2. 19.3. 19.4. Description................................................................................................................................. 90 Embedded Characteristics......................................................................................................... 90 Functional Description................................................................................................................92 Register Summary......................................................................................................................96 20. USB Transmitter Macrocell Interface (UTMI)...................................................................................... 114 20.1. Description................................................................................................................................114 20.2. Embedded Characteristics....................................................................................................... 114 20.3. Register Summary....................................................................................................................115 21. Chip Identifier (CHIPID).......................................................................................................................118 21.1. Description................................................................................................................................118 21.2. Embedded Characteristics....................................................................................................... 118 21.3. Register Summary....................................................................................................................120 22. Enhanced Embedded Flash Controller (EEFC).................................................................................. 125 22.1. Description............................................................................................................................... 125 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 4 SAM E70/S70/V70/V71 22.2. 22.3. 22.4. 22.5. Embedded Characteristics....................................................................................................... 125 Product Dependencies............................................................................................................. 125 Functional Description..............................................................................................................125 Register Summary....................................................................................................................143 23. Supply Controller (SUPC)................................................................................................................... 151 23.1. 23.2. 23.3. 23.4. 23.5. Description............................................................................................................................... 151 Embedded Characteristics....................................................................................................... 151 Block Diagram.......................................................................................................................... 152 Functional Description..............................................................................................................153 Register Summary....................................................................................................................164 24. Watchdog Timer (WDT).......................................................................................................................175 24.1. 24.2. 24.3. 24.4. 24.5. Description............................................................................................................................... 175 Embedded Characteristics....................................................................................................... 175 Block Diagram.......................................................................................................................... 175 Functional Description..............................................................................................................176 Register Summary....................................................................................................................178 25. Reinforced Safety Watchdog Timer (RSWDT).................................................................................... 183 25.1. 25.2. 25.3. 25.4. 25.5. Description............................................................................................................................... 183 Embedded Characteristics....................................................................................................... 183 Block Diagram.......................................................................................................................... 184 Functional Description..............................................................................................................184 Register Summary....................................................................................................................186 26. Reset Controller (RSTC)..................................................................................................................... 191 26.1. 26.2. 26.3. 26.4. Description............................................................................................................................... 191 Embedded Characteristics....................................................................................................... 191 Block Diagram.......................................................................................................................... 191 Functional Description..............................................................................................................192 27. Real-time Clock (RTC)........................................................................................................................ 202 27.1. 27.2. 27.3. 27.4. 27.5. 27.6. Description............................................................................................................................... 202 Embedded Characteristics....................................................................................................... 202 Block Diagram.......................................................................................................................... 202 Product Dependencies............................................................................................................. 203 Functional Description..............................................................................................................203 Register Summary....................................................................................................................211 28. Real-time Timer (RTT)........................................................................................................................ 229 28.1. 28.2. 28.3. 28.4. 28.5. Description............................................................................................................................... 229 Embedded Characteristics....................................................................................................... 229 Block Diagram.......................................................................................................................... 229 Functional Description..............................................................................................................229 Register Summary....................................................................................................................232 29. General Purpose Backup Registers (GPBR)...................................................................................... 238 29.1. Description............................................................................................................................... 238 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 5 SAM E70/S70/V70/V71 29.2. Embedded Characteristics....................................................................................................... 238 29.3. Register Summary....................................................................................................................239 30. Clock Generator.................................................................................................................................. 241 30.1. 30.2. 30.3. 30.4. 30.5. 30.6. 30.7. Description............................................................................................................................... 241 Embedded Characteristics....................................................................................................... 241 Block Diagram.......................................................................................................................... 242 Slow Clock................................................................................................................................242 Main Clock................................................................................................................................243 PLLA Clock...............................................................................................................................247 UTMI PLL Clock....................................................................................................................... 248 31. Power Management Controller (PMC)................................................................................................ 249 31.1. Description............................................................................................................................... 249 31.2. Embedded Characteristics....................................................................................................... 249 31.3. Block Diagram.......................................................................................................................... 250 31.4. Host Clock Controller............................................................................................................... 250 31.5. Processor Clock Controller.......................................................................................................250 31.6. SysTick External Clock.............................................................................................................250 31.7. USB Full-speed Clock Controller..............................................................................................251 31.8. Core and Bus Independent Clocks for Peripherals.................................................................. 251 31.9. Peripheral and Generic Clock Controller..................................................................................251 31.10. Asynchronous Partial Wakeup................................................................................................ 252 31.11. Free-running Processor Clock.................................................................................................254 31.12. Programmable Clock Output Controller.................................................................................. 254 31.13. Fast Startup.............................................................................................................................254 31.14. Startup from Embedded Flash................................................................................................ 256 31.15. Main Crystal Oscillator Failure Detection................................................................................ 256 31.16. 32.768 kHz Crystal Oscillator Frequency Monitor...................................................................257 31.17. Recommended Programming Sequence................................................................................ 257 31.18. Clock Switching Details...........................................................................................................259 31.19. Register Write Protection........................................................................................................ 262 31.20. Register Summary.................................................................................................................. 264 32. Parallel Input/Output Controller (PIO)................................................................................................. 316 32.1. 32.2. 32.3. 32.4. 32.5. 32.6. Description............................................................................................................................... 316 Embedded Characteristics....................................................................................................... 316 Block Diagram.......................................................................................................................... 317 Product Dependencies............................................................................................................. 318 Functional Description..............................................................................................................318 Register Summary....................................................................................................................331 33. External Bus Interface.........................................................................................................................392 33.1. 33.2. 33.3. 33.4. 33.5. Description............................................................................................................................... 392 Embedded Characteristics....................................................................................................... 392 EBI Block Diagram................................................................................................................... 393 I/O Lines Description................................................................................................................ 393 Application Example.................................................................................................................395 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 6 SAM E70/S70/V70/V71 34. SDRAM Controller (SDRAMC)............................................................................................................400 34.1. 34.2. 34.3. 34.4. 34.5. 34.6. 34.7. Description............................................................................................................................... 400 Embedded Characteristics....................................................................................................... 400 Signal Description.................................................................................................................... 400 Software Interface/SDRAM Organization, Address Mapping...................................................401 Product Dependencies............................................................................................................. 402 Functional Description..............................................................................................................403 Register Summary....................................................................................................................409 35. Static Memory Controller (SMC)......................................................................................................... 425 35.1. Description............................................................................................................................... 425 35.2. Embedded Characteristics....................................................................................................... 425 35.3. I/O Lines Description................................................................................................................ 425 35.4. Multiplexed Signals.................................................................................................................. 426 35.5. Product Dependencies............................................................................................................. 426 35.6. External Memory Mapping....................................................................................................... 426 35.7. Connection to External Devices............................................................................................... 427 35.8. Application Example.................................................................................................................430 35.9. Standard Read and Write Protocols.........................................................................................432 35.10. Scrambling/Unscrambling Function........................................................................................ 439 35.11. Automatic Wait States............................................................................................................. 440 35.12. Data Float Wait States............................................................................................................ 443 35.13. External Wait...........................................................................................................................446 35.14. Slow Clock Mode.................................................................................................................... 450 35.15. Asynchronous Page Mode...................................................................................................... 452 35.16. Register Summary.................................................................................................................. 455 36. DMA Controller (XDMAC)................................................................................................................... 467 36.1. 36.2. 36.3. 36.4. 36.5. 36.6. 36.7. 36.8. 36.9. Description............................................................................................................................... 467 Embedded Characteristics....................................................................................................... 467 Block Diagram.......................................................................................................................... 468 DMA Controller Peripheral Connections.................................................................................. 468 Functional Description..............................................................................................................470 Linked List Descriptor Operation.............................................................................................. 473 XDMAC Maintenance Software Operations............................................................................. 476 XDMAC Software Requirements..............................................................................................476 Register Summary....................................................................................................................478 37. Image Sensor Interface (ISI)............................................................................................................... 523 37.1. 37.2. 37.3. 37.4. 37.5. Description............................................................................................................................... 523 Embedded Characteristics....................................................................................................... 524 Block Diagram.......................................................................................................................... 524 Product Dependencies............................................................................................................. 524 Functional Description..............................................................................................................525 38. GMAC - Ethernet MAC........................................................................................................................534 38.1. Description............................................................................................................................... 534 38.2. Embedded Characteristics....................................................................................................... 534 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 7 SAM E70/S70/V70/V71 38.3. 38.4. 38.5. 38.6. 38.7. 38.8. Block Diagram.......................................................................................................................... 535 Signal Interface........................................................................................................................ 535 Product Dependencies............................................................................................................. 536 Functional Description..............................................................................................................536 Programming Interface.............................................................................................................562 Register Summary....................................................................................................................567 39. USB High-Speed Interface (USBHS).................................................................................................. 711 39.1. 39.2. 39.3. 39.4. 39.5. 39.6. 39.7. Description................................................................................................................................711 Embedded Characteristics....................................................................................................... 711 Block Diagram.......................................................................................................................... 712 Signal Description.................................................................................................................... 712 Product Dependencies............................................................................................................. 712 Functional Description..............................................................................................................713 Register Summary....................................................................................................................735 40. High-Speed Multimedia Card Interface (HSMCI)................................................................................ 883 40.1. Description............................................................................................................................... 883 40.2. Embedded Characteristics....................................................................................................... 883 40.3. Block Diagram.......................................................................................................................... 884 40.4. Application Block Diagram....................................................................................................... 884 40.5. Pin Name List........................................................................................................................... 885 40.6. Product Dependencies............................................................................................................. 885 40.7. Bus Topology............................................................................................................................885 40.8. High-Speed Multimedia Card Operations.................................................................................887 40.9. SD/SDIO Card Operation......................................................................................................... 896 40.10. CE-ATA Operation...................................................................................................................896 40.11. HSMCI Boot Operation Mode..................................................................................................897 40.12. HSMCI Transfer Done Timings............................................................................................... 898 40.13. Register Write Protection........................................................................................................ 899 40.14. Register Summary.................................................................................................................. 900 41. Serial Peripheral Interface (SPI)......................................................................................................... 930 41.1. 41.2. 41.3. 41.4. 41.5. 41.6. 41.7. 41.8. Description............................................................................................................................... 930 Embedded Characteristics....................................................................................................... 930 Block Diagram.......................................................................................................................... 931 Application Block Diagram....................................................................................................... 931 Signal Description.................................................................................................................... 932 Product Dependencies............................................................................................................. 932 Functional Description..............................................................................................................932 Register Summary....................................................................................................................945 42. Quad Serial Peripheral Interface (QSPI).............................................................................................962 42.1. 42.2. 42.3. 42.4. 42.5. 42.6. Description............................................................................................................................... 962 Embedded Characteristics....................................................................................................... 962 Block Diagram.......................................................................................................................... 963 Signal Description.................................................................................................................... 963 Product Dependencies............................................................................................................. 963 Functional Description..............................................................................................................964 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 8 SAM E70/S70/V70/V71 42.7. Register Summary....................................................................................................................980 43. Two-wire Interface (TWIHS)..............................................................................................................1002 43.1. 43.2. 43.3. 43.4. 43.5. 43.6. 43.7. 43.8. Description............................................................................................................................. 1002 Embedded Characteristics..................................................................................................... 1002 List of Abbreviations............................................................................................................... 1003 Block Diagram........................................................................................................................ 1003 I/O Lines Description.............................................................................................................. 1003 Product Dependencies........................................................................................................... 1004 Functional Description............................................................................................................1004 Register Summary..................................................................................................................1041 44. Synchronous Serial Controller (SSC)................................................................................................1068 44.1. 44.2. 44.3. 44.4. 44.5. 44.6. 44.7. 44.8. 44.9. Description............................................................................................................................. 1068 Embedded Characteristics..................................................................................................... 1068 Block Diagram........................................................................................................................ 1069 Application Block Diagram..................................................................................................... 1069 SSC Application Examples.....................................................................................................1069 Pin Name List......................................................................................................................... 1071 Product Dependencies........................................................................................................... 1071 Functional Description............................................................................................................1072 Register Summary..................................................................................................................1083 45. Inter-IC Sound Controller (I2SC)........................................................................................................1111 45.1. 45.2. 45.3. 45.4. 45.5. 45.6. 45.7. 45.8. Description.............................................................................................................................. 1111 Embedded Characteristics...................................................................................................... 1111 Block Diagram.........................................................................................................................1112 I/O Lines Description...............................................................................................................1112 Product Dependencies............................................................................................................1112 Functional Description............................................................................................................ 1113 I2SC Application Examples.....................................................................................................1117 Register Summary..................................................................................................................1121 46. Universal Synchronous Asynchronous Receiver Transceiver (USART)........................................... 1136 46.1. 46.2. 46.3. 46.4. 46.5. 46.6. 46.7. Description..............................................................................................................................1136 Features................................................................................................................................. 1136 Block Diagram........................................................................................................................ 1138 I/O Lines Description.............................................................................................................. 1138 Product Dependencies........................................................................................................... 1139 Functional Description............................................................................................................ 1139 Register Summary..................................................................................................................1187 47. Universal Asynchronous Receiver Transmitter (UART).................................................................... 1259 47.1. 47.2. 47.3. 47.4. 47.5. 47.6. Description............................................................................................................................. 1259 Embedded Characteristics..................................................................................................... 1259 Block Diagram........................................................................................................................ 1259 Product Dependencies........................................................................................................... 1260 Functional Description............................................................................................................1260 Register Summary..................................................................................................................1269 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 9 SAM E70/S70/V70/V71 48. Media Local Bus (MLB).....................................................................................................................1283 48.1. 48.2. 48.3. 48.4. 48.5. 48.6. 48.7. Description............................................................................................................................. 1283 Embedded Characteristics..................................................................................................... 1284 Block Diagram........................................................................................................................ 1284 Signal Description.................................................................................................................. 1285 Product Dependencies........................................................................................................... 1285 Functional Description............................................................................................................1286 Register Summary..................................................................................................................1327 49. Controller Area Network (MCAN)......................................................................................................1361 49.1. 49.2. 49.3. 49.4. 49.5. 49.6. Description............................................................................................................................. 1361 Embedded Characteristics..................................................................................................... 1361 Block Diagram........................................................................................................................ 1362 Product Dependencies........................................................................................................... 1362 Functional Description............................................................................................................1363 Register Summary..................................................................................................................1388 50. Timer Counter (TC)........................................................................................................................... 1448 50.1. 50.2. 50.3. 50.4. 50.5. 50.6. 50.7. Description............................................................................................................................. 1448 Embedded Characteristics..................................................................................................... 1448 Block Diagram........................................................................................................................ 1449 Pin List....................................................................................................................................1450 Product Dependencies........................................................................................................... 1450 Functional Description............................................................................................................1450 Register Summary..................................................................................................................1472 51. Pulse Width Modulation Controller (PWM)........................................................................................1504 51.1. 51.2. 51.3. 51.4. 51.5. 51.6. 51.7. Description............................................................................................................................. 1504 Embedded Characteristics..................................................................................................... 1504 Block Diagram........................................................................................................................ 1506 I/O Lines Description.............................................................................................................. 1506 Product Dependencies........................................................................................................... 1507 Functional Description............................................................................................................1508 Register Summary..................................................................................................................1548 52. Analog Front-End Controller (AFEC)................................................................................................ 1612 52.1. 52.2. 52.3. 52.4. 52.5. 52.6. 52.7. Description............................................................................................................................. 1612 Embedded Characteristics..................................................................................................... 1612 Block Diagram........................................................................................................................ 1613 Signal Description.................................................................................................................. 1613 Product Dependencies........................................................................................................... 1614 Functional Description............................................................................................................1614 Register Summary..................................................................................................................1630 53. Digital-to-Analog Converter Controller (DACC).................................................................................1664 53.1. 53.2. 53.3. 53.4. Description............................................................................................................................. 1664 Embedded Characteristics..................................................................................................... 1664 Block Diagram........................................................................................................................ 1665 Signal Description.................................................................................................................. 1665 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 10 SAM E70/S70/V70/V71 53.5. Product Dependencies........................................................................................................... 1666 53.6. Functional Description............................................................................................................1666 53.7. Register Summary..................................................................................................................1672 54. Analog Comparator Controller (ACC)............................................................................................... 1688 54.1. 54.2. 54.3. 54.4. 54.5. 54.6. 54.7. Description............................................................................................................................. 1688 Embedded Characteristics..................................................................................................... 1688 Block Diagram........................................................................................................................ 1688 Signal Description.................................................................................................................. 1689 Product Dependencies........................................................................................................... 1689 Functional Description............................................................................................................1689 Register Summary..................................................................................................................1691 55. Integrity Check Monitor (ICM)........................................................................................................... 1702 55.1. 55.2. 55.3. 55.4. 55.5. 55.6. Description............................................................................................................................. 1702 Embedded Characteristics..................................................................................................... 1703 Block Diagram........................................................................................................................ 1703 Product Dependencies........................................................................................................... 1704 Functional Description............................................................................................................1704 Register Summary..................................................................................................................1717 56. True Random Number Generator (TRNG)........................................................................................1736 56.1. 56.2. 56.3. 56.4. 56.5. 56.6. Description............................................................................................................................. 1736 Embedded Characteristics..................................................................................................... 1736 Block Diagram........................................................................................................................ 1736 Product Dependencies........................................................................................................... 1736 Functional Description............................................................................................................1737 Register Summary..................................................................................................................1738 57. Advanced Encryption Standard (AES).............................................................................................. 1745 57.1. 57.2. 57.3. 57.4. 57.5. Description............................................................................................................................. 1745 Embedded Characteristics..................................................................................................... 1745 Product Dependencies........................................................................................................... 1745 Functional Description............................................................................................................1746 Register Summary..................................................................................................................1757 58. Electrical Characteristics for SAM V70/V71...................................................................................... 1777 58.1. Absolute Maximum Ratings....................................................................................................1777 58.2. DC Characteristics................................................................................................................. 1778 58.3. Power Consumption............................................................................................................... 1783 58.4. Oscillator Characteristics........................................................................................................1787 58.5. PLLA Characteristics..............................................................................................................1791 58.6. PLLUSB Characteristics.........................................................................................................1791 58.7. USB Transceiver Characteristics............................................................................................1792 58.8. AFE Characteristics................................................................................................................1792 58.9. Analog Comparator Characteristics....................................................................................... 1800 58.10. Temperature Sensor..............................................................................................................1800 58.11. 12-bit DAC Characteristics.................................................................................................... 1801 58.12. Embedded Flash Characteristics.......................................................................................... 1803 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 11 SAM E70/S70/V70/V71 58.13. Timings .................................................................................................................................1804 59. Electrical Characteristics for SAM E70/S70...................................................................................... 1823 59.1. Absolute Maximum Ratings....................................................................................................1823 59.2. DC Characteristics................................................................................................................. 1824 59.3. Power Consumption............................................................................................................... 1829 59.4. Oscillator Characteristics........................................................................................................1833 59.5. PLLA Characteristics..............................................................................................................1837 59.6. PLLUSB Characteristics.........................................................................................................1837 59.7. USB Transceiver Characteristics............................................................................................1838 59.8. AFE Characteristics................................................................................................................1838 59.9. Analog Comparator Characteristics....................................................................................... 1846 59.10. Temperature Sensor..............................................................................................................1846 59.11. 12-bit DAC Characteristics.................................................................................................... 1847 59.12. Embedded Flash Characteristics.......................................................................................... 1849 59.13. Timings..................................................................................................................................1850 60. Schematic Checklist..........................................................................................................................1871 60.1. Power Supplies...................................................................................................................... 1871 60.2. General Hardware Recommendations................................................................................... 1877 60.3. Boot Program Hardware Constraints..................................................................................... 1889 61. Marking............................................................................................................................................. 1891 62. Packaging Information...................................................................................................................... 1892 62.1. LQFP144, 144-lead LQFP......................................................................................................1892 62.2. LFBGA144, 144-ball LFBGA.................................................................................................. 1893 62.3. TFBGA144, 144-ball TFBGA..................................................................................................1896 62.4. UFBGA144, 144-ball UFBGA.................................................................................................1898 62.5. LQFP100, 100-lead LQFP......................................................................................................1900 62.6. TFBGA100, 100-ball TFBGA..................................................................................................1901 62.7. VFBGA100, 100-ball VFBGA................................................................................................. 1903 62.8. LQFP64, 64-lead LQFP..........................................................................................................1904 62.9. QFN64, 64-pad QFN ............................................................................................................. 1905 62.10. Soldering Profile....................................................................................................................1905 63. Revision History................................................................................................................................ 1907 The Microchip Website.............................................................................................................................1940 Product Change Notification Service........................................................................................................1940 Customer Support.................................................................................................................................... 1940 Microchip Devices Code Protection Feature............................................................................................ 1940 Legal Notice............................................................................................................................................. 1940 Trademarks.............................................................................................................................................. 1941 Quality Management System................................................................................................................... 1941 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 12 SAM E70/S70/V70/V71 Worldwide Sales and Service...................................................................................................................1942 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 13 SAM E70/S70/V70/V71 Configuration Summary 1. Configuration Summary The SAM E70/S70/V70/V71 devices differ in memory size, package and features. The following tables summarize the different configurations. Table 1-1. SAM V71 Family Features (With CAN-FD, Ethernet AVB and Media LB) Analog USART/UART QSPI USART/SPI TWIHS HSMCI port/bits CAN-FD Ethernet AVB Media LB Image Sensor Interface (ISI) SPI0 SPI1 External Bus Interface (EBI) SDRAM Interface DMA Channels SSC ETM Timer Counter Channels Timer Counter Channels I/O I2SC I/O Pins 12-bit ADC Channels Analog Comparators DAC (Channels) 256 USB (see Note) 512 1024 Packages Multi-port SRAM Memory (KB) ATSAMV71Q19 ATSAMV71Q20 Device Pins Flash Memory (KB) Digital Peripherals 144 LQFP, TFBGA HS 3/5 Y 3 3 1/4 2 MII, RMII Y 12 bit Y Y Y Y 24 Y Y 12 36 2 114 24 Y 2 100 LQFP, TFBGA HS 3/5 Y 3 3 1/4 2 MII, RMII Y 12 bit Y N N N 24 Y Y 12 9 1 75 10 Y 2 64 LQFP - 2/3 SPI only 0 2 N 1 RMII Y 8-bit N N N N 24 Y Y 12 3 0 44 5 Y 1 384 ATSAMV71Q21 2048 ATSAMV71N19 512 ATSAMV71N20 1024 256 384 ATSAMV71N21 2048 ATSAMV71J19 512 ATSAMV71J20 1024 256 384 ATSAMV71J21 2048 Note:  HS = High-Speed and FS = Full-Speed. Table 1-2. SAM E70 Family Features (With CAN-FD and Ethernet AVB) Analog USART/SPI TWIHS HSMCI port/bits CAN-FD Ethernet AVB Image Sensor Interface (ISI) SPI0 SPI1 External Bus Interface (EBI) SDRAM Interface DMA Channels SSC ETM Timer Counter Channels Timer Counter Channels I/O I2SC I/O Pins 12-bit ADC Channels Analog Comparators DAC (Channels) 1024 QSPI ATSAME70Q20 USART/UART 256 USB (see Note) 512 Packages Multi-port SRAM Memory (KB) ATSAME70Q19 Pins Device Flash Memory (KB) Digital Peripherals 144 LQFP, LFBGA, UFBGA HS 3/5 Y 3 3 1/4 2 MII, RMII 12 bit Y Y Y Y 24 Y Y 12 36 2 114 24 Y 2 100 LQFP, TFBGA HS 3/5 Y 3 3 1/4 2 MII, RMII 12 bit Y N N N 24 Y Y 12 9 1 75 10 Y 2 64 LQFP - 2/3 SPI only 0 2 N 1 RMII 8-bit N N N N 24 Y Y 12 3 0 44 5 Y 1 384 ATSAME70Q21 2048 ATSAME70N19 512 ATSAME70N20 1024 256 384 ATSAME70N21 2048 ATSAME70J19 512 ATSAME70J20 1024 256 384 ATSAME70J21 2048 Note:  HS = High-Speed and FS = Full-Speed. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 14 SAM E70/S70/V70/V71 Configuration Summary Table 1-3. SAM V70 Family Features (With CAN-FD, Without Ethernet Control) Analog SPI0 SPI1 External Bus Interface (EBI) SDRAM Interface DMA Channels SSC ETM Timer Counter Channels Timer Counter Channels I/O I2SC I/O Pins 12-bit ADC Channels Analog Comparators DAC (Channels) 384 Image Sensor Interface (ISI) 256 1024 CAN-FD 512 ATSAMV70J20 Media LB ATSAMV70J19 HSMCI port/bits 384 TWIHS 256 USART/SPI 512 1024 QSPI ATSAMV70N19 ATSAMV70N20 USART/UART 256 384 USB (see Note 512 1024 Packages ATSAMV70Q19 ATSAMV70Q20 Pins Device Multi-port SRAM Memory (KB) Flash Memory (KB) Digital Peripherals 144 LQFP, TFBGA HS 3/5 Y 3 3 1/4 Y 2 12 bit Y Y Y Y 24 Y Y 12 36 2 114 24 Y 2 100 LQFP, TFBGA HS 3/5 Y 3 3 1/4 Y 2 12 bit Y N N N 24 Y Y 12 9 1 75 10 Y 2 64 LQFP - 2/3 SPI only 0 2 N N 1 8-bit N N N N 24 Y Y 12 3 0 44 5 Y 1 Note:  HS = High-Speed and FS = Full-Speed. Table 1-4. SAM S70 Family Features (Without CAN-FD, Ethernet AVB and Media LB) Analog USART/SPI TWIHS HSMCI port/bits Image Sensor Interface (ISI) SPI0 SPI1 External Bus Interface (EBI) SDRAM Interface DMA Channels SSC ETM Timer Counter Channels Timer Counter Channels I/O I2SC I/O Pins 12-bit ADC Channels Analog Comparators DAC Channels 1024 QSPI ATSAMS70Q20 USART/UART 256 USB (see Note) 512 Packages Multi-port SRAM Memory (KB) ATSAMS70Q19 Pins Device Flash Memory (KB) Digital Peripherals 144 LQFP, LFBGA, UFBGA HS 3/5 Y 3 3 1/4 12 bit Y Y Y Y 24 Y Y 12 36 2 114 24 Y 2 100 LQFP, TFBGA, VFBGA HS 3/5 Y 3 3 1/4 12 bit Y N N N 24 Y Y 12 9 1 75 10 Y 2 64 LQFP, QFN HS (for QFN only) 0/5 SPI only 0 2 N 8-bit N N N N 24 Y Y 12 3 0 44 5 Y 1 384 ATSAMS70Q21 2048 ATSAMS70N19 512 ATSAMS70N20 1024 256 384 ATSAMS70N21 2048 ATSAMS70J19 512 ATSAMS70J20 1024 256 384 ATSAMS70J21 2048 Note:  HS = High-Speed and FS = Full-Speed. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 15 SAM E70/S70/V70/V71 Ordering Information 2. Ordering Information ATSAM V71 Q 21 B - ANB Product Family Package Carrier (If Applicable) SAM = SMART ARM Microcontroller Product Series T = Tape and Reel Temperature Operating Range N = Industrial (-40 - +105°C) B = Grade 2 (-40 - +105°C) V71 = Cortex-M7 + Advanced Feature Set + Ethernet + 2x CAN-FD + Media LB V70 = Cortex-M7 + Advanced Feature Set +1 or 2x CAN-FD + Media LB E70 = Cortex-M7 + Advanced Feature Set + Ethernet + 2x CAN-FD S70 = Cortex-M7 + Advanced Feature Set Package Type A = LQFP AA = LQFP (1) C = LFBGA/TFBGA CF = UFBGA/VFBGA M = QFN Pin Count J = 64 pins N = 100 pins Q = 144 pins Device Variant Flash Memory Density A = Revision A, legacy version B = Revision B, current variant 21 = 2048 KB 20 = 1024 KB 19 = 512 KB Note:  1. LQFP package type for Grade 2 variants. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 16 SAM E70/S70/V70/V71 Block Diagram Block Diagram Refer to the table 1. Configuration Summary for detailed configurations of memory size, package and features of the SAM E70/S70/V70/V71 devices. C YN I_ IS D[1 I_ 1 PC :0 K, ] IS I_ M IS C I_ K H SY N C ,I SI _V S IS A[ N T U O IO VD D VD D ES W SW JT C AG LK SE L TC K/ SW S/ TD O TM TD I /T R AC TR AC TR EC AC LK ED 0. .3 D IO O 23 :0 W ], D N AIT [15 AN , N :0 ] R DO CS AS E 0. A2 , C , NA .3, 1 AS N NR A2 /NA , D DW D, 2/ ND Q E NW A0 NA AL M0 E /N ND E ..1 ,S A1 LB CL D 6/ , N E C SD U K, SD BA B Q C 0, SC KE A1 Q K, ,S 7/ M Q SD O DA Q S CS BA 10 M I/Q 1 Q ISO IO IO /Q 0 2. IO .3 1 H SD H M SD P Figure 3-1. SAM S70 144-pin Block Diagram System Controller TST XIN XOUT Voltage Regulator 3-20 MHz Crystal Oscillator PCK0..2 Serial Wire Debug/JTAG Boundary Scan 4/8/12 MHz RC Oscillator PMC Transceiver UPLL ETM NVIC PLLA ERASE WKUP0..13 In-Circuit Emulator TPIU Cortex-M7 Processor fMAX 300 MHz Backup 16 Kbytes DCache + ECC SUPC 32 kHz Crystal Oscillator XIN32 XOUT32 Backup RAM 1 Kbyte 32 kHz RC Oscillator Immediate Clear 256-bit SRAM (GPBR) RTCOUT0 RTCOUT1 RTC RTT VDDIO POR DTCM Flash Unique ID External Bus Interface TCM SRAM 2048 Kbytes 1024 Kbytes 512 Kbytes System RAM QSPI XIP XDMA 128–384 Kbytes 0–256 Kbytes AHBS AXIM Static Memory Controller (SMC) SDRAM Controller (SDRAMC) NAND Flash Logic Flash 0–256 Kbytes USBHS ISI DMA DMA M M 24-channel XDMA AXI Bridge M M M S S S S S S M M DMA ROM 12-layer Bus Matrix fMAX 150 MHz S Boot Program NRST SM ITCM 16 Kbytes ICache + ECC AHBP RSTC VDDPLL VDDCORE Multi-port SRAM TCM Interface FPU MPU WDT S RSWDT Peripheral Bridge M ICM/SHA PIOA/B/C/D/E XDMA 3x TWIHS XDMA 5x UART XDMA 3x USART XDMA PIO XDMA XDMA 2x SPI SSC XDMA HSMCI XDMA 2x I2SC XDMA 4x TC XDMA 2x PWM XDMA XDMA 2x 12-bit AFE ACC 12-bit DAC XDMA AES TRNG © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DA C 0 DA ..1 TR G EF N VR EF P VR SP I SP x_M Ix IS S _M O SP PIx O Ix _S SI _N P PC CK S0 ..3 M C M CK C M CD C DA A I2 SC 0..3 x I2 _M S C I2 Cx_ K SC C x K I2 _W SC S I2 x SC _D x_ I D O TC L TI K0. O .1 A TI 0. 1 O .1 PW B0 1 M ..1 1 PW Cx_ M PW PW PW Cx M M M _PW H0. C C x_ x_ M .3 PW PW L0 . M M .3 EX FI AF TR 0..2 E G AF x_A 0..1 Ex D _A TR D G 0. .1 1 TD R D TK R K TF R F R X U D0 TX .. D 4 0. .4 SC K TX 0.. D 2 R 0. XD .2 R 0.. D TS 2 SR R 0. CT 0..2 I0 .2 S ..2 , D 0 , D T ..2 C R0 D ..2 0 P ..2 PI IOD O C D 0 C .. E 7 PI N1 O . D .2 C C LK U 0. . K0 2 ..2 Temp Sensor TW TW D C 3. DS60001527F-page 17 SAM E70/S70/V70/V71 Block Diagram IS I_ IS D[1 I_ 1 IS PC :0] I_ K H ,I SY S I_ G TX NC MC G CK , IS K T , I_ G XE GR VS C R X Y R , G S, GT CK NC R G X , X G G ER CO DV RE R , L FC G X0 GR , G K M ..3 X C D R G C, , G DV SD TS G TX V U M 0. C D .3 O IO C M A P C NR AN X0 TX ..1 0. .1 A[ 23 : N 0], W D N AIT [15 AN , : N 0] R DO CS AS E 0 . A2 , C , NA .3, 1/ AS N NR A2 NA , D DW D, 2 N Q E NW A0 /NA DAL M0 E /N ND E ..1 ,S A1 LB CL D 6/ , N E C SD U K, B SD B A0 Q C SC ,A KE Q K, 17 ,S M Q /S O DA D Q S CS BA 10 M I/Q I 1 Q SO IO IO /Q 0 2. IO .3 1 H SD H M SD P O D VD VD D IO U T TD O /T R AC TM ES S/ W SW O D TC IO K/ SW JT C LK AG SE L TR TD I AC TR E C AC LK ED 0. .3 Figure 3-2. SAM E70 144-pin Block Diagram System Controller TST XIN XOUT Voltage Regulator 3-20 MHz Crystal Oscillator PCK0..2 Serial Wire Debug/JTAG Boundary Scan 4/8/12 MHz RC Oscillator PMC Transceiver Cortex-M7 Processor fMAX 300 MHz ETM NVIC PLLA Backup 16 Kbytes DCache + ECC WKUP0..13 SUPC 32 kHz Crystal Oscillator 32 kHz RC Oscillator RTCOUT0 RTCOUT1 Backup RAM 1 Kbyte TCM Interface ITCM DTCM AXIM 0–256 Kbytes External Bus Interface Flash 2048 Kbytes 1024 Kbytes 512 Kbytes Static Memory Controller (SMC) SDRAM Controller (SDRAMC) NAND Flash Logic GMAC MII/RMII USBHS ISI DMA DMA M M 2x MCAN FIFO XIP XDMA 128–384 Kbytes 0–256 Kbytes AHBS QSPI DMA DMA AXI Bridge RTT M M M S S S S S S M M M 24-channel XDMA POR VDDIO ROM Boot Program SM M 12-layer Bus Matrix fMAX 150 MHz RSTC NRST S DMA WDT S RSWDT Peripheral Bridge M ICM/SHA PIOA/B/C/D/E XDMA 3x TWIHS XDMA 5x UART XDMA 3x USART XDMA PIO XDMA XDMA 2x I2SC SSC XDMA HSMCI XDMA XDMA 2x SPI 4x TC XDMA 2x PWM XDMA XDMA 2x 12-bit AFE ACC 12-bit DAC XDMA AES TRNG © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DA C 0 DA ..1 TR G EF N VR EF P VR x I2 _M S C I2 Cx_ K SC C x K I2 _W S I2 Cx S SC _D x_ I D M O C M CK C M CD C DA A 0. .3 SP I SP x_M Ix IS SP _M O SP Ix O Ix _S SI _N P PC CK S0 ..3 TC LK TI 0. O .1 TI A0. 1 O .1 PW B0 1 M ..1 1 PW Cx_ P M W PW PW Cx M M M _PW H0. C C x_ x_ M .3 PW PW L0 . M M .3 EX FI 0 AF TR ..2 E G0 AF x_A ..1 Ex D _A TR D G 0. .1 1 TD R D TK R K TF R F I2 SC U R X U D0 TX .. D 4 0. .4 SC K TX 0.. D 2 R 0. XD .2 RT 0.. D SR S 2 R 0. CT 0..2 I0 .2 S ..2 , D 0 , D T ..2 R C 0 D ..2 0 P ..2 PI IOD O C D 0 C .. EN 7 PI O 1..2 D C C LK Temp Sensor TW TW D 0 C ..2 K0 ..2 VDDPLL VDDCORE Flash Unique ID TCM SRAM System RAM 16 Kbytes ICache + ECC AHBP Immediate Clear 256-bit SRAM (GPBR) RTC Multi-port SRAM FPU MPU ERASE XIN32 XOUT32 In-Circuit Emulator TPIU UPLL DS60001527F-page 18 SAM E70/S70/V70/V71 Block Diagram YN C M L M BC L L M BS K LB IG DA T IS I_ IS D[1 I_ 1 IS PC :0] I_ K H ,I SY S N I_M C IS K I_ VS SD H M SD P H A[ 23 : N 0], W D N AIT [15 AN , : N 0] R DO CS AS E 0 . A2 , C , NA .3, 1/ AS N NR A2 NA , D DW D, 2 N Q E NW A0 /NA DAL M0 E /N ND E ..1 ,S A1 LB CL D 6/ , N E C SD U K, B SD B A0 Q C SC ,A KE Q K, 17 ,S M Q /S O DA D Q S CS BA 10 M I/Q I 1 Q SO IO IO /Q 0 2. IO .3 1 O D VD VD D IO U T /T R AC TM ES S/ W SW O D TC IO K/ SW JT C LK AG SE L TD O TR TD I AC TR E C AC L K ED 0. .3 Figure 3-3. SAM V70 144-pin Block Diagram System Controller TST XIN XOUT Voltage Regulator 3-20 MHz Crystal Oscillator PCK0..2 Serial Wire Debug/JTAG Boundary Scan 4/8/12 MHz RC Oscillator PMC Transceiver ERASE MPU Backup SUPC 32 kHz RC Oscillator RTCOUT0 RTCOUT1 ITCM DTCM 0–256 Kbytes Static Memory Controller (SMC) SDRAM Controller (SDRAMC) NAND Flash Logic QSPI XIP XDMA USBHS ISI MLB DMA DMA DMA M M AXI Bridge 24-channel XDMA RTT RTC M M M S S S S S S M M M POR VDDIO ROM Boot Program SM M 12-layer Bus Matrix fMAX 150 MHz RSTC NRST S DMA M WDT S RSWDT Peripheral Bridge ICM/SHA PIOA/B/C/D/E XDMA 3x TWIHS XDMA 5x UART XDMA 3x USART XDMA PIO XDMA XDMA 2x I2SC SSC XDMA HSMCI XDMA 2x SPI XDMA 4x TC XDMA 2x PWM XDMA XDMA 2x 12-bit AFE ACC 12-bit DAC XDMA AES TRNG © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DA C 0 DA ..1 TR G EF N VR EF P VR x I2 _M S C I2 Cx_ K SC C x K I2 _W S I2 Cx S SC _D x_ I D M O C M CK C M CD C DA A 0. .3 SP I SP x_M Ix IS SP _M O SP Ix O Ix _S SI _N P PC CK S0 ..3 TC L TI K0. O .1 TI A0. 1 O .1 PW B0 1 M ..1 1 PW Cx_ P M W PW PW Cx M M M _PW H0. C C x_ x_ M .3 PW PW L0 . M M .3 EX FI AF TR 0..2 Ex G0 AF _A ..1 Ex D _A TR D G 0. .1 1 TD R D TK R K TF R F I2 SC U R X U D0 TX .. D 4 0. .4 SC K TX 0.. D 2 R 0. XD .2 RT 0.. D SR S 2 R 0. CT 0..2 I0 .2 S ..2 , D 0 , D T ..2 R C 0 D ..2 0 P ..2 PI IOD O C D 0 C .. EN 7 PI O 1..2 D C C LK Temp Sensor TW TW D 0 C ..2 K0 ..2 VDDPLL VDDCORE Flash 128–384 Kbytes 0–256 Kbytes AHBS AXIM Immediate Clear 256-bit SRAM (GPBR) External Bus Interface TCM SRAM System RAM 16 Kbytes ICache + ECC AHBP Flash Unique ID 1024 Kbytes 512 Kbytes Backup RAM 1 Kbyte 32 kHz Crystal Oscillator TCM Interface FPU 16 Kbytes DCache + ECC WKUP0..13 XIN32 XOUT32 Cortex-M7 Processor fMAX 300 MHz ETM NVIC PLLA Multi-port SRAM In-Circuit Emulator TPIU UPLL DS60001527F-page 19 SAM E70/S70/V70/V71 Block Diagram IS I_ IS D[1 I_ 1 IS PC :0] I_ K H ,I SY S I_ G TX NC MC G CK , IS K T , I_ G XE GR VS C R X Y R , G S, GT CK NC R G X , X G G ER CO DV RE R , L FC G X0 GR , G K M ..3 X C D R G C, , G DV SD TS G TX V U M 0. C D .3 O IO C M A P C NR AN X0 TX ..1 0. .1 M L M BC L L M BS K LB IG DA T A[ 23 : N 0], W D N AIT [15 AN , : N 0] R DO CS AS E 0 . A2 , C , NA .3, 1/ AS N NR A2 NA , D DW D, 2 N Q E NW A0 /NA DAL M0 E /N ND E ..1 ,S A1 LB CL D 6/ , N E C SD U K, B SD B A0 Q C SC ,A KE Q K, 17 ,S M Q /S O DA D Q S CS BA 10 M I/Q I 1 Q SO IO IO /Q 0 2. IO .3 1 H SD H M SD P O D VD VD D IO U T O /T R AC TM ES S/ W SW O D TC IO K/ SW JT C LK AG SE L TD TR TD I AC TR E C AC LK ED 0. .3 Figure 3-4. SAM V71 144-pin Block Diagram System Controller TST XIN XOUT Voltage Regulator 3-20 MHz Crystal Oscillator PCK0..2 Serial Wire Debug/JTAG Boundary Scan 4/8/12 MHz RC Oscillator PMC Transceiver Multi-port SRAM In-Circuit Emulator TPIU UPLL Backup 16 Kbytes DCache + ECC WKUP0..13 SUPC 32 kHz RC Oscillator RTCOUT0 RTCOUT1 ITCM 0–256 Kbytes System RAM 16 Kbytes ICache + ECC AHBP Immediate Clear 256-bit SRAM (GPBR) Static Memory Controller (SMC) SDRAM Controller (SDRAMC) NAND Flash Logic QSPI HSUSB ISI GMAC MII/RMII MLB DMA DMA FIFO XIP XDMA DMA DMA M M DMA AXI Bridge M M M S S S S S S M M M POR ROM Boot Program M 12-layer Bus Matrix fMAX 150 MHz RSTC NRST SM 2x MCAN RTT RTC VDDIO S 24-channel XDMA M DMA M WDT S RSWDT Peripheral Bridge ICM/SHA PIOA/B/C/D/E XDMA 3x TWIHS XDMA 5x UART XDMA 3x USART XDMA PIO XDMA XDMA 2x I2SC SSC XDMA HSMCI XDMA 2x SPI XDMA 4x TC XDMA 2x PWM XDMA XDMA 2x 12-bit AFE ACC 12-bit DAC XDMA AES TRNG © 2021 Microchip Technology Inc. and its subsidiaries DA C 0 DA ..1 TR G EF N VR EF P VR SC x I2 _M S C I2 Cx_ K SC C x K I2 _W S I2 Cx S SC _D x_ I D M O C M CK C M CD C DA A 0. .3 SP I SP x_M Ix IS SP _M O SP Ix O Ix _S SI _N P PC CK S0 ..3 TC LK TI 0. O .1 TI A0. 1 O .1 PW B0 1 M ..1 1 PW Cx_ P M W PW PW Cx M M M _PW H0. C C x_ x_ M .3 PW PW L0 . M M .3 EX FI AF TR 0..2 E G AF x_A 0..1 Ex D _A TR D G 0. .1 1 TD R D TK R K TF R F I2 U R X U D0 TX .. D 4 0. .4 SC K TX 0.. D 2 R 0. XD .2 RT 0.. D SR S 2 R 0. CT 0..2 I0 .2 S ..2 , D 0 , D T ..2 R C 0 D ..2 0 P ..2 PI IOD O C D 0 C .. EN 7 PI O 1..2 D C C LK Temp Sensor TW TW D 0 C ..2 K0 ..2 VDDPLL VDDCORE Flash 2048 Kbytes 1024 Kbytes 512 Kbytes 128–384 Kbytes 0–256 Kbytes AHBS AXIM External Bus Interface TCM SRAM DTCM Backup RAM 1 Kbyte 32 kHz Crystal Oscillator TCM Interface FPU MPU ERASE XIN32 XOUT32 Cortex-M7 Processor fMAX 300 MHz ETM NVIC PLLA Flash Unique ID Complete Datasheet DS60001527F-page 20 SAM E70/S70/V70/V71 Signal Description 4. Signal Description The following table provides details on signal names classified by peripherals. Table 4-1. Signal Description List Signal Name Function Type Active Level Voltage Reference Comments Power Supplies VDDIO Peripherals I/O Lines Power Supply Power – – – VDDIN Voltage Regulator Input, AFE, DAC, and Analog Comparator Power Supply(1) Power – – – VDDOUT Voltage Regulator Output Power – – – VDDPLL PLLA Power Supply Power – – – VDDPLLUSB USB PLL and Oscillator Power Supply Power – – – VDDCORE Powers the core, the embedded memories and the peripherals Power – – – GND, GNDPLL, GNDPLLUSB, GNDANA, GNDUTMI Ground Ground – – – VDDUTMII USB Transceiver Power Supply Power – – – VDDUTMIC USB Core Power Supply Power – – – GNDUTMI USB Ground Ground – – – Clocks, Oscillators, and PLLs XIN Main Oscillator Input Input – XOUT Main Oscillator Output Output – XIN32 Slow Clock Oscillator Input Input – XOUT32 Slow Clock Oscillator Output Output – PCK0–PCK2 Programmable Clock Output Output – VDDIO If any signal is not used, its PIO pin should be setup as an output, driven low, and attached to a dedicated trace on the board in order to reduce current consumption. – Real Time Clock RTCOUT0 Programmable RTC Waveform Output Output – RTCOUT1 Programmable RTC Waveform Output Output – © 2021 Microchip Technology Inc. and its subsidiaries – VDDIO Complete Datasheet – DS60001527F-page 21 SAM E70/S70/V70/V71 Signal Description ...........continued Signal Name Function Type Active Level Voltage Reference Comments Serial Wire Debug/JTAG Boundary Scan SWCLK/TCK Serial Wire Clock/Test Clock (Boundary scan mode only) Input – – TDI Test Data In (Boundary scan mode only) Input – – TDO/TRACESWO Test Data Out (Boundary scan mode only) Output – SWDIO/TMS Serial Wire Input/ Output /Test Mode Select (Boundary scan mode only) I/O / Input – – JTAGSEL JTAG Selection Input High – VDDIO – Trace Debug Port TRACECLK Trace Clock Output – TRACED0– TRACED3 Trace Data Output – PCK3 is used for ETM VDDIO – Flash Memory ERASE Flash and NVM Configuration Bits Erase Command Input High VDDIO – Reset/Test NRST Synchronous Microcontroller Reset I/O Low TST Test Select Input – VDDIO – – Universal Asynchronous Receiver Transceiver - UART(x=[0:4]) URXDx UART Receive Data Input – – UTXDx UART Transmit Data Output – – PCK4 can be used to generate the baud rate PIO Controller - PIOA - PIOB - PIOC - PIOD - PIOE PA0–PA31 Parallel I/O Controller A I/O – – PB0–PB9, PB12– PB13 Parallel I/O Controller B I/O – PC0– PC31 Parallel I/O Controller C I/O – PD0–PD31 Parallel I/O Controller D I/O – – – PE0–PE5 Parallel I/O Controller E I/O – – – VDDIO – – PIO Controller - Parallel Capture Mode © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 22 SAM E70/S70/V70/V71 Signal Description ...........continued Signal Name Function Type Active Level PIODC0–PIODC7 Parallel Capture Mode Data Input – PIODCCLK Parallel Capture Mode Clock Input – PIODCEN1– PIODCEN2 Parallel Capture Mode Enable Input – Voltage Reference Comments – VDDIO – – External Bus Interface D[15:0] Data Bus I/O – – – A[23:0] Address Bus Output – – – NWAIT External Wait Signal Input Low – – Static Memory Controller (SMC) NCS0–NCS3 Chip Select Lines Output Low – – NRD Read Signal Output Low – – NWE Write Enable Output Low – – NWR0–NWR1 Write Signal Output Low – – NBS0–NBS1 Byte Mask Signal Output Low – Used also for SDRAMC NAND Flash Logic NANDOE NAND Flash Output Enable Output Low – – NANDWE NAND Flash Write Enable Output Low – – SDR-SDRAM Controller Logic SDCK SDRAM Clock Output – – – SDCKE SDRAM Clock Enable Output – – – SDCS SDRAM Controller Chip Select Output – – – BA0–BA1 Bank Select Output – – – SDWE SDRAM Write Enable Output – – – RAS–CAS Row and Column Signal Output – – – SDA10 SDRAM Address 10 Line Output – – – High-Speed Multimedia Card Interface (HSMCI) MCCK Multimedia Card Clock O – – – MCCDA Multimedia Card Slot A Command I/O – – – MCDA0–MCDA3 Multimedia Card Slot A Data I/O – – – Universal Synchronous Asynchronous Receiver Transmitter (USART(x=[0:2])) © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 23 SAM E70/S70/V70/V71 Signal Description ...........continued Signal Name Function Type Active Level Voltage Reference SCKx USARTx Serial Clock I/O – – TXDx USARTx Transmit Data I/O – – RXDx USARTx Receive Data Input – – RTSx USARTx Request To Send Output – – CTSx USARTx Clear To Send Input – – DTRx USARTx Data Terminal Ready Output – – DSRx USARTx Data Set Ready Input – – DCDx USARTx Data Carrier Detect Input – – RIx USARTx Ring Indicator Input – – LONCOL1 LON Collision Detection Input – – Comments PCK4 can be used to generate the baud rate Synchronous Serial Controller (SSC) TD SSC Transmit Data Output – – – RD SSC Receive Data Input – – – TK SSC Transmit Clock I/O – – – RK SSC Receive Clock I/O – – – TF SSC Transmit Frame Sync I/O – – – RF SSC Receive Frame Sync I/O – – – Inter-IC Sound Controller (I2SC[1..0]) I2SCx_MCK Host Clock Output – VDDIO I2SCx_CK Serial Clock I/O – VDDIO I2SCx_WS I2S Word Select I/O – VDDIO I2SCx_DI Serial Data Input Input – VDDIO I2SCx_DO Serial Data Output Output – VDDIO GCLK[PID] can be used to generate the baud rate Image Sensor Interface (ISI) ISI_D0–ISI_D11 Image Sensor Data Input – – – ISI_MCK Image sensor Reference clock. No dedicated signal, PCK1 can be used. Output – – – ISI_HSYNC Image Sensor Horizontal Synchro Input – – – © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 24 SAM E70/S70/V70/V71 Signal Description ...........continued Signal Name Function Type Active Level Voltage Reference Comments ISI_VSYNC Image Sensor Vertical Synchro Input – – – ISI_PCK Image Sensor Data clock Input – – – Timer Counter (TC(x=[0:11])) TCLKx TC Channel x External Clock Input Input – – TIOAx TC Channel x I/O Line A I/O – – TIOBx TC Channel x I/O Line B I/O – – PCK6 can be used as an input clock PCK7 can be used as an input clock for TC0.Ch0 only Pulse-Width Modulation Controller (PWMC(x=[0..1])) PWMCx_PWMH0– PWMCx_PWMH3 Waveform Output High for Channel 0–3 PWMCx_PWML0– PWMCx_PWML3 Waveform Output Low for Channel 0–3 Output PWMCx_PWMFI0– PWMCx_PWMFI2 Fault Input PWMCx_PWMEXT RG0– PWMCx_PWMEXT RG1 External Trigger Input Output – – – – – Only output in complementary mode when dead time insertion is enabled. Input – – – Input – – – Serial Peripheral Interface (SPI(x=[0..1])) SPIx_MISO Host In Client Out I/O – – – SPIx_MOSI Host Out Client In I/O – – – SPIx_SPCK SPI Serial Clock I/O – – – SPIx_NPCS0 SPI Peripheral Chip Select 0 I/O Low – – SPIx_NPCS1– SPIx_NPCS3 SPI Peripheral Chip Select Output Low – – Quad I/O SPI (QSPI) QSCK QSPI Serial Clock Output – – – QCS QSPI Chip Select Output – – – QIO0–QIO3 QSPI I/O QIO0 is QMOSI Host Out Client In I/O – – – – – QIO1 is QMISO Host In Client Out Two-Wire Interface (TWIHS (x=0..2)) TWDx TWIx Two-wire Serial Data © 2021 Microchip Technology Inc. and its subsidiaries I/O – Complete Datasheet DS60001527F-page 25 SAM E70/S70/V70/V71 Signal Description ...........continued Signal Name Function Type Active Level Voltage Reference Comments TWCKx TWIx Two-wire Serial Clock I/O – – – Analog VREFP ADC, DAC and Analog Comparator Positive Reference Analog – – – VREFN ADC, DAC and Analog Comparator Negative Reference Must be connected to GND or GNDANA. Analog – – – 12-bit Analog Front End - (x=[0..1]) AFEx_AD0– AFEx_AD11 Analog Inputs Analog, Digital – – – AFEx_ADTRG ADC Trigger Input – VDDIO – 12-bit Digital-to-Analog Converter (DAC) DAC0–DAC1 Analog Output Analog, Digital – – – DATRG DAC Trigger Input – VDDIO – Fast Flash Programming Interface (FFPI) PGMEN0– PGMEN1 Programming Enabling Input – PGMM0–PGMM3 Programming Mode Input – – PGMD0–PGMD15 Programming Data I/O – – PGMRDY Programming Ready Output High PGMNVALID Data Direction Output Low PGMNOE Programming Read Input Low – PGMNCMD Programming Command Input Low – VDDIO VDDIO – – – USB High Speed (USBHS) HSDM USB High -Speed Data - HSDP USB High-Speed Data + VBG Bias Voltage Reference for USB – Analog, Digital – Analog – VDDUTMII – – – – Ethernet MAC 10/100 - GMAC GREFCK Reference Clock Input – – RMII only GTXCK Transmit Clock Input – – MII only GRXCK Receive Clock Input – – MII only GTXEN Transmit Enable Output – – – © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 26 SAM E70/S70/V70/V71 Signal Description ...........continued Signal Name Function Type Active Level Voltage Reference Comments GTX0 - GTX3 Transmit Data Output – – GTX0–GTX1 only in RMII GTXER Transmit Coding Error Output – – MII only GRXDV Receive Data Valid Input – – MII only GRX0 - GRX3 Receive Data Input – – GRX0–GRX1 only in RMII GRXER Receive Error Input – – – GCRS Carrier Sense Input – – MII only GCOL Collision Detected Input – – MII only GMDC Management Data Clock Output – – – GMDIO Management Data Input/ Output I/O – – – GTSUCOMP TSU timer comparison valid Output – – – – CANRX1 is available on PD28 for 100-pin only CANRX1 is available on PC12 for 144-pin only – PCK5 can be used for CAN clock PCK6 and PCK7 can be used for CAN timestamping Controller Area Network - MCAN (x=[0:1]) CANRXx CANTXx CAN Receive CAN Transmit Input Output – – MediaLB - (MLB) MLBCLK MLB Clock input – – – MLBSIG MLB Signal I/O – – – MLBDAT MLB Data I/O – – – Note:  1. Refer to the “Active Mode” section in the “Power Considerations” chapter for restrictions on the voltage range of analog cells. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 27 SAM E70/S70/V70/V71 Automotive Quality Grade 5. Automotive Quality Grade The SAM V70 and SAM V71 devices are developed and manufactured according to the most stringent requirements of the international standard ISO-TS-16949. This data sheet contains limited values extracted from the results of extensive characterization (temperature and voltage). The quality and reliability of the SAM V70 and SAM V71 has been verified during regular product qualification as per AEC-Q100 grade 2 (–40°C to +105°C). Table 5-1. Temperature Grade Identification for Automotive Products Temperature (°C) Temperature Identifier Comments –40°C to +105°C B AEC-Q100 Grade 2 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 28 SAM E70/S70/V70/V71 Package and Pinout 6. Package and Pinout In the tables that follow, the column “Reset State” indicates the reset state of the line with mnemonics. • “PIO” “/” signal Indicates whether the PIO Line resets in I/O mode or in peripheral mode. If “PIO” is mentioned, the PIO line is maintained in a static state as soon as the reset is released. As a result, the bit corresponding to the PIO line in the register PIO_PSR (Peripheral Status Register) resets low. If a signal name is mentioned in the “Reset State” column, the PIO line is assigned to this function and the corresponding bit in PIO_PSR resets high. This is the case of pins controlling memories, in particular the address lines, which require the pin to be driven as soon as the reset is released. • “I” / ”O” Indicates whether the signal is input or output state. • “PU” / “PD” Indicates whether pullup, pulldown, or nothing is enabled. • “ST” Indicates if Schmitt Trigger is enabled. 6.1 144-lead Packages 6.1.1 144-pin LQFP Package Outline Figure 6-1. Orientation of the 144-pin LQFP Package 144 1 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 29 SAM E70/S70/V70/V71 Package and Pinout 6.1.2 144-ball LFBGA/TFBGA Package Outline Figure 6-2. Orientation of the 144-ball LFBGA/TFBGA Package 6.1.3 144-ball UFBGA Package Outline Figure 6-3. Orientation of the 144-ball UFBGA Package 6.2 144-lead Package Pinout Table 6-1. 144-lead Package Pinout LQFP Pin LFBGA/ TFBGA Ball UFBGA Ball Power Rail I/O Type Primary Alternate PIO Peripheral A PIO Peripheral B PIO Peripheral C PIO Peripheral D Reset State Signal Dir Signal Dir Signal Dir Signal Dir Signal Dir Signal Dir Signal, Dir, PU, PD, HiZ, ST 102 C11 E11 VDDIO GPIO_AD PA0 I/O WKUP0(1) I PWMC0_ PWMH0 O TIOA0 I/O A17/BA1 O I2SC0_M CK O PIO, I, PU, ST 99 D12 F11 VDDIO GPIO_AD PA1 I/O WKUP1(1) I PWMC0_ PWML0 O TIOB0 I/O A18 O I2SC0_C K I/O PIO, I, PU, ST 93 E12 G12 VDDIO GPIO PA2 I/O WKUP2(1) I PWMC0_ PWMH1 O – – DATRG I – – PIO, I, PU, ST 91 F12 G11 VDDIO GPIO_AD PA3 I/O PIODC0(2) I TWD0 I/O LONCOL 1 I PCK2 O – – PIO, I, PU, ST 77 K12 L12 VDDIO GPIO PA4 I/O WKUP3/P IODC1(3) I TWCK0 O TCLK0 I UTXD1 O – – PIO, I, PU, ST © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 30 SAM E70/S70/V70/V71 Package and Pinout ...........continued LQFP Pin LFBGA/ TFBGA Ball UFBGA Ball Power Rail I/O Type Primary Alternate PIO Peripheral A PIO Peripheral B PIO Peripheral C PIO Peripheral D Reset State Signal Dir Signal Dir Signal Dir Signal Dir Signal Dir Signal Dir Signal, Dir, PU, PD, HiZ, ST 73 M11 N13 VDDIO GPIO_AD PA5 I/O WKUP4/P IODC2(3) I PWMC1_ PWML3 O ISI_D4 I URXD1 I – – PIO, I, PU, ST 114 B9 B11 VDDIO GPIO_AD PA6 I/O – – – – PCK0 O UTXD1 O – – PIO, I, PU, ST 35 L2 N1 VDDIO CLOCK PA7 I/O XIN32(4) I – – PWMC0_ PWMH3 O – – – – PIO, HiZ 36 M2 N2 VDDIO CLOCK PA8 I/O XOUT32(4) O PWMC1_ PWMH3 O AFE0_ADT RG I – – – – PIO, HiZ 75 M12 L11 VDDIO GPIO_AD PA9 I/O WKUP6/P IODC3(3) I URXD0 I ISI_D3 I PWMC0_ PWMFI0 I – – PIO, I, PU, ST 66 L9 M10 VDDIO GPIO_AD PA10 I/O PIODC4(2) I UTXD0 O PWMC0_ PWMEXTR G0 I RD I – – PIO, I, PU, ST 64 J9 N10 VDDIO GPIO_AD PA11 I/O WKUP7/P IODC5(3) I QCS O PWMC0_ PWMH0 O PWMC1_ PWML0 O – – PIO, I, PU, ST 68 L10 N11 VDDIO GPIO_AD PA12 I/O PIODC6(2) I QIO1 I/O PWMC0_ PWMH1 O PWMC1_ PWMH0 O – – PIO, I, PU, ST 42 M3 M4 VDDIO GPIO_AD PA13 I/O PIODC7(2) I QIO0 I/O PWMC0_ PWMH2 O PWMC1_ PWML1 O – – PIO, I, PU, ST 51 K6 M6 VDDIO GPIO_CL K PA14 I/O WKUP8/P IODCEN1( 3) I QSCK O PWMC0_ PWMH3 O PWMC1_ PWMH1 O – – PIO, I, PU, ST 49 L5 N6 VDDIO GPIO_AD PA15 I/O – – D14 I/O TIOA1 I/O PWMC0_ PWML3 O I2SC0_W S I/O PIO, I, PU, ST 45 K5 L4 VDDIO GPIO_AD PA16 I/O – – D15 I/O TIOB1 I/O PWMC0_ PWML2 O I2SC0_DI I PIO, I, PU, ST 25 J1 J4 VDDIO GPIO_AD PA17 I/O AFE0_AD6 (5) I QIO2 I/O PCK1 O PWMC0_ PWMH3 O – – PIO, I, PU, ST 24 H2 J3 VDDIO GPIO_AD PA18 I/O AFE0_AD7 (5) I PWMC1_ PWMEXTR G1 I PCK2 O A14 O – – PIO, I, PU, ST 23 H1 J2 VDDIO GPIO_AD PA19 I/O AFE0_AD8 /WKUP9(6) I – – PWMC0_ PWML0 O A15 O I2SC1_M CK O PIO, I, PU, ST 22 H3 J1 VDDIO GPIO_AD PA20 I/O AFE0_AD9 / WKUP10(6 ) I – – PWMC0_ PWML1 O A16/BA0 O I2SC1_C K I/O PIO, I, PU, ST 32 K2 M1 VDDIO GPIO_AD PA21 I/O AFE0_AD1 / PIODCEN 2(8) I RXD1 I PCK1 O PWMC1_ PWMFI0 I – – PIO, I, PU, ST 37 K3 M2 VDDIO GPIO_AD PA22 I/O PIODCCL K(2) I RK I/O PWMC0_ PWMEXTR G1 I NCS2 O – – PIO, I, PU, ST 46 L4 N5 VDDIO GPIO_AD PA23 I/O – – SCK1 I/O PWMC0_ PWMH0 O A19 O PWMC1_ PWML2 O PIO, I, PU, ST 56 L7 N8 VDDIO GPIO_AD PA24 I/O – – RTS1 O PWMC0_ PWMH1 O A20 O ISI_PCK I PIO, I, PU, ST 59 K8 L8 VDDIO GPIO_AD PA25 I/O – – CTS1 I PWMC0_ PWMH2 O A23 O MCCK O PIO, I, PU, ST 62 J8 M9 VDDIO GPIO PA26 I/O – – DCD1 I TIOA2 O MCDA2 I/O PWMC1_ PWMFI1 I PIO, I, PU, ST 70 J10 N12 VDDIO GPIO_AD PA27 I/O – – DTR1 O TIOB2 I/O MCDA3 I/O ISI_D7 I PIO, I, PU, ST 112 C9 C11 VDDIO GPIO PA28 I/O – – DSR1 I TCLK1 I MCCDA I/O PWMC1_ PWMFI2 I PIO, I, PU, ST 129 A6 A7 VDDIO GPIO PA29 I/O – – RI1 I TCLK2 I – – – – PIO, I, PU, ST 116 A10 A11 VDDIO GPIO PA30 I/O WKUP11(1 ) I PWMC0_ PWML2 O PWMC1_ PWMEXTR G0 I MCDA0 I/O I2SC0_D O O PIO, I, PU, ST 118 C8 C10 VDDIO GPIO_AD PA31 I/O – – SPI0_NP CS1 I/O PCK2 O MCDA1 I/O PWMC1_ PWMH2 O PIO, I, PU, ST © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 31 SAM E70/S70/V70/V71 Package and Pinout ...........continued LQFP Pin LFBGA/ TFBGA Ball UFBGA Ball Power Rail I/O Type Primary Alternate PIO Peripheral A PIO Peripheral B PIO Peripheral C PIO Peripheral D Reset State Signal Dir Signal Dir Signal Dir Signal Dir Signal Dir Signal Dir Signal, Dir, PU, PD, HiZ, ST 21 H4 H2 VDDIO GPIO PB0 I/O AFE0_AD1 0/ RTCOUT 0(7) I PWMC0_ PWMH0 O – – RXD0 I TF I/O PIO, I, PU, ST 20 G3 H1 VDDIO GPIO PB1 I/O AFE1_AD0 / RTCOUT 1(7) I PWMC0_ PWMH1 O GTSUCO MP O TXD0 I/O TK I/O PIO, I, PU, ST 26 J2 K1 VDDIO GPIO PB2 I/O AFE0_AD5 (5) I CANTX0 O – – CTS0 I SPI0_NP CS0 I/O PIO, I, PU, ST 31 J3 L1 VDDIO GPIO_AD PB3 I/O AFE0_AD2 / WKUP12(6 ) I CANRX0 I PCK2 O RTS0 O ISI_D2 I PIO, I, PU, ST 105 A12 C13 VDDIO GPIO_ML B PB4 I/O TDI(9) I TWD1 I/O PWMC0_ PWMH2 O MLBCLK I TXD1 I/O PIO, I, PU, ST 109 C10 C12 VDDIO GPIO_ML B PB5 I/O TDO/TRA CESWO/ WKUP13(9 ) O TWCK1 O PWMC0_ PWML0 O MLBDAT I/O TD O O, PU 79 J11 K11 VDDIO GPIO PB6 I/O SWDIO/T MS(9) I – – – – – – – – PIO,I,ST 89 F9 H13 VDDIO GPIO PB7 I/O SWCLK/ TCK(9) I – – – – – – – – PIO,I,ST 141 A3 B2 VDDIO CLOCK PB8 I/O XOUT(10) O – – – – – – – – PIO, HiZ 142 A2 A2 VDDIO CLOCK PB9 I/O XIN(10) I – – – – – – – – PIO, HiZ 87 G12 J10 VDDIO GPIO PB12 I/O ERASE(9) I PWMC0_ PWML1 O GTSUCO MP O – – PCK0 O PIO, I, PD, ST 144 B2 A1 VDDIO GPIO_AD PB13 I/O DAC0(11) O PWMC0_ PWML2 O PCK0 O SCK0 I/O – – PIO, I, PU, ST 11 E4 F2 VDDIO GPIO_AD PC0 I/O AFE1_AD9 (5) I D0 I/O PWMC0_ PWML0 O – – – – PIO, I, PU, ST 38 J4 M3 VDDIO GPIO_AD PC1 I/O – – D1 I/O PWMC0_ PWML1 O – – – – PIO, I, PU, ST 39 K4 N3 VDDIO GPIO_AD PC2 I/O – – D2 I/O PWMC0_ PWML2 O – – – – PIO, I, PU, ST 40 L3 N4 VDDIO GPIO_AD PC3 I/O – – D3 I/O PWMC0_ PWML3 O – – – – PIO, I, PU, ST 41 J5 L3 VDDIO GPIO_AD PC4 I/O – – D4 I/O – – – – – – PIO, I, PU, ST 58 L8 M8 VDDIO GPIO_AD PC5 I/O – – D5 I/O TIOA6 I/O – – – – PIO, I, PU, ST 54 K7 L7 VDDIO GPIO_AD PC6 I/O – – D6 I/O TIOB6 I/O – – – – PIO, I, PU, ST 48 M4 L5 VDDIO GPIO_AD PC7 I/O – – D7 I/O TCLK6 I – – – – PIO, I, PU, ST 82 J12 K13 VDDIO GPIO_AD PC8 I/O – – NWR0/N WE O TIOA7 I/O – – – – PIO, I, PU, ST 86 G11 J11 VDDIO GPIO_AD PC9 I/O – – NANDOE O TIOB7 I/O – – – – PIO, I, PU, ST 90 F10 H12 VDDIO GPIO_AD PC10 I/O – – NANDWE O TCLK7 I – – – – PIO, I, PU, ST 94 F11 F13 VDDIO GPIO_AD PC11 I/O – – NRD O TIOA8 I/O – – – – PIO, I, PU, ST 17 F4 G2 VDDIO GPIO_AD PC12 I/O AFE1_AD3 (5) I NCS3 O TIOB8 I/O CANRX1 I – – PIO, I, PU, ST 19 G2 H3 VDDIO GPIO_AD PC13 I/O AFE1_AD1 (5) I NWAIT I PWMC0_ PWMH3 O SDA10 O – – PIO, I, PU, ST 97 E10 F12 VDDIO GPIO_AD PC14 I/O – – NCS0 O TCLK8 I CANTX1 O – – PIO, I, PU, ST 18 G1 H4 VDDIO GPIO_AD PC15 I/O AFE1_AD2 (5) I NCS1/SD CS O PWMC0_ PWML3 O – – – – PIO, I, PU, ST © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 32 SAM E70/S70/V70/V71 Package and Pinout ...........continued LQFP Pin LFBGA/ TFBGA Ball UFBGA Ball Power Rail I/O Type Primary Alternate PIO Peripheral A PIO Peripheral B PIO Peripheral C PIO Peripheral D Reset State Signal Dir Signal Dir Signal Dir Signal Dir Signal Dir Signal Dir Signal, Dir, PU, PD, HiZ, ST 100 D11 E12 VDDIO GPIO_AD PC16 I/O – – A21/NAN DALE O – – – – – – PIO, I, PU, ST 103 B12 E10 VDDIO GPIO_AD PC17 I/O – – A22/NAN DCLE O – – – – – – PIO, I, PU, ST 111 B10 B12 VDDIO GPIO_AD PC18 I/O – – A0/NBS0 O PWMC0_ PWML1 O – – – – PIO, I, PU, ST 117 D8 B10 VDDIO GPIO_AD PC19 I/O – – A1 O PWMC0_ PWMH2 O – – – – PIO, I, PU, ST 120 A9 C9 VDDIO GPIO_AD PC20 I/O – – A2 O PWMC0_ PWML2 O – – – – PIO, I, PU, ST 122 A7 A9 VDDIO GPIO_AD PC21 I/O – – A3 O PWMC0_ PWMH3 O – – – – PIO, I, PU, ST 124 C7 A8 VDDIO GPIO_AD PC22 I/O – – A4 O PWMC0_ PWML3 O – – – – PIO, I, PU, ST 127 C6 C7 VDDIO GPIO_AD PC23 I/O – – A5 O TIOA3 I/O – – – – PIO, I, PU, ST 130 B6 D7 VDDIO GPIO_AD PC24 I/O – – A6 O TIOB3 I/O SPI1_SP CK O – – PIO, I, PU, ST 133 C5 C6 VDDIO GPIO_AD PC25 I/O – – A7 O TCLK3 I SPI1_NP CS0 I/O – – PIO, I, PU, ST 13 F2 F4 VDDIO GPIO_AD PC26 I/O AFE1_AD7 (5) I A8 O TIOA4 I/O SPI1_MIS O I – – PIO, I, PU, ST 12 E2 F3 VDDIO GPIO_AD PC27 I/O AFE1_AD8 (5) I A9 O TIOB4 I/O SPI1_MO SI O – – PIO, I, PU, ST 76 L12 L13 VDDIO GPIO_AD PC28 I/O – – A10 O TCLK4 I SPI1_NP CS1 I/O – – PIO, I, PU, ST 16 F3 G1 VDDIO GPIO_AD PC29 I/O AFE1_AD4 (5) I A11 O TIOA5 I/O SPI1_NP CS2 O – – PIO, I, PU, ST 15 F1 G3 VDDIO GPIO_AD PC30 I/O AFE1_AD5 (5) I A12 O TIOB5 I/O SPI1_NP CS3 O – – PIO, I, PU, ST 14 E1 G4 VDDIO GPIO_AD PC31 I/O AFE1_AD6 (5) I A13 O TCLK5 I – – – – PIO, I, PU, ST 1 D4 B1 VDDIO GPIO_AD PD0 I/O DAC1(11) I GTXCK I PWMC1_ PWML0 O SPI1_NP CS1 I/O DCD0 I PIO, I, PU, ST 132 B5 B6 VDDIO GPIO PD1 I/O – – GTXEN O PWMC1_ PWMH0 O SPI1_NP CS2 I/O DTR0 O PIO, I, PU, ST 131 A5 A6 VDDIO GPIO PD2 I/O – – GTX0 O PWMC1_ PWML1 O SPI1_NP CS3 I/O DSR0 I PIO, I, PU, ST 128 B7 B7 VDDIO GPIO PD3 I/O – – GTX1 O PWMC1_ PWMH1 O UTXD4 O RI0 I PIO, I, PU, ST 126 D6 C8 VDDIO GPIO_CL K PD4 I/O – – GRXDV I PWMC1_ PWML2 O TRACED 0 O DCD2 I PIO, I, PU, ST 125 D7 B8 VDDIO GPIO_CL K PD5 I/O – – GRX0 I PWMC1_ PWMH2 O TRACED 1 O DTR2 O PIO, I, PU, ST 121 A8 B9 VDDIO GPIO_CL K PD6 I/O – – GRX1 I PWMC1_ PWML3 O TRACED 2 O DSR2 I PIO, I, PU, ST 119 B8 A10 VDDIO GPIO_CL K PD7 I/O – – GRXER I PWMC1_ PWMH3 O TRACED 3 O RI2 I PIO, I, PU, ST 113 E9 A12 VDDIO GPIO_CL K PD8 I/O – – GMDC O PWMC0_ PWMFI1 I – – TRACEC LK O PIO, I, PU, ST 110 D9 A13 VDDIO GPIO_CL K PD9 I/O – – GMDIO I/O PWMC0_ PWMFI2 I AFE1_AD TRG I – – PIO, I, PU, ST 101 C12 D13 VDDIO GPIO_ML B PD10 I/O – – GCRS I PWMC0_ PWML0 O TD O MLBSIG I/O PIO, I, PD, ST 98 E11 E13 VDDIO GPIO_AD PD11 I/O – – GRX2 I PWMC0_ PWMH0 O GTSUCO MP O ISI_D5 I PIO, I, PU, ST 92 G10 G13 VDDIO GPIO_AD PD12 I/O – – GRX3 I CANTX1 O SPI0_NP CS2 O ISI_D6 I PIO, I, PU, ST 88 G9 H11 VDDIO GPIO_CL K PD13 I/O – – GCOL I – – SDA10 O – – PIO, I, PU, ST © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 33 SAM E70/S70/V70/V71 Package and Pinout ...........continued LQFP Pin LFBGA/ TFBGA Ball UFBGA Ball Power Rail I/O Type Primary Alternate PIO Peripheral A PIO Peripheral B PIO Peripheral C PIO Peripheral D Reset State Signal Dir Signal Dir Signal Dir Signal Dir Signal Dir Signal Dir Signal, Dir, PU, PD, HiZ, ST 84 H10 J12 VDDIO GPIO_AD PD14 I/O – – GRXCK I – – SDCKE O – – PIO, I, PU, ST 106 A11 D11 VDDIO GPIO_AD PD15 I/O – – GTX2 O RXD2 I NWR1/N BS1 O – – PIO, I, PU, ST 78 K11 K10 VDDIO GPIO_AD PD16 I/O – – GTX3 O TXD2 I/O RAS O – – PIO, I, PU, ST 74 L11 M13 VDDIO GPIO_AD PD17 I/O – – GTXER O SCK2 I/O CAS O – – PIO, I, PU, ST 69 M10 M11 VDDIO GPIO_AD PD18 I/O – – NCS1/SD CS O RTS2 O URXD4 I – – PIO, I, PU, ST 67 M9 L10 VDDIO GPIO_AD PD19 I/O – – NCS3 O CTS2 I UTXD4 O – – PIO, I, PU, ST 65 K9 K9 VDDIO GPIO PD20 I/O – – PWMC0_ PWMH0 O SPI0_MIS O I/O GTSUCO MP O – – PIO, I, PU, ST 63 H9 L9 VDDIO GPIO_AD PD21 I/O – – PWMC0_ PWMH1 O SPI0_MO SI I/O TIOA11 I/O ISI_D1 I PIO, I, PU, ST 60 M8 N9 VDDIO GPIO_AD PD22 I/O – – PWMC0_ PWMH2 O SPI0_SP CK O TIOB11 I/O ISI_D0 I PIO, I, PU, ST 57 M7 N7 VDDIO GPIO_CL K PD23 I/O – – PWMC0_ PWMH3 O – – SDCK O – – PIO, I, PU, ST 55 M6 K7 VDDIO GPIO_AD PD24 I/O – – PWMC0_ PWML0 O RF I/O TCLK11 I ISI_HSYN C I PIO, I, PU, ST 52 M5 L6 VDDIO GPIO_AD PD25 I/O – – PWMC0_ PWML1 O SPI0_NP CS1 I/O URXD2 I ISI_VSYN C I PIO, I, PU, ST 53 L6 M7 VDDIO GPIO PD26 I/O – – PWMC0_ PWML2 O TD O UTXD2 O UTXD1 O PIO, I, PU, ST 47 J6 M5 VDDIO GPIO_AD PD27 I/O – – PWMC0_ PWML3 O SPI0_NP CS3 O TWD2 O ISI_D8 I PIO, I, PU, ST 71 K10 M12 VDDIO GPIO_AD PD28 I/O WKUP5(1) I URXD3 I - I TWCK2 O ISI_D9 I PIO, I, PU, ST 108 D10 B13 VDDIO GPIO_AD PD29 I/O – – – – – – SDWE O – – PIO, I, PU, ST 34 M1 L2 VDDIO GPIO_AD PD30 I/O AFE0_AD 0(5) I UTXD3 O – – – – ISI_D10 I PIO, I, PU, ST 2 D3 C3 VDDIO GPIO_AD PD31 I/O – – QIO3 I/O UTXD3 O PCK2 O ISI_D11 I PIO, I, PU, ST 4 C2 C2 VDDIO GPIO_AD PE0 I/O AFE1_AD 11(5) I D8 I/O TIOA9 I/O I2SC1_W S I/O – – PIO, I, PU, ST 6 A1 D2 VDDIO GPIO_AD PE1 I/O – – D9 I/O TIOB9 I/O I2SC1_D O O – – PIO, I, PU, ST 7 B1 D1 VDDIO GPIO_AD PE2 I/O – – D10 I/O TCLK9 I I2SC1_DI I – – PIO, I, PU, ST 10 E3 F1 VDDIO GPIO_AD PE3 I/O AFE1_AD 10(5) I D11 I/O TIOA10 I/O – – – – PIO, I, PU, ST 27 K1 K2 VDDIO GPIO_AD PE4 I/O AFE0_AD 4(5) I D12 I/O TIOB10 I/O – – – – PIO, I, PU, ST 28 L1 K3 VDDIO GPIO_AD PE5 I/O AFE0_AD 3(5) I D13 I/O TCLK10 I/O – – – – PIO, I, PU, ST 3 C3 E4 VDDOUT Power VDDOUT – – – – – – – – – – – – 5 C1 C1 VDDIN Power VDDIN – – – – – – – – – – – – 8 D2 E2 GND Reference VREFN I – – – – – – – – – – – 9 D1 E1 VDDIO Reference VREFP I – – – – – – – – – – – 83 H12 K12 VDDIO RST NRST I/O – – – – – – – – – – I, PU 85 H11 J13 VDDIO TEST TST I – – – – – – – – – – I, PD 30,43,72,8 0,96 G8,H6,H7 D6,F10,K6 VDDIO Power VDDIO – – – – – – – – – – – – 104 B11 D12 VDDIO TEST JTAGSEL I – – – – – – – – – – I, PD © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 34 SAM E70/S70/V70/V71 Package and Pinout ...........continued LQFP Pin LFBGA/ TFBGA Ball UFBGA Ball Power Rail I/O Type Primary Alternate PIO Peripheral A PIO Peripheral B PIO Peripheral C PIO Peripheral D Reset State Signal Dir Signal Dir Signal Dir Signal Dir Signal Dir Signal Dir Signal, Dir, PU, PD, HiZ, ST 29,33,50,8 1,107 E8,H5,H8 D5, G10, K5 VDDCOR E Power VDDCOR E – – – – – – – – – – – – 123 J7 D8 VDDPLL Power VDDPLL – – – – – – – – – – – – 134 E7 B4 VDDUTMI I Power VDDUTMI I – – – – – – – – – – – – 136 B4 A5 VDDUTMI I USBHS HSDM I/O – – – – – – – – – – – 137 A4 A4 VDDUTMI I USBHS HSDP I/O – – – – – – – – – – – 44,61,95,1 15,135,138 F5, F6, G4, G5, G6, G7 C5, D3, D10, H10, K4, K8 GND Ground GND – – – – – – – – – – – – -- D5 E3 GNDANA Ground GNDANA – – – – – – – – – – – – - E5 B5 GNDUTM I Ground GNDUTM I – – – – – – – – – – – – - E6 B3 GNDPLL USB Ground GNDPLL USB – – – – – – – – – – – – - F7 D9 GNDPLL Ground GNDPLL – – – – – – – – – – – – 139 B3 C4 VDDUTMI C Power VDDUTMI C – – – – – – – – – – – – 140 C4 A3 – VBG VBG I – – – – – – – – – – – 143 F8 D4 VDDPLL USB Power VDDPLL USB – – – – – – – – – – – – Notes:  1. WKUPx can be used if the PIO Controller defines the I/O line as “input”. 2. To select this extra function, refer to the 32.5.14. Parallel Capture Mode section in the Parallel Input/Output Controller (PIO) chapter. 3. PIODCEN1/PIODCx has priority over WKUPx. Refer to the 32.5.14. Parallel Capture Mode section in the PIO chapter. 4. Refer to the 23.4.2. Slow Clock Generator section in the Supply Controller (SUPC) chapter. 5. To select this extra function, refer to the 33.5.2.1. I/O Lines section in the External Bus Interface (EBI) chapter. This selection is independent of the PIO line configuration. PIO lines must be configured according to required settings (PU or PD). 6. Analog input has priority over WKUPx pin. To select the analog input, refer to the 33.5.2.1. I/O Lines section in the EBI chapter. WKUPx can be used if the PIO controller defines the I/O line as “input”. 7. Analog input has priority over RTCOUTx pin. To select the analog input, refer to the 33.5.2.1. I/O Lines section in the EBI chapter. Refer to the 27.5.8. Waveform Generation section in the Real-Time Clock (RTC) chapter to select RTCOUTx. 8. Analog input has priority over WKUPx pin. To select the analog input, refer to the 33.5.2.1. I/O Lines section in the EBI chapter. To select PIODCEN2, refer to the 32.5.14. Parallel Capture Mode in the PIO chapter. 9. Refer to the System I/O Configuration Register (19.4.7. CCFG_SYSIO) in the Bus Matrix (MATRIX) chapter. 10. Refer to the 30.5.3. Main Crystal Oscillator section in the Clock Generator chapter. This selection is independent of the PIO line configuration. PIO lines must be configured according to XINxx (I) and XOUTxx (O). 11. DAC0 is selected when DACC_CHER.CH0 is set. DAC1 is selected when DACC_CHER.CH1 is set. Refer to the DACC Channel Enable Register in the Digital-to-Analog Converter Controller (DACC) chapter. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 35 SAM E70/S70/V70/V71 Package and Pinout 6.3 100-lead Packages 6.3.1 100-pin LQFP Package Outline Figure 6-4. Orientation of the 100-lead LQFP Package 75 51 76 50 100 26 1 6.3.2 25 100-ball TFBGA Package Outline The 100-ball TFBGA package has a 0.8 mm ball pitch and respects Green standards. Its dimensions are 9 x 9 x 1.1 mm. The figure below shows the orientation of the 100-ball TFBGA Package. Figure 6-5. Orientation of the 100-ball TFBGA Package TOP VIEW 10 9 8 7 6 5 4 3 2 1 BALL A1 6.3.3 A B C D E F G H J K 100-ball VFBGA Package Outline 100-ball VFBGA Package Outline The 100-ball VFBGA package has a 0.65 mm ball pitch and respects Green standards. The dimensions are 7mm x 7mm x 1.0 mm. The following figure shows the orientation of the 100-ball VFBGA Package. Figure 6-6. 100-ball VFBGA Package Outline © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 36 SAM E70/S70/V70/V71 Package and Pinout 6.4 100-lead Package Pinout Table 6-2. 100-lead Package Pinout LQFP Pin VFBGA Ball TFBGA Ball Power Rail I/O Type Primary Alternate PIO Peripheral A PIO Peripheral B PIO Peripheral C PIO Peripheral D Reset State Signal Dir Signal Dir Signal Dir Signal Dir Signal Dir Signal Dir Signal, Dir, PU, PD, HiZ, ST 72 D8 D8 VDDIO GPIO_AD PA0 I/O WKUP0(1) I PWMC0_PWMH0 O TIOA0 I/O A17/BA1 O I2SC0_MCK – PIO, I, PU, ST 70 C10 C10 VDDIO GPIO_AD PA1 I/O WKUP1(1) I PWMC0_PWML0 O TIOB0 I/O A18 O I2SC0_CK – PIO, I, PU, ST 66 D10 D10 VDDIO GPIO PA2 I/O WKUP2(1) I PWMC0_PWMH1 O – – DATRG I – – PIO, I, PU, ST 64 F9 F9 VDDIO GPIO_AD PA3 I/O PIODC0(2) I TWD0 I/O LONCOL1 I PCK2 O – – PIO, I, PU, ST 55 H10 H10 VDDIO GPIO PA4 I/O WKUP3/ PIODC1(3) I TWCK0 O TCLK0 I UTXD1 O – – PIO, I, PU, ST 52 H9 H9 VDDIO GPIO_AD PA5 I/O WKUP4/ PIODC2(3) I PWMC1_PWML3 O ISI_D4 I URXD1 I – – PIO, I, PU, ST 24 J2 J2 VDDIO CLOCK PA7 I/O XIN32(4) I – – PWMC0_PWMH3 – – – – – PIO, HiZ 25 K2 K2 VDDIO CLOCK PA8 I/O XOUT32(4) O PWMC1_PWMH3 O AFE0_ADTRG I – – – – PIO, HiZ 54 J9 J9 VDDIO GPIO_AD PA9 I/O WKUP6/ PIODC3(3) I URXD0 I ISI_D3 I PWMC0_PWMFI0 I – – PIO, I, PU, ST 46 K9 K9 VDDIO GPIO_AD PA10 I/O PIODC4(2) I UTXD0 O PWMC0_PWMEXTRG0 I RD I – – PIO, I, PU, ST 44 J8 J8 VDDIO GPIO_AD PA11 I/O WKUP7/ PIODC5(3) I QCS O PWMC0_PWMH0 O PWMC1_PWML0 O – – PIO, I, PU, ST 48 K10 K10 VDDIO GPIO_AD PA12 I/O PIODC6(2) I QIO1 I/O PWMC0_PWMH1 O PWMC1_PWMH0 O – – PIO, I, PU, ST 27 G5 G5 VDDIO GPIO_AD PA13 I/O PIODC7(2) I QIO0 I/O PWMC0_PWMH2 O PWMC1_PWML1 O – – PIO, I, PU, ST 34 H6 H6 VDDIO GPIO_CLK PA14 I/O WKUP8/ PIODCEN1(3) I QSCK O PWMC0_PWMH3 O PWMC1_PWMH1 O – – PIO, I, PU, ST 33 J6 J6 VDDIO GPIO_AD PA15 I/O – I D14 I/O TIOA1 I/O PWMC0_PWML3 O I2SC0_WS – PIO, I, PU, ST 30 J5 J5 VDDIO GPIO_AD PA16 I/O – I D15 I/O TIOB1 I/O PWMC0_PWML2 O I2SC0_DI – PIO, I, PU, ST 16 G1 G1 VDDIO GPIO_AD PA17 I/O AFE0_AD6(5) I QIO2 I/O PCK1 O PWMC0_PWMH3 O – – PIO, I, PU, ST 15 G2 G2 VDDIO GPIO_AD PA18 I/O AFE0_AD7(5) I PWMC1_PWMEXTRG1 I PCK2 O A14 O – – PIO, I, PU, ST 14 F1 F1 VDDIO GPIO_AD PA19 I/O AFE0_AD8/ WKUP9(6) I – – PWMC0_PWML0 O A15 O I2SC1_MCK – PIO, I, PU, ST 13 F2 F2 VDDIO GPIO_AD PA20 I/O AFE0_AD9/ WKUP10(6) I – – PWMC0_PWML1 O A16/BA0 O I2SC1_CK – PIO, I, PU, ST 21 J1 J1 VDDIO GPIO_AD PA21 I/O AFE0_AD1/ PIODCEN2(8) I RXD1 I PCK1 O PWMC1_PWMFI0 I – – PIO, I, PU, ST 26 J3 J3 VDDIO GPIO_AD PA22 I/O PIODCCLK(2) I RK I/O PWMC0_PWMEXTRG1 I NCS2 O – – PIO, I, PU, ST 31 K5 K5 VDDIO GPIO_AD PA23 I/O – – SCK1 I/O PWMC0_PWMH0 O A19 O PWMC1_PWML2 O PIO, I, PU, ST 38 K7 K7 VDDIO GPIO_AD PA24 I/O – – RTS1 O PWMC0_PWMH1 O A20 O ISI_PCK I PIO, I, PU, ST 40 H7 H7 VDDIO GPIO_AD PA25 I/O – – CTS1 I PWMC0_PWMH2 O A23 O MCCK O PIO, I, PU, ST 42 K8 K8 VDDIO GPIO PA26 I/O – – DCD1 I TIOA2 O MCDA2 I/O PWMC1_PWMFI1 I PIO, I, PU, ST 50 H8 H8 VDDIO GPIO_AD PA27 I/O – – DTR1 O TIOB2 I/O MCDA3 I/O ISI_D7 79 A9 A9 VDDIO GPIO PA28 I/O – – DSR1 I TCLK1 I MCCDA I/O PWMC1_PWMFI2 I PIO, I, PU, ST 82 C7 C7 VDDIO GPIO PA30 I/O WKUP11(1) I PWMC0_PWML2 O PWMC1_PWMEXTRG0 I MCDA0 I/O I2SC0_D0 – PIO, I, PU, ST 83 A7 A7 VDDIO GPIO_AD PA31 I/O – – SPI0_NPCS1 I/O PCK2 O MCDA1 I/O PWMC1_PWMH2 O PIO, I, PU, ST 12 E1 E1 VDDIO GPIO PB0 I/O AFE0_AD10/ RTCOUT0(7) I PWMC0_PWMH0 O – – RXD0 I TF I/O PIO, I, PU, ST 11 E2 E2 VDDIO GPIO PB1 I/O AFE1_AD0/ RTCOUT1(7) I PWMC0_PWMH1 O GTSUCOMP O TXD0 I/O TK I/O PIO, I, PU, ST 17 H1 H1 VDDIO GPIO PB2 I/O AFE0_AD5(5) I CANTX0– O– – – CTS0 I SPI0_NPCS0 I/O PIO, I, PU, ST 20 H2 H2 VDDIO GPIO_AD PB3 I/O AFE0_AD2/ WKUP12(6) I CANRX0– I– PCK2 O RTS0 O ISI_D2 I PIO, I, PU, ST 74 B9 B9 VDDIO GPIO_MLB PB4 I/O TDI(9) I TWD1 I/O PWMC0_PWMH2 O MLBCLK– I– TXD1 I/O PIO, I, PD, ST 77 C8 C8 VDDIO GPIO_MLB PB5 I/O TDO/ TRACESWO/ WKUP13(9) O TWCK1 O PWMC0_PWML0 O MLBDAT– I/O– TD O O, PU 57 G8 G8 VDDIO GPIO PB6 I/O SWDIO/TMS(9) I – – – – – – – – PIO,I,ST © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet PIO, I, PU, ST DS60001527F-page 37 SAM E70/S70/V70/V71 Package and Pinout ...........continued LQFP Pin 63 VFBGA Ball E9 TFBGA Ball E9 Power Rail VDDIO I/O Type GPIO Primary Alternate PIO Peripheral A PIO Peripheral B PIO Peripheral C PIO Peripheral D Reset State Signal Dir Signal Dir Signal Dir Signal Dir Signal Dir Signal Dir Signal, Dir, PU, PD, HiZ, ST PB7 I/O SWCLK/TCK(9) I – – – – – – – – PIO,I,ST 98 A2 A2 VDDIOP CLOCK PB8 I/O XOUT(10) O – – – – – – – – PIO, HiZ 99 A1 A1 VDDIOP CLOCK PB9 I/O XIN(10) I – – – – – – – – PIO, HiZ 61 F8 F8 VDDIO GPIO PB12 I/O ERASE(9) I PWMC0_PWML1 O GTSUCOMP O – – PCK0 O PIO, I, PD, ST 100 B2 B2 VDDIO GPIO_AD PB13 I/O DAC0(11) O PWMC0_PWML2 O PCK0 O SCK0 I/O – – PIO, I, PU, ST 1 B1 C1 VDDIO GPIO_AD PD0 I/O DAC1(11) I GTXCK I PWMC1_PWML0 O SPI1_NPCS1 DCD0 I PIO, I, PU, ST 92 D3 D2 VDDIO GPIO PD1 I/O – – GTXEN O PWMC1_PWMH0 O SPI1_NPCS2 I/O DTR0 O PIO, I, PU, ST 91 E3 E3 VDDIO GPIO PD2 I/O – – GTX0 O PWMC1_PWML1 O SPI1_NPCS3 I/O DSR0 I PIO, I, PU, ST 89 B5 B5 VDDIO GPIO PD3 I/O – – GTX1 O PWMC1_PWMH1 O UTXD4 O RI0 I PIO, I, PU, ST 88 A5 A5 VDDIO GPIO_CLK PD4 I/O – – GRXDV I PWMC1_PWML2 O TRACED0 O DCD2 I PIO, I, PU, ST 87 D5 D5 VDDIO GPIO_CLK PD5 I/O – – GRX0 I PWMC1_PWMH2 O TRACED1 O DTR2 O PIO, I, PU, ST 85 B6 B6 VDDIO GPIO_CLK PD6 I/O – – GRX1 I PWMC1_PWML3 O TRACED2 O DSR2 I PIO, I, PU, ST 84 A8 A6 VDDIO GPIO_CLK PD7 I/O – – GRXER I PWMC1_PWMH3 O TRACED3 O RI2 I PIO, I, PU, ST 80 B7 B7 VDDIO GPIO_CLK PD8 I/O – – GMDC O PWMC0_PWMFI1 I – – TRACECLK O PIO, I, PU, ST 78 B8 B8 VDDIO GPIO_CLK PD9 I/O – – GMDIO I/O PWMC0_PWMFI2 AFE1_ADTRG I – O PIO, I, PU, ST 71 C9 C9 VDDIO GPIO_MLB PD10 I/O – – GCRS I PWMC0_PWML0 O TD O MLBSIG– I/O– PIO, I, PD, ST 69 D9 D9 VDDIO GPIO_AD PD11 I/O – – GRX2 I PWMC0_PWMH0 O GTSUCOMP O ISI_D5 I PIO, I, PU, ST 65 E10 E10 VDDIO GPIO_AD PD12 I/O – – GRX3 I CANTX1– O– SPI0_NPCS2 O ISI_D6 I PIO, I, PU, ST 62 E8 E8 VDDIO GPIO_AD PD13 I/O – – GCOL I – – SDA10 O – – PIO, I, PU, ST 59 F10 F10 VDDIO GPIO_AD PD14 I/O – – GRXCK I – – SDCKE O – – PIO, I, PU, ST 75 B10 B10 VDDIO GPIO_AD PD15 I/O – – GTX2 O RXD2 I NWR1/NBS1 O – – PIO, I, PU, ST 56 G9 G9 VDDIO GPIO_AD PD16 I/O – – GTX3 O 53 J10 J10 VDDIO GPIO_AD PD17 I/O – – GTXER 49 K6 K6 VDDIO GPIO_AD PD18 I/O – – NCS1/SDCS 47 K4 K4 VDDIO GPIO_AD PD19 I/O – – NCS3 45 K3 K3 VDDIO GPIO PD20 I/O – – 43 H5 H5 VDDIO GPIO_AD PD21 I/O – – 41 J4 J4 VDDIO GPIO_AD PD22 I/O – 37 G4 G4 VDDIO GPIO_AD PD24 I/O 35 H3 H3 VDDIO GPIO_AD PD25 36 G3 G3 VDDIO GPIO PD26 32 H4 H4 VDDIO GPIO_AD 51 J7 J7 VDDIO GPIO_AD TXD2 I/O RAS O – – PIO, I, PU, ST SCK2 I/O CAS O – – PIO, I, PU, ST O RTS2 O URXD4 I – – PIO, I, PU, ST O CTS2 I UTXD4 O – – PIO, I, PU, ST PWMC0_PWMH0 O SPI0_MISO I/O GTSUCOMP O – – PIO, I, PU, ST PWMC0_PWMH1 O SPI0_MOSI I/O TIOA11 I/O ISI_D1 I PIO, I, PU, ST – PWMC0_PWMH2 O SPI0_SPCK O TIOB11 I/O ISI_D0 I PIO, I, PU, ST – – PWMC0_PWML0 O RF I/O TCLK11 I ISI_HSYNC I PIO, I, PU, ST I/O – – PWMC0_PWML1 O SPI0_NPCS1 I/O URXD2 I ISI_VSYNC I PIO, I, PU, ST I/O – – PWMC0_PWML2 O TD O UTXD2 O UTXD1 O PIO, I, PU, ST PD27 I/O – – PWMC0_PWML3 O SPI0_NPCS3 O TWD2 O ISI_D8 I PIO, I, PU, ST PD28 I/O WKUP5(1) URXD3 I CANRX1 I– TWCK2 O ISI_D9 I PIO, I, PU, ST 23 K1 K1 VDDIO GPIO_AD PD30 I/O AFE0_AD0(5) I UTXD3 0 – – – – ISI_D10 I PIO, I, PU, ST 2 C1 B1 VDDIO GPIO_AD PD31 I/O – – QIO3 I/O UTXD3 O PCK2 O ISI_D11 I PIO, I, PU, ST 4 C3 C3 VDDOUT Power VDDOUT I – – – – – – – – – – – 5 C2 C2 VDDIN Power VDDIN I – – – – – – – – – – – 6 D2 D3 GND Ground VREFN I – – – – – – – – – – – 9 D1 D1 VDDIO Power VREFP I – – – – – – – – – – – 58 G10 G10 VDDIO RST NRST I – – – – – – – – – – PIO, I, PU 60 F7 F7 VDDIO TEST TST I – – – – – – – – – – I, PD 19, 28, 68, 81 C5, F3, G7 C5, F3, G7 VDDIO Power VDDIO I – – – – – – – – – – – 73 A10 A10 VDDIO TEST JTAGSEL I – – – – – – – – – – I, PD 18, 22, 39, 76 C6, D6, G6 C6, D6, G6 VDDCORE Power VDDCORE I – – – – – – – – – – – 86 D7 D7 VDDPLL Power VDDPLL I – – – – – – – – – – – © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 38 SAM E70/S70/V70/V71 Package and Pinout ...........continued LQFP Pin VFBGA Ball TFBGA Ball Power Rail I/O Type Primary Alternate PIO Peripheral A PIO Peripheral B PIO Peripheral C PIO Peripheral D Reset State Signal Dir Signal Dir Signal Dir Signal Dir Signal Dir Signal Dir Signal, Dir, PU, PD, HiZ, ST 93 E5 E5 VDDUTMII Power VDDUTMII I – – – – – – – – – – – 94 A4 A4 VDDUTMII USBHS HSDM I/O – – – – – – – – – – – 95 B4 B4 VDDUTMII USBHS HSDP I/O – – – – – – – – – – – 3, 7, 8, 10, 29, 67 E7, F4, F5, F6 E7, F4, F5, F6 GND Ground GND I – – – – – – – – – – – D4 D4 GNDANA Ground GNDANA I – – – – – – – – – – – A6 A8 GNDUTMI Ground GNDUTMI I – – – – – – – – – – – C4 C4 GNDPLLU SB Ground GNDPLLU SB I – – – – – – – – – – – E6 E4 GNDPLL Ground GNDPLL I – – – – – – – – – – – 96 B3 B3 VDDUTMI C Power VDDUTMI C I – – – – – – – – – – – 97 A3 A3 – VBG VBG I – – – – – – – – – – – 90 E4 E6 VDDPLLU SB Power VDDPLLU SB I – – – – – – – – – – – Notes:  1. WKUPx can be used if the PIO Controller defines the I/O line as “input”. 2. To select this extra function, refer to the 32.5.14. Parallel Capture Mode section in the “Parallel Input/Output Controller (PIO)” chapter. 3. PIODCEN1/PIODCx has priority over WKUPx. Refer to the 32.5.14. Parallel Capture Mode section in the “PIO” chapter. 4. Refer to the 23.4.2. Slow Clock Generator section in the “Supply Controller (SUPC)” chapter. 5. To select this extra function, refer to the 33.5.2.1. I/O Lines section in the “External Bus Interface (EBI)” chapter. This selection is independent of the PIO line configuration. PIO lines must be configured according to required settings (PU or PD). 6. Analog input has priority over WKUPx pin. To select the analog input, refer to the 33.5.2.1. I/O Lines section in the “EBI” chapter. WKUPx can be used if the PIO controller defines the I/O line as “input”. 7. Analog input has priority over RTCOUTx pin. To select the analog input, refer to the 33.5.2.1. I/O Lines section in the “EBI” chapter. Refer to the 27.5.8. Waveform Generation section in the “Real-Time Clock (RTC)” chapter to select RTCOUTx. 8. Analog input has priority over WKUPx pin. To select the analog input, refer to the 33.5.2.1. I/O Lines section in the “EBI” chapter. To select PIODCEN2, refer to the 32.5.14. Parallel Capture Mode in the “PIO” chapter. 9. Refer to the System I/O Configuration Register (19.4.7. CCFG_SYSIO) in the “Bus Matrix (MATRIX)” chapter. 10. Refer to the 30.5.3. Main Crystal Oscillator section in the “Clock Generator” chapter. This selection is independent of the PIO line configuration. PIO lines must be configured according to XINxx (I) and XOUTxx (O). 11. DAC0 is selected when DACC_CHER.CH0 is set. DAC1 is selected when DACC_CHER.CH1 is set. Refer to the DACC Channel Enable Register in the “Digital-to-Analog Converter Controller (DACC)” chapter. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 39 SAM E70/S70/V70/V71 Package and Pinout 6.5 64-lead Package 6.5.1 64-lead QFN Wettable Flanks Package Outline Figure 6-7. Orientation of the 64-lead QFN Wettable Flanks Package 6.5.2 64-pin LQFP Package Outline Figure 6-8. Orientation of the 64-pin LQFP Package 33 48 49 32 64 17 16 1 6.6 64-lead Package Pinout Table 6-3. 64-lead Package Pinout LQFP Pin QFN Pin (11) Power Rail I/O Type Primary PIO Peripheral A PIO Peripheral B PIO Peripheral CDir PIO Peripheral DDir Reset State Signal Dir Alternate Signal Dir Signal Dir Signal Dir Signal Dir Signal Dir Signal, Dir, PU, PD, HiZ, ST 40 40 VDDIO GPIO_AD PA3 I/O PIODC0(1) I TWD0(2) I/O LONCOL1 I PCK2 O – – PIO, I, PU, ST 34 34 VDDIO GPIO PA4 I/O WKUP3/ PIODC1(2) I TWCK0 O TCLK0 I UTXD1 O – – PIO, I, PU, ST 32 32 VDDIO GPIO_AD PA5 I/O WKUP4/ PIODC2(2) I PWMC1_P WML3 O ISI_D4 I URXD1 I – – PIO, I, PU, ST 15 15 VDDIO CLOCK PA7 I/O XIN32(3) I – – PWMC0_P WMH3 – – – – – PIO, HiZ 16 16 VDDIO CLOCK PA8 I/O XOUT32(3) O PWMC1_P WMH3 O AFE0_ADT RG I – – – – PIO, HiZ 33 33 VDDIO GPIO_AD PA9 I/O WKUP6/ PIODC3(2) I URXD0 I ISI_D3 I PWMC0_P WM FI0 I – – PIO, I, PU, ST 28 28 VDDIO GPIO_AD PA10 I/O PIODC4(1) I UTXD0 O PWMC0_P WMEXT RG0 I RD I – – PIO, I, PU, ST © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 40 SAM E70/S70/V70/V71 Package and Pinout ...........continued LQFP Pin QFN Pin (11) Power Rail I/O Type Primary PIO Peripheral A PIO Peripheral B PIO Peripheral CDir PIO Peripheral DDir Reset State Signal Dir Alternate Signal Dir Signal Dir Signal Dir Signal Dir Signal Dir Signal, Dir, PU, PD, HiZ, ST 27 27 VDDIO GPIO_AD PA11 I/O WKUP7/ PIODC5(2) I QCS O PWMC0_P WMH0 O PWMC1_P WM L0 O – – PIO, I, PU, ST 29 29 VDDIO GPIO_AD PA12 I/O PIODC6(1) I QIO1 I/O PWMC0_P WMH1 O PWMC1_P WM H0 O – – PIO, I, PU, ST 18 18 VDDIO GPIO_AD PA13 I/O PIODC7(1) I QIO0 I/O PWMC0_P WMH2 O PWMC1_P WM L1 O – – PIO, I, PU, ST 19 19 VDDIO GPIO_CLK PA14 I/O WKUP8/ PIODCEN 1(2) I QSCK O PWMC0_P WMH3 O PWMC1_P WM H1 O – – PIO, I, PU, ST 12 12 VDDIO GPIO_AD PA21 I/O AFE0_AD1/ PIODCEN2( 7) I RXD1 I PCK1 O PWMC1_P WM FI0 I – – PIO, I, PU, ST 17 17 VDDIO GPIO_AD PA22 I/O PIODCCLK( 1) I RK I/O PWMC0_P WMEXT RG1 I – O – – PIO, I, PU, ST 23 23 VDDIO GPIO_AD PA24 I/O – – RTS1 O PWMC0_P WMH1 O A20 O ISI_PCK I PIO, I, PU, ST 30 30 VDDIO GPIO_AD PA27 I/O – – DTR1 O TIOB2 I/O – I/O ISI_D7 I PIO, I, PU, ST 8 8 VDDIO GPIO PB0 I/O AFE0_AD10 / RTCOUT0( 6) I PWMC0_P WMH0 O – – RXD0 I TF I/O PIO, I, PU, ST 7 7 VDDIO GPIO PB1 I/O AFE1_AD0/ RTCOUT1( 6) I PWMC0_P WMH1 O GTSUCOM P O TXD0 I/O TK I/O PIO, I, PU, ST 9 9 VDDIO GPIO PB2 I/O AFE0_AD5( 4) I CANTX0 O – – CTS0 I – I/O PIO, I, PU, ST 11 11 VDDIO GPIO_AD PB3 I/O AFE0_AD2/ WKUP 12(6) I CANRX0 I PCK2 O RTS0 O ISI_D2 I PIO, I, PU, ST 46 46 VDDIO GPIO_MLB PB4 I/O TDI(8) I TWD1 I/O PWMC0_P WMH2 O MLBCLK I TXD1 I/O - - PIO, I, PD, ST PWMC0_P WML0 O MLBDAT I/O TD O O, PU - - 47 47 VDDIO GPIO_MLB PB5 I/O TDO/ TRACESW O/ WKUP13(8) O TWCK1 O 35 35 VDDIO GPIO PB6 I/O SWDIO/ TMS(8) I – – – – – – – – PIO,I,ST 39 39 VDDIO GPIO PB7 I/O SWCLK/ TCK(8) I – – – – – – – – PIO,I,ST 62 63 VDDIO CLOCK PB8 I/O XOUT(9) O – – – – – – – – PIO, HiZ 63 64 VDDIO CLOCK PB9 I/O XIN(9) I – – – – – – – – PIO, HiZ I PWMC0_P WML1 O GTSUCOM P O – – PCK0 O PIO, I, PD, ST 38 38 VDDIO GPIO PB12 I/O ERASE(8) 1 2 VDDIO GPIO_AD PD0 I/O DAC1(11) I GTXCK I PWMC1_P WML0 O – I/O DCD0 I PIO, I, PU, ST 57 57 VDDIO GPIO PD1 I/O – – GTXEN O PWMC1_P WMH0 O – I/O DTR0 O PIO, I, PU, ST 56 56 VDDIO GPIO PD2 I/O – – GTX0 O PWMC1_P WML1 O – I/O DSR0 I PIO, I, PU, ST 55 55 VDDIO GPIO PD3 I/O – – GTX1 O PWMC1_P WMH1 O UTXD4 O RI0 I PIO, I, PU, ST 54 54 VDDIO GPIO_CLK PD4 I/O – – GRXDV I PWMC1_P WML2 O TRACED0 O – – PIO, I, PU, ST 53 53 VDDIO GPIO_CLK PD5 I/O – – GRX0 I PWMC1_P WMH2 O TRACED1 O – – PIO, I, PU, ST 51 51 VDDIO GPIO_CLK PD6 I/O – – GRX1 I PWMC1_P WML3 O TRACED2 O – – PIO, I, PU, ST 50 50 VDDIO GPIO_CLK PD7 I/O – – GRXER I PWMC1_P WMH3 O TRACED3 O – – PIO, I, PU, ST 49 49 VDDIO GPIO_CLK PD8 I/O – – GMDC O PWMC0_P WMFI1 I – – TRACECLK O PIO, I, PU, ST © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 41 SAM E70/S70/V70/V71 Package and Pinout ...........continued LQFP Pin QFN Pin (11) Power Rail I/O Type Primary PIO Peripheral A PIO Peripheral B PIO Peripheral CDir PIO Peripheral DDir Reset State Signal Dir Alternate Signal Dir Signal Dir Signal Dir Signal Dir Signal Dir Signal, Dir, PU, PD, HiZ, ST 48 48 VDDIO GPIO_CLK PD9 I/O – – GMDIO I/O PWMC0_P WMFI2 I AFE1_ADT RG I – – PIO, I, PU, ST 44 44 VDDIO GPIO_MLB PD10 I/O – – GCRS I PWMC0_P WML0 O TD O MLBSIG I/O - - PIO, I, PD, ST 43 43 VDDIO GPIO_AD PD11 I/O – – GRX2 I PWMC0_P WMH0 O GTSUCOM P O ISI_D5 I PIO, I, PU, ST 41 41 VDDIO GPIO_AD PD12 I/O – – GRX3 I – O – O ISI_D6 I PIO, I, PU, ST 26 26 VDDIO GPIO_AD PD21 I/O – – PWMC0_P WMH1 O – I/O TIOA11 I/O ISI_D1 I PIO, I, PU, ST 25 25 VDDIO GPIO_AD PD22 I/O – – PWMC0_P WMH2 O – O TIOB11 I/O ISI_D0 I PIO, I, PU, ST 22 22 VDDIO GPIO_AD PD24 I/O – – PWMC0_P WML0 O RF I/O TCLK11 I ISI_HSYNC I PIO, I, PU, ST 20 20 VDDIO GPIO_AD PD25 I/O – – PWMC0_P WML1 O – I/O URXD2 I ISI_VSYNC I PIO, I, PU, ST 21 21 VDDIO GPIO PD26 I/O – – PWMC0_P WML2 O TD O UTXD2 O UTXD1 O PIO, I, PU, ST 2 3 VDDIO GPIO_AD PD31 I/O – – QIO3 I/O UTXD3 O PCK2 O ISI_D11 I PIO, I, PU, ST 3 4 VDDOUT Power VDDOUT – – – – – – – – – – – – 4 5 VDDIN Power VDDIN – – – – – – – – – – – – 5 6 VDDIO Reference VREFP I – – – – – – – – – – – 36 36 VDDIO RST NRST I/O – – – – – – – – – – PIO, I, PU 37 37 VDDIO TEST TST I – – – – – – – – – – I, PD 10, 42, 58 10,42,58 VDDIO Power VDDIO – – – – – – – – – – – – 45 45 VDDIO TEST JTAGSEL I – – – – – – – – – – I, PD 13, 24, 61 13,24,61 VDDCORE Power VDDCOR E – – – – – – – – – – – – 52 52 VDDPLL Power VDDPLL – – – – – – – – – – – – 59 59 VDDUTMII USBHS DM I/O – – – – – – – – – – – 60 60 VDDUTMII USBHS DP I/O – – – – – – – – – – – 14, 31 14,31 GND Ground GND – – – – – – – – – – – – 6 - GND Ground GND - – – – - – - – – – – – 64 1 VDDPLLUS B Power VDDPLLU SB – – – – – – – – – – – – -- 62 -- VBG VBG I – – – – – - – – – – – © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 42 SAM E70/S70/V70/V71 Package and Pinout Notes:  1. To select this extra function, refer to the 32.5.14. Parallel Capture Mode section in the “Parallel Input/Output Controller (PIO)” chapter. 2. PIODCEN1/PIODCx has priority over WKUPx. Refer to the 32.5.14. Parallel Capture Mode section in the “PIO” chapter. 3. Refer to the 23.4.2. Slow Clock Generator section in the “Supply Controller (SUPC)” chapter. 4. To select this extra function, refer to the 33.5.2.1. I/O Lines section in the “External Bus Interface (EBI)” chapter. This selection is independent of the PIO line configuration. PIO lines must be configured according to required settings (PU or PD). 5. Analog input has priority over WKUPx pin. To select the analog input, refer to the 33.5.2.1. I/O Lines section in the “EBI” chapter. WKUPx can be used if the PIO controller defines the I/O line as “input”. 6. Analog input has priority over RTCOUTx pin. To select the analog input, refer to the 33.5.2.1. I/O Lines section in the “EBI” chapter. Refer to the 27.5.8. Waveform Generation section in the “Real-Time Clock (RTC)” chapter to select RTCOUTx. 7. Analog input has priority over WKUPx pin. To select the analog input, refer to the 33.5.2.1. I/O Lines section in the “EBI” chapter. To select PIODCEN2, refer to the 32.5.14. Parallel Capture Mode in the “PIO” chapter. 8. Refer to the System I/O Configuration Register (19.4.7. CCFG_SYSIO) in the “Bus Matrix (MATRIX)” chapter. 9. Refer to the 30.5.3. Main Crystal Oscillator section in the Clock Generator chapter. This selection is independent of the PIO line configuration. PIO lines must be configured according to XINxx (I) and XOUTxx (O). 10. DAC0 is selected when DACC_CHER.CH0 is set. DAC1 is selected when DACC_CHER.CH1 is set. Refer to the DACC Channel Enable Register in the “Digital-to-Analog Converter Controller (DACC)” chapter. 11. The exposed pad of the QFN64 package MUST be connected to ground. Note:  Pinout limitations prevent full support of USART functionality. The following table lists which USART functions are available. Table 6-4. USART Functions Availability USART Pins Function Description Pin Name USART0 USART1 SCK n n SCK Serial Clock TXD Transmit Data UTXDx y y RXD Receive Data URXDx y y RTS Request to Send RTSx y y CTS Clear To Send CTSx y n DTR Data Terminal Ready DTRx y y DSR Data Set Ready DSRx y n DCD Data Carrier Detect DCDx y n RIx y n LONCOLx n y RI LCOL Ring Indicator LON Collision Detection © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 43 SAM E70/S70/V70/V71 Power Considerations 7. Power Considerations 7.1 Power Supplies The following table defines the power supply rails of the SAM E70/S70/V70/V71 . Table 7-1. Power Supplies Name Powers GND Core, embedded memories and peripherals. VDDIO GND Peripheral I/O lines (Input/Output Buffers), backup part, 1 Kbytes of backup SRAM, 32 kHz crystal oscillator, oscillator pads. For USB operations, VDDIO voltage range must be between 3.0V and 3.6V. VDDIN GND, GNDANA Voltage regulator input. Supplies also the ADC, DAC, and analog voltage comparator. VDDPLL GND, GNDPLL PLLA and the fast RC oscillator. VDDPLLUSB GND, GNDPLLUSB UTMI PLL and 3 MHz to 20 MHz oscillator. VDDUTMII GNDUTMI USB transceiver interface. Must be connected to VDDIO. VDDUTMIC GNDUTMI USB transceiver core. VDDCORE 7.2 Associated Ground Power Constraints The following power constraints are apply to SAM E70/S70/V70/V71 devices. Deviating from these constraints may lead to unpredictable results. • • • • 7.2.1 VDDIN and VDDIO must have the same level VDDIN and VDDIO must always be higher than or equal to VDDCORE VDDCORE, VDDPLL and VDDUTMIC voltage levels must not vary by more than 0.6V For the USB to be operational, VDDUTMII, VDDPLLUSB, VDDIN and VDDIO must be higher than or equal to 3.0V Powerup VDDIO and VDDIN must rise simultaneously, prior to VDDCORE, VDDPLL and VDDUTMIC rising. This is respected if VDDCORE, VDDPLL and VDDUTMIC are supplied by the embedded voltage regulator. If VDDCORE is powered by an external voltage regulator, VDDIO and VDDIN must reach their minimum operating voltage before VDDCORE has reached VDDCOREmin. The minimum slope for VDDCORE is defined by: VDDCOREmin − VT+min / tRESmin If VDDCORE rises at the same time as VDDIO and VDDIN, the minimum and maximum rising slopes of VDDIO and VDDIN must be respected. Refer to the section “DC Characteristics”. In order to prevent any overcurrent at powerup, it is required that VREFP rises simultaneously with VDDIO and VDDIN. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 44 SAM E70/S70/V70/V71 Power Considerations Figure 7-1. Powerup Sequence Supply (V) VDDIO VDDIN VDDPLLUSB VDDUTMII VDDx(min) VDDCORE VDDPLL VDDUTMIC VDDy(min) VT+ tRST Time (t) Related Links 58.2. DC Characteristics 23.4.6. Backup Power Supply Reset 23.4.6.1. Raising the Backup Power Supply 7.2.2 Powerdown If VDDCORE, VDDPLL and VDDUTMIC are not supplied by the embedded voltage regulator, VDDIO, VDDIN, VDDPLLUSB and VDDUTMII should fall simultaneously, prior to VDDCORE, VDDPLL and VDDUTMIC falling. The VDDCORE falling slope must not be faster than 20V/ms. In order to prevent any overcurrent at powerdown, it is required that VREFP falls simultaneously with VDDIO and VDDIN. Figure 7-2. Powerdown Sequence Supply (V) VDDIO VDDIN VDDPLLUSB VDDUTMII VDDx(min) VDDCORE VDDPLL VDDUTMIC VDDy(min) Time (t) 7.3 Voltage Regulator The SAM E70/S70/V70/V71 embeds a voltage regulator that is managed by the Supply Controller. For adequate input and output power supply decoupling/bypassing, refer to 58.2. DC Characteristics in the Electrical Characteristics chapter. 7.4 Backup SRAM Power Switch The SAM E70/S70/V70/V71 embeds a power switch to supply the 1 Kbyte of backup SRAM. It is activated only when VDDCORE is switched off to ensure retention of the contents of the backup SRAM. When VDDCORE is switched on, the backup SRAM is powered with VDDCORE. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 45 SAM E70/S70/V70/V71 Power Considerations To save the power consumption of the backup SRAM, the user can disable the backup SRAM power switch by clearing the bit SRAMON in the Supply Controller Mode Register (SUPC_MR). By default, after VDDIO rises, the backup SRAM power switch is enabled. 7.5 Active Mode Active mode is the normal running mode with the core clock running from the fast RC oscillator, the main crystal oscillator or the PLLA. The Power Management Controller can be used to adapt the core, bus and peripheral frequencies and to enable and/or disable the peripheral clocks. 7.6 Low-power Modes The SAM E70/S70/V70/V71 features the following three Low-Power modes: • • • 7.6.1 Backup mode Wait mode Sleep mode Backup Mode The purpose of Backup mode is to achieve the lowest power consumption possible in a system which is performing periodic wakeups to perform tasks but not requiring fast startup time. The Supply Controller, zero-power Power-On Reset (POR), RTT, RTC, backup SRAM, backup registers and 32 kHz oscillator (RC or crystal oscillator selected by software in the Supply Controller) are running. The regulator and the core supply are off. Backup mode is based on the Cortex-M7 Deep-Sleep mode with the voltage regulator disabled. Wakeup from Backup mode is done through WKUP0–13 pins, the supply monitor (SM), the RTT, or an RTC wakeup event. Backup mode is entered by using the VROFFbit in the Supply Controller Control Register (SUPC_CR) and the SLEEPDEEP bit in the Cortex-M7 System Control Register set to 1. Refer to information on Power Management in the" ARM Cortex-M7 documentation", which is available for download at www.arm.com. To enter Backup mode, follow these steps: 1. 2. Set the SLEEPDEEP bit of the Cortex-M7 processor. Set the VROFF bit of SUPC_CR. Exit from Backup mode occurs as a result of one of the following enabled wakeup events: • • • • WKUP0–13 pins (level transition, configurable debouncing) Supply Monitor alarm RTC alarm RTT alarm Notes:  If PLLA is enabled with the Main Crystal Oscillator as the clock source for Main Clock (MAINCK), the following sequence must be followed before entering into backup mode: 1. Switch Main Clock (MAINCK) to Slow Clock (SLCK) by using PMC_MCKR.CSS. 2. Disable the PLLA by writing MUL = 0 or DIV = 0. 3. Disable the Main Crystal Oscillator. 4. Add Wait time in the range of milliseconds. 5. Enter backup mode. 7.6.2 Wait Mode The purpose of Wait mode is to achieve very low-power consumption while maintaining the whole device in a powered state for a startup time of less than 10 μs. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 46 SAM E70/S70/V70/V71 Power Considerations In Wait mode, the clocks of the core, peripherals and memories are stopped. However, the core, peripherals and memories power supplies are still powered. Wait mode is entered when the WAITMODE bit is set in CKGR_MOR and the field FLPM is configured to 00 or 01 in the PMC Fast Startup Mode register (PMC_FSMR). The Cortex-M is able to handle external events or internal events to wake up the core. This is done by configuring the external lines WKUP0–13 as fast startup wake-up pins (refer to the “Fast Startup” section). RTC or RTT alarms or USB wake-up events can be used to wake up the processor. Resume from Wait mode is also achieved when a debug request occurs and the bit CDBGPWRUPREQ is set in the processor. To enter Wait mode, first, select the Main RC oscillator as Main Clock and perform the following steps: 1. 2. 3. 4. 5. Configure the FLPM field in the PMC_FSMR. Set Flash Wait State at 0. Set HCLK = MCK by configuring MDIV to 0 in the PMC Host Clock register (PMC_MCKR). Set the WAITMODE bit in the PMC Clock Generator Main Oscillator register (CKGR_MOR). Wait for MCKRDY = 1 in the PMC Status register (PMC_SR). Note:  Internal main clock resynchronization cycles are necessary between writing the MOSCRCEN bit and the entry in Wait mode. Depending on the user application, waiting for the MOSCRCEN bit to be cleared is recommended to ensure that the core will not execute undesired instructions. 7.6.3 Sleep Mode The purpose of Sleep mode is to optimize power consumption of the device versus response time. In this mode, only the core clock is stopped. The peripheral clocks can be enabled. The current consumption in this mode is application-dependent. This mode is entered using the instruction Wait for Interrupt (WFI). Processor wakeup is triggered by an interrupt if the WFI instruction of the Cortex-M processor is used. 7.6.4 Low-Power Mode Summary Table The modes detailed above are the main low-power modes. Each part can be set to on or off separately and wake up sources can be individually configured. The following table provides a summary of the configurations of the low-power modes. Table 7-2. Low-power Mode Configuration Summary Mode SUPC, 32 kHz Oscillator, RTC, RTT Backup SRAM (BRAM), Backup Registers (GPBR), POR (Backup Area) Regulator Core Memory Peripherals Mode Entry Configuration Potential Wakeup Sources Core at Wakeup PIO State while in Low-Power Mode PIO State at Wakeup Wakeup Time (see Note 2) Backup Mode ON OFF OFF (Not powered) SUPC_CR.VROFF = 1 SLEEPDEEP = 1 (see Note 1) WKUP0–13 pins Supply Monitor Reset Previous state maintained PIOA, PIOB, PIOC, PIOD & PIOE inputs with pullups < 2 ms Clocked back (see Note 3) Previous state maintained Unchanged < 10 μs RTC alarm RTT alarm Wait Mode w/ Flash in Deep Power-down Mode ON ON Powered (Not clocked) PMC_MCKR.MDIV = 0 , CKGR_MOR.WAITMODE =1 , SLEEPDEEP = 0 , PMC_FSMR.LPM = 1 , PMC_FSMR.FLPM = 1 (see Note 1) WKUP0–13 pins RTC RTT USBHS Processor debug (see Note 6) GMAC Wake on LAN event Wakeup from CAN (see Note 7) © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 47 SAM E70/S70/V70/V71 Power Considerations ...........continued Mode SUPC, 32 kHz Oscillator, RTC, RTT Backup SRAM (BRAM), Backup Registers (GPBR), POR (Backup Area) Regulator Core Memory Peripherals Mode Entry Configuration Potential Wakeup Sources Core at Wakeup PIO State while in Low-Power Mode PIO State at Wakeup Wakeup Time (see Note 2) Wait Mode w/ Flash in Standby Mode ON ON Powered (Not clocked) PMC_MCKR.MDIV = 0 , CKGR_MOR.WAITMODE =1 , SLEEPDEEP = 0 , PMC_FSMR.LPM = 1 , PMC_FSMR.FLPM = 0 (see Note 1) WKUP0–13 pins RTC Clocked back (see Note 3) Previous state maintained Unchanged < 10 μs Clocked back Previous state maintained Unchanged (see Note 5) RTT USBHS Processor debug (see Note 6) GMAC Wake on LAN Wakeup from CAN (see Note 7) Sleep Mode ON ON Powered (Not clocked) (see Note 4) WFI SLEEPDEEP = 0 PMC_FSMR.LPM = 0 (see Note 1) Any enabled Interrupt Notes:  1. The bit SLEEPDEEP is in the Cortex-M7 System Control Register. 2. When considering wakeup time, the time required to start the PLL is not taken into account. Once started, the device works with the Main RC oscillator. The user has to add the PLL startup time if it is needed in the system. The wakeup time is defined as the time taken for wakeup until the first instruction is fetched. 3. HCLK = MCK. The user may need to revert back to the previous clock configuration. 4. Depends on MCK frequency. 5. In this mode, the core is supplied and not clocked. Some peripherals can be clocked. 6. Resume from Wait mode if a debug request occurs (CDBGPWRUPREQ is set in the processor). 7. CAN wake-up requires the use of any WKUP0–13 pin. 7.7 Wakeup Sources Wakeup events allow the device to exit Backup mode. When a wakeup event is detected, the Supply Controller performs a sequence which automatically reenables the core power supply and the SRAM power supply, if they are not already enabled. 7.8 Fast Startup The SAM E70/S70/V70/V71 allows the processor to restart in a few microseconds while the processor is in Wait mode or in Sleep mode. A fast startup can occur upon detection of a low level on any of the following wake-up sources: • • • • • • • WKUP0 to WKUP13 pins Supply Monitor RTC alarm RTT alarm USBHS interrupt line (WAKEUP) Processor debug request (CDBGPWRUPREQ) GMAC wake on LAN event Note:  CAN wake-up requires the use of any WKUP0–13 pin. The fast restart circuitry is fully asynchronous and provides a fast startup signal to the Power Management Controller. As soon as the fast startup signal is asserted, the PMC automatically restarts the Main RC oscillator, switches the Host clock on this clock and re-enables the processor clock. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 48 SAM E70/S70/V70/V71 Input/Output Lines 8. Input/Output Lines The SAM E70/S70/V70/V71 features both general purpose I/Os (GPIO) and system I/Os. GPIOs can have alternate functionality due to multiplexing capabilities of the PIO controllers. The same PIO line can be used, whether in I/O mode or by the multiplexed peripherals. System I/Os include pins such as test pins, oscillators, erase or analog inputs. 8.1 General-Purpose I/O Lines General-purpose (GPIO) lines are managed by PIO Controllers. All I/Os have several input or output modes such as pullup or pulldown, input Schmitt triggers, multi-drive (open-drain), glitch filters, debouncing or input change interrupt. Programming of these modes is performed independently for each I/O line through the PIO controller user interface. For more details, refer to 32. Parallel Input/Output Controller (PIO). The input/output buffers of the PIO lines are supplied through VDDIO power supply rail. The SAM E70/S70/V70/V71 embeds high-speed pads able to handle the high-speed clocks for HSMCI, SPI and QSPI (MCK/2). Refer to 58. Electrical Characteristics for SAM V70/V71 for more details. Typical pullup and pulldown value is 100 kΩ for all I/Os. Each I/O line also embeds a RSERIAL (On-die Serial Resistor), as shown in the following figure. It consists of an internal series resistor termination scheme for impedance matching between the driver output (SAM E70/S70/V70/ V71) and the PCB trace impedance preventing signal reflection. The series resistor helps to reduce I/Os switching current (di/dt). thereby reducing in turn, EMI. It also decreases overshoot and undershoot (ringing) due to inductance of interconnect between devices or between boards. Finally, RSERIAL helps diminish signal integrity issues. Figure 8-1. On-Die Termination (ODT) Z0 ~ ZOUT + RODT On-die Serial Resistor 36 Ohms typ RSERIAL Receiver Driver with ZOUT ~ 10 Ohms 8.2 PCB Trace Z0 ~ 50 Ohms System I/O Lines System I/O lines are pins used by oscillators, Test mode, reset, JTAG and other features. The following table lists the SAM E70/S70/V70/V71 system I/O lines shared with PIO lines. These pins are software-configurable as general-purpose I/Os or system pins. At startup, the default function of these pins is always used. Table 8-1. System I/O Configuration Pin List CCFG_SYSIO Default Function Other Bit Number After Reset Function Constraints for Normal Start Configuration 12 ERASE PB12 Low Level at startup (see Note 1) In Matrix User Interface Registers (Refer to the 19.4.7. CCFG_SYSIO register) 7 TCK/SWCLK PB7 – 6 TMS/SWDIO PB6 – 5 TDO/TRACESWO PB5 – 4 TDI – © 2021 Microchip Technology Inc. and its subsidiaries PB4 Complete Datasheet DS60001527F-page 49 SAM E70/S70/V70/V71 Input/Output Lines ...........continued CCFG_SYSIO Default Function Other Bit Number After Reset Function Constraints for Normal Start Configuration – PA7 XIN32 – (see Note 2 and 4) – PA8 XOUT32 – – PB9 XIN – – PB8 XOUT – (see Note 3 and 4) Notes:  1. If PB12 is used as PIO input in user applications, a low level must be ensured at startup to prevent Flash erase before the user application sets PB12 into PIO mode. 2. Refer to 23.4.2. Slow Clock Generator. 3. Refer to 30.5.3. Main Crystal Oscillator. 4. If not used then the corresponding PIO pin should be setup as an output and attached to a dedicated trace on the board to reduce current consumption. 8.2.1 Serial Wire Debug Port (SW-DP) Pins The SW-DP pins SWCLK and SWDIO are commonly provided on a standard 20-pin JTAG connector defined by ARM. For more details about voltage reference and reset state, refer to Table 4-1. At startup, SW-DP pins are configured in SW-DP mode to allow connection with debugging probe. For more details, refer to 16. Debug and Test Features. SW-DP pins can be used as standard I/Os to provide users more general input/output pins when the debug port is not needed in the end application. Mode selection between SW-DP mode (System IO mode) and general IO mode is performed through the AHB Matrix Special Function Registers (MATRIX_SFR). Configuration of the pad for pull-up, triggers, debouncing and glitch filters is possible regardless of the mode. The JTAGSEL pin is used to select the JTAG boundary scan when asserted at a high level. It integrates a permanent pulldown resistor of about 15 kΩ to GND, so that it can be left unconnected for normal operations. The JTAG Debug Port TDI, TDO, TMS and TCK is inactive. It is provided for Boundary Scan Manufacturing Test purpose only. 8.2.2 Embedded Trace Module (ETM) Pins The Embedded Trace Module (ETM) depends on the Trace Port Interface Unit (TPIU) to export data out of the system. The TPUI features the following pins: • • 8.3 TRACECLK is always exported to enable synchronization with the data. TRACED0–TRACED3 is the instruction trace stream. NRST Pin The NRST pin is bidirectional. It is handled by the on-chip Reset Controller (RSTC) and can be driven low to provide a reset signal to the external components or asserted low externally to reset the microcontroller. It resets the core and the peripherals, with the exception of the Backup area (RTC, RTT, Backup SRAM and Supply Controller). The NRST pin integrates a permanent pullup resistor to VDDIO of about 100 kΩ. By default, the pin is configured as an input. 8.4 ERASE Pin The ERASE pin is used to perform hardware erase of the on-chip Flash and the NVM bits including GPNVM bits, Lock bits and the Security Bit. The hardware erase sequence will first erase the entire Flash and afterwards the NVM © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 50 SAM E70/S70/V70/V71 Input/Output Lines bits in order to fully secure the content of the on-chip Flash. The ERASE pin integrates a pull-down resistor of about 100 kΩ to GND, hence it can be left unconnected for normal operations. The ERASE pin is a system I/O pin that can be used as a standard I/O. At startup, this system I/O pin defaults to the ERASE function. This pin is debounced by SLCK to improve the glitch tolerance. To avoid unexpected erase at power-up due to glitches, a minimum ERASE pin assertion time is required. This time is defined in Table 58-49. The erase operation cannot be performed when the system is in Wait mode. If the ERASE pin is used as a standard I/O in Input or Output mode, note the following considerations and behavior: • • I/O Input mode: At startup of the device, the logic level of the pin must be low to prevent unwanted erasing until the user application has reconfigured this system I/O pin to a standard I/O pin. I/O Output mode: asserting the pin to low does not erase the Flash. During software application development, a faulty software may put the device into a deadlock. This may be due to: • • • Programming an incorrect clock switching sequence. Using this system I/O pin as a standard I/O pin. Entering Wait mode without any wakeup events programmed. To recover normal behavior is to erase the Flash by following these steps: 1. 2. 3. 4. Apply a logic “1” level on the ERASE pin. Apply a logic “0” level on the NRST pin. Power down and then power up the device. Maintain the ERASE pin to logic “1” level for at least the minimum assertion time after releasing the NRST pin to logic “1” level. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 51 SAM E70/S70/V70/V71 Interconnect 9. Interconnect The system architecture is based on the ARM Cortex-M7 processor connected to the main AHB Bus Matrix, the embedded Flash, the multi-port SRAM and the ROM. The 32-bit AHBP interface is a single 32-bit wide interface that accesses the peripherals connected on the main Bus Matrix. It is used only for data access. Instruction fetches are never performed on the AHBP interface. The bus, AHBP or AXIM, accessing the peripheral memory area [0x40000000 to 0x60000000] is selected in the AHBP control register. The 32-bit AHBS interface provides system access to the ITCM, D1TCM, and D0TCM. It is connected on the main Bus Matrix and allows the XDMA to transfer from memory or peripherals to the instruction or data TCMs. The 64-bit AXIM interface is a single 64-bit wide interface connected through two ports of the AXI Bridge to the main AHB Bus Matrix and to two ports of the multi-port SRAM. The AXIM interface allows: • • • • Instruction fetches Data cache linefills and evictions Non-cacheable normal-type memory data accesses Device and strongly-ordered type data accesses, generally to peripherals The interleaved multi-port SRAM optimizes the Cortex-M7 accesses to the internal SRAM. The interconnect of the other Hosts and Clients is described in 19. Bus Matrix (MATRIX). The figure below shows the connections of the different Cortex-M7 ports. Figure 9-1. Interconnect Block Diagram In-Circuit Emulator TPIU Cortex-M7 Processor fMAX 300 MHz ETM NVIC MPU Multi-Port SRAM ITCM TCM Interface 64-bit DTCM FPU 16 Kbytes DCache + ECC Flash ROM S S 2 x 32-bit 16 Kbytes ICache + ECC AHBP TCM SRAM AHBS AXIM System SRAM 64-bit 32-bit 32-bit AXI Bridge 32-bit M M © 2021 Microchip Technology Inc. and its subsidiaries 32-bit M 32-bit S S 32-bit S 12-layer AHB Bus Matrix fMAX 150 MHz Complete Datasheet DS60001527F-page 52 SAM E70/S70/V70/V71 Product Mapping 10. Product Mapping Figure 10-1. SAM E70/S70/V70/V71 Product Mapping 0x00000000 Address memory space 0x00000000 Code ITCM or Boot Memory Code 0x00400000 memories 0x60000000 Internal Flash EBI Chip Select 0 0x00800000 0x20000000 Internal SRAM ROM 0x61000000 Reserved 0x62000000 EBI Chip Select 1 0x00C00000 EBI Chip Select 2 0x1FFFFFFF 0x40000000 Peripherals 0x60000000 0x20000000 0x63000000 Internal SRAM EBI Chip Select 3 DTCM 0x70000000 SRAM 0x7FFFFFFF SDRAM Chip Select 0x20400000 Memories 0x20C00000 Reserved 0x3FFFFFFF 0x80000000 QSPI MEM 0x40000000 Peripherals 0x40060000 HSMCI 0xA0000000 18 0x40004000 22 0x40008000 0x4000C000 0xA0100000 23 +0x40 0x40068000 MLB 0x4006C000 24 +0x80 25 0x40010000 26 +0x40 System +0x80 28 0x40014000 +0x40 XDMAC block peripheral ID (+ : wired-or) 48 +0x80 0x40080000 0x40018000 0x4001C000 0x40090000 20 0x40024000 13 0x4002C000 15 MCAN0 0x40034000 MCAN1 0x40038000 35 37 34 29 30 0x40048000 45 46 0x400E2000 Reserved 0x5FFFFFFF 69 7 CHIPID 0x400E0A00 UART1 8 0x400E0C00 EFC 6 0x400E0E00 PIOA 10 0x400E1000 PIOB 11 0x400E1200 PIOC 12 0x400E1400 PIOD 16 0x400E1600 ACC 33 63 44 5 0x400E0940 DACC 0x40044000 WDT1 UART0 AFEC0 0x40040000 SYSC GPBR PMC 0x400E0800 USBHS 0x4003C000 2 SYSC 0x400E0600 USART2 0x40030000 RTC UTMI USART1 14 4 SYSC 70 0x400E0400 USART0 0x40028000 WDT0 I2SC1 PWM0 31 RTT 3 SYSC UART4 62 I2SC0 SYSC SUPC UART3 0x4008C000 TWIHS1 0x40020000 0x400E1E00 MATRIX TWIHS0 19 0x400E1C00 9 SDRAMC 0x40088000 RSTC 1 SYSC UART2 43 SMC 0x40084000 Peripherals SYSC 0x400E1A00 QSPI TC2_CH2 49 58 0x4007C000 TC2_CH1 offset +0x90 +0x100 0x40078000 TC2_CH0 47 +0x60 BRAM TC1_CH2 0xFFFFFFFF +0x50 57 0x40074000 TC1_CH1 27 53 TRNG TC1_CH0 0xE0000000 +0x30 56 0x40070000 TC0_CH2 Reserved 40 AES TC0_CH1 0xA0200000 +0x10 AFEC1 TC0_CH0 USBHS RAM 41 0x40064000 SPI0 21 0x400E1800 TWIHS2 SSC Reserved Peripherals PIOE 0x400E1800 17 ICM 32 0x4004C000 ISI 59 0x40050000 GMAC 39 0x40054000 TC3_CH0 50 +0x40 TC3_CH1 51 +0x80 TC3_CH2 52 0x40058000 SPI1 42 0x4005C000 PWM1 60 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 53 SAM E70/S70/V70/V71 Memories 11. Memories 11.1 Embedded Memories 11.1.1 Internal SRAM SAM E70/S70/V70/V71 devices embed 384 Kbytes or 256 Kbytes of high-speed SRAM. The SRAM is accessible over the system Cortex-M bus at address 0x2040 0000. SAM E70/S70/V70/V71 devices embed a Multi-Port SRAM with four ports to optimize the bandwidth and latency. The priorities, defined in the Bus Matrix for each SRAM port Client are propagated, for each request, up to the SRAM Clients. The Bus Matrix supports four priority levels: Normal, Bandwidth-sensitive, Latency-sensitive and Latency-critical in order to increase the overall processor performance while securing the high-priority latency-critical requests from the peripherals. The SRAM controller manages interleaved addressing of SRAM blocks to minimize access latencies. It uses Bus Matrix priorities to give the priority to the most urgent request. The less urgent request is performed no later than the next cycle. Two SRAM Client ports are dedicated to the Cortex-M7 while two ports are shared by the AHB Hosts. 11.1.2 Tightly Coupled Memory (TCM) Interface SAM E70/S70/V70/V71 devices embed Tightly Coupled Memory (TCM) running at processor speed. • • ITCM is a single 64-bit interface, based at 0x0000 0000 (code region). DTCM is composed of dual 32-bit interfaces interleaved, based at 0x2000 0000 (data region). ITCM and DTCM are enabled/disabled in the ITCMR and DTCMR registers in ARM SCB. DTCM is enabled by default at reset. ITCM is disabled by default at reset. There are four TCM configurations controlled by software. When enabled, ITCM is located at 0x0000 0000, overlapping ROM or Flash depending on the general-purpose NVM bit 1 (GPNVM). The configuration is done with GPNVM bits [8:7]. Table 11-1. TCM Configurations in Kbytes ITCM DTCM SRAM for 384K RAM-based SRAM for 256K RAM-based GPNVM Bits [8:7] 0 0 384 256 0 32 32 320 192 1 64 64 256 128 2 128 128 128 0 3 Accesses made to TCM regions when the relevant TCM is disabled and accesses made to the Code and SRAM region above the TCM size limit are performed on the AHB matrix, i.e., on internal Flash or on ROM depending on remap GPNVM bit. Accesses made to the SRAM above the size limit will not generate aborts. The Memory Protection Unit (MPU) can to be used to protect these areas. 11.1.3 Internal ROM The SAM E70/S70/V70/V71 embeds an Internal ROM for the SAM Boot Assistant (SAM-BA®), In Application Programming functions (IAP) and Fast Flash Programming Interface (FFPI). At any time, the ROM is mapped at address 0x0080 0000. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 54 SAM E70/S70/V70/V71 Memories The ROM may also be mapped at 0x00000000 depending on GPNVM bit setting and ITCM use. 11.1.4 Backup SRAM The SAM E70/S70/V70/V71 embeds 1 Kbytes of backup SRAM located at 0x4007 4000. The backup SRAM is accessible in 32-bit words only. Byte or half-word accesses are not supported. The backup SRAM is supplied by VDDCORE in Normal mode. In Backup mode, the backup SRAM supply is automatically switched to VDDIO through the backup SRAM power switch when VDDCORE falls. For more details, see the “Backup SRAM Power Switch” section. 11.1.5 Flash Memories SAM E70/S70/V70/V71 devices embed 512 Kbytes, 1024 Kbytes, or 2084 Kbytes of internal Flash mapped at address 0x40 0000. The devices feature a Quad SPI (QSPI) interface, mapped at address 0x80000000, that extends the Flash size by adding an external SPI or QSPI Flash. When accessed by the Cortex-M7 processor for programming operations, the QSPI and internal Flash address spaces must be defined in the Cortex-M7 memory protection unit (MPU) with the attribute 'Device' or 'Strongly Ordered'. For fetch or read operations, the attribute ‘Normal memory’ must be set to benefit from the internal cache. For additional information, refer to the ARM Cortex-M7 Technical Reference Manual (ARM DDI 0489), which is available for download at www.arm.com. Some precautions must be taken when the accesses are performed by the central DMA. Refer to the 22. Enhanced Embedded Flash Controller (EEFC) and 42. Quad Serial Peripheral Interface (QSPI). 11.1.5.1 Embedded Flash Overview The memory is organized in sectors and each sector has a size of 128 Kbytes. The first sector is divided into three smaller sectors which are organized in two sectors of 8 Kbytes and one sector of 112 Kbytes, see figure below. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 55 SAM E70/S70/V70/V71 Memories Figure 11-1. Global Flash Organization Address Sector size Sector Name 8 Kbytes Small Sector 0 8 Kbytes Small Sector 1 112 Kbytes Larger Sector 128 Kbytes Sector 1 128 Kbytes Sector n 0x000 Sector 0 Each sector is organized in pages of 512 bytes. For sector 0: • • • The smaller sector 0 has 16 pages of 512 bytes The smaller sector 1 has 16 pages of 512 bytes The larger sector has 224 pages of 512 bytes The rest of the array is composed of 128-Kbyte sectors of 256 pages of 512 bytes each, see image below. Figure 11-2. Flash Sector Organization Sector size is 128 Kbytes Sector 0 16 pages of 512 bytes Smaller sector 0 16 pages of 512 bytes Smaller sector 1 224 pages of 512 bytes Sector n Larger sector 256 pages of 512 bytes The figure below illustrates the organization of the Flash depending on its size. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 56 SAM E70/S70/V70/V71 Memories Figure 11-3. Flash Size Flash 2 Mbytes Flash 1 Mbyte Flash 512 Kbytes 2 * 8 Kbytes 2 * 8 Kbytes 2 * 8 Kbytes 1 * 112 Kbytes 1 * 112 Kbytes 1 * 112 Kbytes 15 * 128 Kbytes 7 * 128 Kbytes 3 * 128 Kbytes Erasing the memory can be performed: • • • • Chip Erase By block of 8 Kbytes By sector of 128 Kbytes By 512-byte page – Erase memory by page is possible only in an 8 Kbyte sector – EWP and EWPL commands can be only used in 8 Kbyte sectors The memory has one additional reprogrammable page that can be used as page signature by the user. It is accessible through specific modes, for erase, write and read operations. Erase pin assertion will not erase the User Signature page. 11.1.5.2 Enhanced Embedded Flash Controller Each Enhanced Embedded Flash Controller manages accesses performed by the hosts of the system. It enables reading the Flash and writing the write buffer. It also contains a User Interface, mapped on the APB. The Enhanced Embedded Flash Controller ensures the interface of the Flash block. It manages the programming, erasing, locking and unlocking sequences of the Flash using a full set of commands. One of the commands returns the embedded Flash descriptor definition that informs the system about the Flash organization, thus making the software generic. 11.1.5.3 Flash Speed The user must set the number of wait states depending on the system frequency. For more details, refer to Embedded Flash Characteristics. 11.1.5.4 Lock Regions Several lock bits are used to protect write and erase operations on lock regions. A lock region is composed of several consecutive pages, and each lock region has its associated lock bit. Table 11-2. Flash Lock Bits Flash Size (Kbytes) Number of Lock Bits Lock Region Size 2048 128 16 Kbytes © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 57 SAM E70/S70/V70/V71 Memories ...........continued Flash Size (Kbytes) Number of Lock Bits Lock Region Size 1024 64 16 Kbytes 512 32 16 Kbytes Asserting the ERASE pin clears the lock bits, thus unlocking the entire Flash. 11.1.5.5 Security Bit Feature The SAM E70/S70/V70/V71 features a security bit based on the GPNVM bit 0. When security is enabled, any access to the Flash, SRAM, core registers and internal peripherals, either through the SW-DP, the ETM interface or the Fast Flash Programming Interface, is blocked. This ensures the confidentiality of the code programmed in the Flash. This security bit can only be enabled through the command “Set General-purpose NVM Bit 0” of the EEFC User Interface. Disabling the security bit can only be achieved by asserting the ERASE pin at 1, and after a full Flash erase is performed. When the security bit is deactivated, all accesses to the Flash, SRAM, Core registers, Internal Peripherals are permitted. 11.1.5.6 Unique Identifier The device contains a unique identifier of 2 pages of 512 bytes. These 2 pages are read-only and cannot be erased even by the ERASE pin. The sequence to read the unique identifier area is described in 22.4.3.8. Unique Identifier Area. The mapping is as follows: • • Bytes [0..15]: 128 bits for unique identifier Bytes[16..1023]: Reserved 11.1.5.7 User Signature Each device contains a user signature of 512 bytes that is available to the user. The user signature can be used to store information such as trimming, keys, etc., that the user does not want to be erased by asserting the ERASE pin or by software ERASE command. Read, write and erase of this area is allowed. 11.1.5.8 Fast Flash Programming Interface (FFPI) The Fast Flash Programming Interface (FFPI) allows programming the device through a multiplexed fullyhandshaked parallel port. It allows gang programming with market-standard industrial programmers. The FFPI supports read, page program, page erase, full erase, lock, unlock and protect commands. The FFPI is enabled and the Fast Programming mode is entered when TST and PA3 and PA4 are tied low. Table 11-3. FFPI on PIO Controller A (PIOA) I/O Line System Function PD10 PGMEN0 PD11 PGMEN1 PB0 PGMM0 PB1 PGMM1 PB2 PGMM2 PB3 PGMM3 PA3 PGMNCMD PA4 PGMRDY PA5 PGMNOE PA21 PGMNVALID © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 58 SAM E70/S70/V70/V71 Memories ...........continued I/O Line System Function PA7 PGMD0 PA8 PGMD1 PA9 PGMD2 PA10 PGMD3 PA11 PGMD4 PA12 PGMD5 PA13 PGMD6 PA14 PGMD7 PD0 PGMD8 PD1 PGMD9 PD2 PGMD10 PD3 PGMD11 PD4 PGMD12 PD5 PGMD13 PD6 PGMD14 PD7 PGMD15 11.1.5.9 SAM-BA Boot The SAM-BA Boot is a default boot program which provides an easy way to program in-situ the on-chip Flash memory. The SAM-BA Boot Assistant supports serial communication via the UART0 and USB. The SAM-BA Boot provides an interface with SAM-BA computer application. The SAM-BA Boot is in ROM at address 0x0 when the bit GPNVM1 is set to 0. 11.1.5.10 General-purpose NVM (GPNVM) Bits All SAM E70/S70/V70/V71 devices feature nine general-purpose NVM (GPNVM) bits that can be cleared or set, through the “Clear GPNVM Bit” and “Set GPNVM Bit” commands of the EEFC User Interface. The GPNVM0 bit is the security bit. The GPNVM1bit is used to select the Boot mode (Boot always at 0x00) on ROM or Flash. Table 11-4. General-purpose Non volatile Memory Bits GPNVM Bit Function 0 Security bit 1 Boot mode selection 0: ROM (default) 1: Flash 5:2 Free 6 Reserved © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 59 SAM E70/S70/V70/V71 Memories ...........continued GPNVM Bit Function 8:7 TCM configuration 00: 0 Kbytes DTCM + 0 Kbytes ITCM (default) 01: 32 Kbytes DTCM + 32 Kbytes ITCM 10: 64 Kbytes DTCM + 64 Kbytes ITCM 11: 128 Kbytes DTCM + 128 Kbytes ITCM Note:  After programming, reboot must be done. 11.1.6 Boot Strategies The system always boots at address 0x0. To ensure maximum boot possibilities, the memory layout can be changed using GPNVM bits. A GPNVM bit is used to boot either on the ROM (default) or from the Flash. The GPNVM bit can be cleared or set, respectively, through the commands “Clear General-purpose NVM Bit” and “Set General-purpose NVM Bit” of the EEFC User Interface. Setting the bit GPNVM1 selects boot from the Flash. Clearing it selects boot from the ROM. Asserting ERASE resets the bit GPNVM1 and thus selects boot from ROM. 11.2 External Memories The SAM E70/S70/V70/V71 features one External Bus Interface to provide an interface to a wide range of external memories and to any parallel peripheral. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 60 SAM E70/S70/V70/V71 Event System 12. Event System The events generated by peripherals (source) are designed to be directly routed to peripherals (destination) using these events without processor intervention. The trigger source can be programmed in the destination peripheral. 12.1 Embedded Characteristics • • • • • • 12.2 Timers, PWM, I/Os and peripherals generate event triggers which are directly routed to destination peripherals, such as AFEC or DACC to start measurement/conversion without processor intervention. UART, USART, QSPI, SPI, TWI, PWM, HSMCI, AES, AFEC, DACC, PIO, TC (Capture mode) also generate event triggers directly connected to the DMA Controller for data transfer without processor intervention. Parallel capture logic is directly embedded in the PIO and generates trigger events to the DMA Controller to capture data without processor intervention. PWM safety events (faults) are in combinational form and directly routed from event generators (AFEC, ACC, PMC, TC) to the PWM module. PWM output comparators (OCx) generate events directly connected to the TC. PMC safety event (clock failure detection) can be programmed to switch the MCK on reliable main RC internal clock without processor intervention. Real-time Event Mapping Table 12-1. Real-time Event Mapping List Function Application Description Event Source Event Destination Safety Generalpurpose Automatic switch to reliable main RC oscillator in case of main crystal clock failure (see Note 1) Power Management Controller (PMC) PMC Generalpurpose, motor control, power factor correction (PFC) Puts the PWM outputs in Safe mode in case of main crystal clock failure (see Notes 1, 2) PMC Pulse Width Modulation 0 and 1 (PWM0 and PWM1) Motor control, PFC Puts the PWM outputs in Safe mode (overcurrent detection, etc.) (see Notes 2, 3) Analog Comparator Controller (ACC) PWM0 and PWM1 Motor control, PFC Puts the PWM outputs in Safe mode (overspeed, overcurrent detection, etc.) (see Notes 2, 4) Analog Front-End Controller (AFEC0) PWM0 and PWM1 AFEC1 PWM0 and PWM1 Puts the PWM outputs in Safe mode (overspeed detection through timer quadrature decoder) (see Notes 2, 6) TC0.Ch0 PWM0 TC0.Ch1 PWM1 PIO PA9, PD8, PD9 PWM0 PIO PA21, PA26, PA28 PWM1 Motor control Generalpurpose, motor control, power factor correction (PFC) © 2021 Microchip Technology Inc. and its subsidiaries Puts the PWM outputs in Safe mode (general-purpose fault inputs) (see Note 2) Complete Datasheet DS60001527F-page 61 SAM E70/S70/V70/V71 Event System ...........continued Function Application Description Event Source Event Destination Security Generalpurpose Immediate GPBR clear (asynchronous) on tamper detection through WKUP0/1 IO pins (see Note 5) PIO WKUP0/1 GPBR Measurement trigger Power factor correction (DC-DC, lighting, etc.) Duty cycle output waveform correction Trigger source selection in PWM (see Notes 7, 8) ACC PWM0 PIO PA10, PA22 PWM0 ACC PWM1 PIO PA30, PA18 PWM1 Generalpurpose Conversion trigger Image capture AFEC0 AFEC0 TC0.Ch1 (TIOA1) AFEC0 TC0.Ch2 (TIOA2) AFEC0 ACC AFEC0 PWM0 Event Line 0 and 1 AFEC0 Motor control ADC-PWM synchronization (see Notes 12, 14) Trigger source selection in AFEC (see Note 9) Generalpurpose Trigger source selection in AFEC (see PIO AFE1_ADTRG Note 9) TC1.Ch0 (TIOA3) AFEC1 AFEC1 TC1.Ch1 (TIOA4) AFEC1 TC1.Ch2 (TIOA5) AFEC1 ACC AFEC1 Motor control ADC-PWM synchronization (see PWM1 Event Line Notes 12, 14) 0 and 1 Trigger source selection in AFEC (see Note 9) AFEC1 Generalpurpose Temperature sensor Low-speed measurement (see Notes 10, 11) RTC RTCOUT0 AFEC0 and AFEC1 Generalpurpose Trigger source selection in DACC (Digital-to-Analog Converter Controller) (see Note 13) TC0.Ch0-2 (TIOA0, TIOA1, TIOA2) DACC PIO DATRG DACC PWM0 Event Line 0 and 1(14) DACC PWM1 Event Line 0 and 1(14) DACC PIO PA3/4/5/9/10/11/12/13, PA22, PA14, PA21 DMA Low-cost image sensor © 2021 Microchip Technology Inc. and its subsidiaries Trigger source selection in AFEC (see PIO AFE0_ADTRG Note 9) TC0.Ch0 (TIOA0) Direct image transfer from sensor to system memory via DMA(15) Complete Datasheet DS60001527F-page 62 SAM E70/S70/V70/V71 Event System ...........continued Function Application Description Event Source Event Destination Delay measurement Motor control Propagation delay of external components (IOs, power transistor bridge driver, etc.) See Notes 16, 17) PWM0 Comparator Output OC0 TC0.Ch0 TIOA0 and TIOB0 PWM0 Comparator Output OC1 TC0.Ch1 TIOA1 and TIOB1 PWM0 Comparator Output OC2 TC0.Ch2 TIOA2 and TIOB2 PWM1 Comparator Output OC0 TC1.Ch0 TIOA3 and TIOB3 PWM1 Comparator Output OC1 TC1.Ch1 TIOA4 and TIOB4 PWM1 Comparator Output OC2 TC1.Ch2 TIOA5 and TIOB5 PWM0 Comparator Output OC0 TC2.Ch0 TIOA6 and TIOB6 PWM0 Comparator Output OC1 TC2.Ch1 TIOA7 and TIOB7 PWM0 Comparator Output OC2 TC2.Ch2 TIOA8 and TIOB8 PWM1 Comparator Output OC0 TC3.Ch0 TIOA9 and TIOB9 PWM1 Comparator Output OC1 TC3.Ch1 TIOA10 and TIOB10 Audio clock recovery from Ethernet Audio GMAC GTSUCOMP signal adaptation GMAC via TC (TC3.TC_EMR.TRIGSRCB) in GTSUCOMP order to drive the clock reference of the external PLL for the audio clock TC3.Ch2 TIOB11 Direct Memory Access Generalpurpose Peripheral trigger event generation to transfer data to/from system memory (see Note 18) XDMA © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet USART, UART, TWIHS, SPI, QSPI, AFEC, TC (Capture), SSC, HSMCI, DAC, AES, PWM, PIO, I2SC DS60001527F-page 63 SAM E70/S70/V70/V71 Event System Notes:  1. Refer to 31.15. Main Crystal Oscillator Failure Detection. 2. Refer to 51.5.4. Fault Inputs and 51.6.2.7. Fault Protection. 3. Refer to 54.6.4. Fault Mode. 4. Refer to 54.5.4. Fault Output. 5. Refer to 23.4.9.2. Low-power Tamper Detection and Anti-Tampering and 29.3.1. SYS_GPBRx. 6. Refer to 50.6.18. Fault Mode. 7. Refer to 51.7.49. PWM_ETRGx. 8. Refer to 51.6.5. PWM External Trigger Mode. 9. Refer to 52.6.6. Conversion Triggers and 52.7.2. AFEC_MR. 10. Refer to 58.10. Temperature Sensor. 11. Refer to 27.5.8. Waveform Generation. 12. Refer to 51.7.36. PWM_CMPVx and 51.6.4. PWM Event Lines. 13. Refer to 53.7.3. DACC_TRIGR. 14. Refer to 51.6.3. PWM Comparison Units and 51.6.4. PWM Event Lines. 15. Refer to 32.5.14. Parallel Capture Mode. 16. Refer to 51.6.2.2. Comparator. 17. Refer to 50.6.14. Synchronization with PWM. 18. Refer to 36. DMA Controller (XDMAC). © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 64 SAM E70/S70/V70/V71 System Controller 13. System Controller The System Controller is a set of peripherals that handles key elements of the system, such as power, resets, clocks, time, interrupts, watchdog, and so on.. 13.1 System Controller and Peripherals Mapping Refer to the “Product Mapping” section. 13.2 Power-on-Reset, Brownout and Supply Monitor The SAM E70/S70/V70/V71 embeds three features to monitor, warn and/or reset the chip: • • • • 13.2.1 Power-on-Reset (POR) on VDDIO POR on VDDCORE Brown-out-Detector (BOD) on VDDCORE Supply Monitor on VDDIO Power-on-Reset The Power-on-Reset (POR) monitors VDDIO and VDDCORE. It is always activated and monitors voltage at start up but also during power down. If VDDIO or VDDCORE goes below the threshold voltage, the entire chip is Reset. For more information, refer to 58. Electrical Characteristics for SAM V70/V71. 13.2.2 Brownout Detector on VDDCORE The Brown-out-Detector(BOD) monitors VDDCORE. It is active by default. It can be deactivated by software through the Supply Controller (SUPC_MR). It is especially recommended to disable it during low-power modes, such as wait or sleep modes. If VDDCORE goes below the threshold voltage, the reset of the core is asserted. For more information, refer to 23. Supply Controller (SUPC) and 58. Electrical Characteristics for SAM V70/V71. 13.2.3 Supply Monitor on VDDIO The Supply Monitor monitors VDDIO. It is not active by default. It can be activated by software and is fully programmable with 16 steps for the threshold (between 1.6V to 3.4V). It is controlled by the Supply Controller (SUPC). A sample mode is possible, which allows the supply monitor power consumption to be divided by a factor of up to 2048. For more information, refer to 23. Supply Controller (SUPC) and 58. Electrical Characteristics for SAM V70/V71. 13.3 Reset Controller The Reset Controller is based on two POR cells, one on VDDIO and one on VDDCORE, and a Supply Monitor on VDDIO. The Reset Controller returns the source of the last reset to the software. This may be a general reset, a wakeup reset, a software reset, a user reset or a watchdog reset. The Reset Controller controls the internal resets of the system and the pin input/output. It can shape a reset signal for the external devices, simplifying the connection of a push-button on the NRST pin to implement a manual reset. The configuration of the Reset Controller is saved as supplied on VDDIO. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 65 SAM E70/S70/V70/V71 Peripherals 14. Peripherals 14.1 Peripheral Identifiers The following table defines the peripheral identifiers of the SAM E70/S70/V70/V71. A peripheral identifier is required for the control of the peripheral interrupt with the Nested Vectored Interrupt Controller and control of the peripheral clock with the Power Management Controller. Table 14-1. Peripheral Identifiers Instance ID Instance Name NVIC Interrupt PMC Description Clock Control 0 SUPC X – Supply Controller 1 RSTC X – Reset Controller 2 RTC X – Real Time Clock 3 RTT X – Real Time Timer 4 WDT X – Watchdog Timer 5 PMC X – Power Management Controller 6 EFC X – Enhanced Embedded Flash Controller 7 UART0 X X Universal Asynchronous Receiver/Transmitter 8 UART1 X X Universal Asynchronous Receiver/Transmitter 9 SMC – X Static Memory Controller 10 PIOA X X Parallel I/O Controller A 11 PIOB X X Parallel I/O Controller B 12 PIOC X X Parallel I/O Controller C 13 USART0 X X Universal Synchronous/Asynchronous Receiver/ Transmitter 14 USART1 X X Universal Synchronous/Asynchronous Receiver/ Transmitter 15 USART2 X X Universal Synchronous/Asynchronous Receiver/ Transmitter 16 PIOD X X Parallel I/O Controller D 17 PIOE X X Parallel I/O Controller E 18 HSMCI X X Multimedia Card Interface 19 TWIHS0 X X Two-wire Interface (I2C-compatible) 20 TWIHS1 X X Two-wire Interface (I2C-compatible) 21 SPI0 X X Serial Peripheral Interface 22 SSC X X Synchronous Serial Controller 23 TC0_CHANNEL0 X X 16-bit Timer Counter 0, Channel 0 24 TC0_CHANNEL1 X X 16-bit Timer Counter 0, Channel 1 25 TC0_CHANNEL2 X X 16-bit Timer Counter 0, Channel 2 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 66 SAM E70/S70/V70/V71 Peripherals ...........continued Instance ID Instance Name NVIC Interrupt PMC Description Clock Control 26 TC1_CHANNEL0 X X 16-bit Timer Counter 1, Channel 0 27 TC1_CHANNEL1 X X 16-bit Timer Counter 1, Channel 1 28 TC1_CHANNEL2 X X 16-bit Timer Counter 1, Channel 2 29 AFEC0 X X Analog Front-End Controller 30 DACC X X Digital-to-Analog Converter 31 PWM0 X X Pulse Width Modulation Controller 32 ICM X X Integrity Check Monitor 33 ACC X X Analog Comparator Controller 34 USBHS X X USB Host / Device Controller 35 MCAN0 X X CAN IRQ Line 0 36 MCAN0 INT1 – CAN IRQ Line 1 37 MCAN1 X X CAN IRQ Line 0 38 MCAN1 INT1 – CAN IRQ Line 1 39 GMAC X X Ethernet MAC 40 AFEC1 X X Analog Front End Controller 41 TWIHS2 X X Two-wire Interface 42 SPI1 X X Serial Peripheral Interface 43 QSPI X X Quad I/O Serial Peripheral Interface 44 UART2 X X Universal Asynchronous Receiver/Transmitter 45 UART3 X X Universal Asynchronous Receiver/Transmitter 46 UART4 X X Universal Asynchronous Receiver/Transmitter 47 TC2_CHANNEL0 X X 16-bit Timer Counter 2, Channel 0 48 TC2_CHANNEL1 X X 16-bit Timer Counter 2, Channel 1 49 TC2_CHANNEL2 X X 16-bit Timer Counter 2, Channel 2 50 TC3_CHANNEL0 X X 16-bit Timer Counter 3, Channel 0 51 TC3_CHANNEL1 X X 16-bit Timer Counter 3, Channel 1 52 TC3_CHANNEL2 X X 16-bit Timer Counter 3, Channel 2 53 MLB X X MediaLB IRQ 0 54 MLB X – MediaLB IRQ 1 55 – X – Reserved 56 AES X X Advanced Encryption Standard 57 TRNG X X True Random Number Generator 58 XDMAC X X DMA Controller 59 ISI X X Image Sensor Interface © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 67 SAM E70/S70/V70/V71 Peripherals ...........continued 14.2 Instance ID Instance Name NVIC Interrupt PMC Description Clock Control 60 PWM1 X X Pulse Width Modulation Controller 61 ARM FPU – ARM Floating Point Unit interrupt associated with OFC, UFC, IOC, DZC and IDC bits. 62 SDRAMC X X SDRAM Controller 63 RSWDT X – Reinforced Safety Watchdog Timer 64 ARM CCW – ARM Cache ECC Warning 65 ARM CCF – Arm Cache ECC Fault 66 GMAC Q1 – GMAC Queue 1 Interrupt signal toggled on a DMA write to the first word of each DMA data buffer associated with queue 1. 67 GMAC Q2 – GMAC Queue 2 Interrupt signal toggled on a DMA write to the first word of each DMA data buffer associated with queue 2. 68 ARM IXC – Floating Point Unit Interrupt IXC associated with FPU cumulative exception bit. 69 I2SC0 X X Inter-IC Sound Controller 70 I2SC1 X X Inter-IC Sound Controller 71 GMAC Q3 – GMAC Queue 3 Interrupt signal toggled on a DMA write to the first word of each DMA data buffer associated with queue 3 72 GMAC Q4 – GMAC Queue 4 Interrupt signal toggled on a DMA write to the first word of each DMA data buffer associated with queue 4 73 GMAC Q5 – GMAC Queue 5 Interrupt signal toggled on a DMA write to the first word of each DMA data buffer associated with queue 5 Peripheral Signal Multiplexing on I/O Lines The SAM E70/S70/V70/V71 features • • • Two PIO controllers on 64-pin versions (PIOA and PIOB) Three PIO controllers on the 100-pin version (PIOA, PIOB and PIOD) Five PIO controllers on the 144-pin version (PIOA, PIOB, PIOC, PIOD and PIOE), that multiplex the I/O lines of the peripheral set. The SAM E70/S70/V70/V71 PIO Controllers control up to 32 lines and each line can be assigned to one of four peripheral functions: A, B, C or D. For more information on multiplexed signals, refer to the “Package and Pinout” chapter. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 68 SAM E70/S70/V70/V71 ARM Cortex-M7 (ARM) 15. ARM Cortex-M7 (ARM) Refer to ARM reference documents Cortex-M7 Processor User Guide (ARM DUI 0644) and Cortex-M7 Technical Reference Manual (ARM DDI 0489), available on www.arm.com. 15.1 ARM Cortex-M7 Configuration The following table provides the configuration for the ARM Cortex-M7 processor in SAM E70/S70/V70/V71 devices. Table 15-1. ARM Cortex-M7 Configuration Features Configuration Debug Comparator set Full comparator set: 4 DWT and 8 FPB comparators ETM support Instruction ETM interface Internal Trace support (ITM) ITM and DWT trace functionality implemented CTI and WIC Not embedded TCM ITCM max size 128 KB DTCM max size 256 KB Cache Cache size 16 KB for instruction cache, 16 KB for data cache Number of sets 256 for instruction cache, 128 for data cache Number of ways 2 for instruction cache, 4 for data cache Number of words per cache line 8 words (32 bytes) ECC on Cache Embedded NVIC IRQ number 74 IRQ priority levels 8 MPU Number of regions 16 FPU FPU precision Single and double precision AHB Port AHBP addressing size © 2021 Microchip Technology Inc. and its subsidiaries 512 MB Complete Datasheet DS60001527F-page 69 SAM E70/S70/V70/V71 Debug and Test Features 16. Debug and Test Features 16.1 Description The device features a number of complementary debug and test capabilities. The Serial Wire Debug Port (SW-DP) is used for standard debugging functions, such as downloading code and single-stepping through programs. It also embeds a serial wire trace. 16.2 Embedded Characteristics • • • • • • • 16.3 Debug access to all memory and registers in the system, including Cortex-M register bank, when the core is running, halted, or held in reset. Serial Wire Debug Port (SW-DP) debug access (ADIv5.1 with no multidrop mode support). Flash Patch and Breakpoint (FPB) unit for implementing breakpoints and code patches. Data Watchpoint and Trace (DWT) unit for implementing watchpoints, data tracing, and system profiling. Instrumentation Trace Macrocell (ITM) for support of printf style debugging. 6-pin Embedded Trace Macrocell (ETM) for instruction trace stream, including CoreSight™ Trace Port Interface Unit (TPIU). IEEE1149.1 JTAG Boundary scan on All Digital Pins. Associated Documents The SAM E70/S70/V70/V71 implements the standard Arm CoreSight macrocell. For information on CoreSight, the following reference documents are available from the Arm web site (www.arm.com): • • • • • • Cortex-M7 User Guide Reference Manual (ARM DUI 0644) Cortex-M7 Technical Reference Manual (ARM DDI 0489) CoreSight Technology System Design Guide (ARM DGI 0012) CoreSight Components Technical Reference Manual (ARM DDI 0314) ARM Debug Interface v5 Architecture Specification (Doc. ARM IHI 0031) ARMv7-M Architecture Reference Manual (ARM DDI 0403) © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 70 SAM E70/S70/V70/V71 Debug and Test Features 16.4 Debug and Test Block Diagram Figure 16-1. Debug and Test Block Diagram TMS/SWDIO TCK/SWCLK TDI Boundary Test Access Port (TAP) JTAGSEL Serial Wire Debug Port TDO/TRACESWO POR Reset and Test Embedded Trace Macrocell TRACED0–3 PIO Cortex-M7 TST TRACECLK PCK3 16.5 Debug and Test Pin Description Table 16-1. Debug and Test Signal List Signal Name Function Type Active Level NRST Microcontroller Reset Input/Output Low TST Test Select Input – Reset/Test Serial Wire Debug Port/JTAG Boundary Scan TCK/SWCLK Test Clock/Serial Wire Clock Input – TDI Test Data In Input – TDO/TRACESWO Test Data Out/Trace Asynchronous Data Out Output – TMS/SWDIO Test Mode Select/Serial Wire Input/Output Input – JTAGSEL JTAG Selection Input High TRACECLK Trace Clock Output – TRACED0–3 Trace Data Output – Trace Debug Port © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 71 SAM E70/S70/V70/V71 Debug and Test Features 16.6 16.6.1 Application Examples Debug Environment The figure below shows a complete debug environment example. The SW-DP interface is used for standard debugging functions, such as downloading code and single-stepping through the program and viewing core and peripheral registers. Figure 16-2. Application Debug Environment Example Host Debugger PC Serial Wire Debug Port Emulator/Probe Serial Wire Debug Port Connector Microchip MCU Cortex-M7-based Application Board 16.6.2 Test Environment The figure below shows a test environment example (JTAG Boundary scan). Test vectors are sent and interpreted by the tester. In this example, the “board in test” is designed using a number of JTAG-compliant devices. These devices can be connected to form a single scan chain. Figure 16-3. Application Test Environment Example Test Adaptor Tester JTAG Probe JTAG Connector Chip n Microchip MCU Chip 2 Chip 1 Cortex-M7-based Application Board In Test © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 72 SAM E70/S70/V70/V71 Debug and Test Features 16.7 Functional Description 16.7.1 Test Pin The TST pin is used for JTAG Boundary Scan Manufacturing Test or Fast Flash Programming mode. The TST pin integrates a permanent pulldown resistor of about 15 kΩ to GND, so that it can be left unconnected for normal operations. To enable Fast Flash Programming mode, refer to 18. Fast Flash Programming Interface (FFPI). 16.7.2 Debug Architecture Figure 16-4 shows the debug architecture used. The Cortex-M7 embeds six functional units for debug: • • • • • • Serial Wire Debug Port (SW-DP) debug access FPB (Flash Patch Breakpoint) DWT (Data Watchpoint and Trace) ITM (Instrumentation Trace Macrocell) 6-pin Embedded Trace Macrocell (ETM) for instruction trace stream, including CoreSight Trace Port Interface Unit (TPIU) IEEE1149.1 JTAG Boundary scan on all digital pins The debug architecture information that follows is mainly dedicated to developers of SW-DP Emulators/Probes and debugging tool vendors for Cortex-M7-based microcontrollers. For further details on SW-DP, see the Cortex - M7 Technical Reference Manual. Figure 16-4. Debug Architecture Data Watchpoint and Trace Flash Patch Breakpoint 4 Watchpoints 6 Breakpoints PC Sampler Instrumentation Trace Macrocell Serial Wire Debug Port Serial Wire Debug Data Address Sampler Software Trace 32 channels Serial Wire Output Trace Time Stamping Data Sampler Embedded Trace Macrocell Interrupt Trace CPU Statistics 16.7.3 Instruction Trace Trace Port Time Stamping Serial Wire Debug Port (SW-DP) Pins The SW-DP pins SWCLK and SWDIO are commonly provided on a standard 20-pin JTAG connector defined by ARM. For more details on voltage reference and reset state, refer to the "Signal Description" chapter. At startup, SW-DP pins are configured in SW-DP mode to allow connection with debugging probe. SW-DP pins can be used as standard I/Os to provide users more general input/output pins when the debug port is not needed in the end application. Mode selection between SW-DP mode (System I/O mode) and general I/O mode is performed through the AHB Matrix Chip Configuration registers (CCFG_SYSIO). Configuration of the pad for pullup, triggers, debouncing and glitch filters is possible regardless of the mode. The JTAGSEL pin is used to select the JTAG boundary scan when asserted at a high level. It integrates a permanent pulldown resistor of about 15 kΩ to GND, so that it can be left unconnected for normal operations. The JTAG debug ports TDI, TDO, TMS and TCK are inactive. They are provided for Boundary Scan Manufacturing Test purposes only. By default the SW-DP is active; TDO/TRACESWO can be used for trace. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 73 SAM E70/S70/V70/V71 Debug and Test Features Table 16-2. SW-DP Pin List Pin Name JTAG Boundary Scan Serial Wire Debug Port TMS/SWDIO TMS SWDIO TCK/SWCLK TCK SWCLK TDI TDI – TDO/TRACESWO TDO TRACESWO (optional: trace) SW-DP is selected when JTAGSEL is low. It is not possible to switch directly between SW-DP and JTAG boundary scan operations. A chip reset must be performed after JTAGSEL is changed. 16.7.4 Embedded Trace Module (ETM) Pins The Embedded Trace Module (ETM) uses the Trace Port Interface Unit (TPIU) to export data out of the system. The TPUI features the pins: • • 16.7.5 TRACECLK–always exported to enable synchronization back with the data. PCK3 is used internally. TRACED0–3–the instruction trace stream. Flash Patch Breakpoint (FPB) The FPB implements hardware breakpoints. 16.7.6 Data Watchpoint and Trace (DWT) The DWT contains four comparators which can be configured to generate: • • • PC sampling packets at set intervals PC or Data watchpoint packets Watchpoint event to halt core The DWT contains counters for: • • • • • • 16.7.7 Clock cycle (CYCCNT) Folded instructions Load Store Unit (LSU) operations Sleep cycles CPI (all instruction cycles except for the first cycle) Interrupt overhead Instrumentation Trace Macrocell (ITM) The ITM is an application driven trace source that supports printf style debugging to trace Operating System (OS) and application events, and emits diagnostic system information. The ITM emits trace information as packets which can be generated by three different sources with several priority levels: • • • Software trace: Software can write directly to ITM stimulus registers. This can be done using the printf function. For more information, refer to 16.7.5. Flash Patch Breakpoint (FPB). Hardware trace: The ITM emits packets generated by the DWT. Timestamping: Timestamps are emitted relative to packets. The ITM contains a 21-bit counter to generate the timestamp. 16.7.7.1 How to Configure the ITM The following example describes how to output trace data in asynchronous trace mode. Configure the TPIU for asynchronous trace mode. Refer to 16.7.7.3. How to Configure the TPIU. 1. 2. Enable the write accesses into the ITM registers by writing “0xC5ACCE55” into the Lock Access Register (Address: 0xE0000FB0) Write 0x00010015 into the Trace Control register: © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 74 SAM E70/S70/V70/V71 Debug and Test Features 3. 4. 5. – Enable ITM. – Enable Synchronization packets. – Enable SWO behavior. – Fix the ATB ID to 1. Write 0x1 into the Trace Enable register: – Enable the Stimulus port 0. Write 0x1 into the Trace Privilege register: – Stimulus port 0 only accessed in privileged mode (Clearing a bit in this register will result in the corresponding stimulus port being accessible in user mode.) Write into the Stimulus port 0 register: TPIU (Trace Port Interface Unit) The TPIU acts as a bridge between the on-chip trace data and the Instruction Trace Macrocell (ITM). The TPIU formats and transmits trace data off-chip at frequencies asynchronous to the core. 16.7.7.2 Asynchronous Mode The TPIU is configured in asynchronous mode, trace data are output using the single TRACESWO pin. The TRACESWO signal is multiplexed with the TDO signal. As a consequence, asynchronous trace mode is only available when the Serial Wire Debug mode is selected. Two encoding formats are available for the single pin output: • • Manchester encoded stream. This is the reset value. NRZ_based UART byte structure 16.7.7.3 How to Configure the TPIU This example only concerns the asynchronous trace mode. Set the TRCENA bit to 1 into the Debug Exception and Monitor Register (0xE000EDFC) to enable the use of trace and debug blocks. 1. 2. 3. 16.7.8 Write 0x2 into the Selected Pin Protocol Register. – Select the Serial Wire output – NRZ Write 0x100 into the Formatter and Flush Control Register. Set the suitable clock prescaler value into the Async Clock Prescaler Register to scale the baud rate of the asynchronous output (this can be done automatically by the debugging tool). IEEE1149.1 JTAG Boundary Scan IEEE1149.1 JTAG Boundary Scan allows pin-level access independent of the device packaging technology. IEEE1149.1 JTAG Boundary Scan is enabled when TST is tied to high, PD0 tied to low, and JTAGSEL tied to high during powerup. These pins must be maintained in their respective states for the duration of the boundary scan operation. The SAMPLE, EXTEST and BYPASS functions are implemented. In Serial Wire Debug mode, the ARM processor responds with a non-JTAG chip ID that identifies the processor. This is not IEEE1149.1 JTAG-compliant. It is not possible to switch directly between JTAG Boundary Scan and SWJ Debug Port operations. A chip reset must be performed after JTAGSEL is changed. A Boundary Scan Descriptor Language (BSDL) file to set up the test is provided on www.microchip.com. 16.7.8.1 JTAG Boundary Scan Register The Boundary Scan Register (BSR) contains a number of bits which correspond to active pins and associated control signals. Each input/output pin corresponds to a 3-bit register in the BSR. The OUTPUT bit contains data that can be forced on the pad. The INPUT bit facilitates the observability of data applied to the pad. The CONTROL bit selects the direction of the pad. For more information, refer to BDSL files available on www.microchip.com. 16.7.9 ID Code Register Access: Read-only © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 75 SAM E70/S70/V70/V71 Debug and Test Features 31 30 29 28 27 26 25 24 18 17 16 10 9 8 VERSION 23 22 21 PART NUMBER 20 19 PART NUMBER 15 14 13 12 11 PART NUMBER 7 6 5 MANUFACTURER IDENTITY 4 3 2 MANUFACTURER IDENTITY • VERSION[31:28]: Product Version Number Set to 0x0. • PART NUMBER[27:12]: Product Part Number Set to 0x0. 1 0 1 PART NUMBER 0x5B3D • MANUFACTURER IDENTITY[11:1]: Manufacturer ID Set to 0x01F. • Bit[0]: Required by IEEE Std. 1149.1 Set to 0x1. JTAG ID Code 0x5B3D_D03F © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 76 SAM E70/S70/V70/V71 SAM-BA Boot Program 17. SAM-BA Boot Program 17.1 Description The SAM-BA Boot Program integrates an array of programs permitting download and/or upload into the different memories of the product. 17.2 Embedded Characteristics • • • 17.3 Default Boot program Interface with SAM-BA graphic user interface (GUI) SAM-BA Boot – Supports several communication media: • Serial Communication on UART0 • USB device port communication up to 1Mbyte/s – USB Requirements: • External crystal or external clock with frequency of 12 MHz or 16 MHz Hardware and Software Constraints • • • SAM-BA Boot uses the first 2048 bytes of the SRAM for variables and stacks. The remaining available bytes can be used for the user code. USB requirements: – External crystal or external clock (see Note below) with frequency of 12 MHz or 16 MHz Note:  Must be 2500 ppm and VDDIO square wave signal. UART0 requirements: – None. If accurate external clock source is not available, the internal 12 MHz RC meets RS-232 standards at room temperature. Table 17-1. Pins Driven during Boot Program Execution 17.4 Peripheral Pin PIO Line UART0 URXD0 PA9 UART0 UTXD0 PA10 Flow Diagram The boot program implements the algorithm below. Figure 17-1. Boot Program Algorithm Flow Diagram No Device Setup USB Enumeration Successful ? No Yes Run SAM-BA Monitor © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet Character # received from UART0? Yes Run SAM-BA Monitor DS60001527F-page 77 SAM E70/S70/V70/V71 SAM-BA Boot Program The SAM-BA boot program looks for a source clock, either from the embedded main oscillator with external crystal (main oscillator enabled) or from a supported frequency signal applied to the XIN pin (Main oscillator in bypass mode). If a clock is supplied by one of the two sources, the boot program checks that the frequency is one of the supported external frequencies. If the frequency is supported, USB activation is allowed. If no clock is supplied, or if a clock is supplied but the frequency is not a supported external frequency, the internal 12 MHz RC oscillator is used as the main clock. In this case, the USB is not activated due to the frequency drift of the 12 MHz RC oscillator. 17.5 Device Initialization Initialization by the boot program follows the steps described below: Stack setup. 1. 2. 3. Embedded Flash Controller setup. External clock (crystal or external clock on XIN) detection. External crystal or clock with supported frequency supplied. a. If yes, USB activation is allowed. b. If no, USB activation is not allowed. The internal 12 MHz RC oscillator is used. 4. Host clock switch to main oscillator. 5. C variable initialization. 6. PLLA setup: PLLA is initialized to generate a 48 MHz clock. 7. Watchdog disable. 8. Initialization of UART0 (115200 bauds, 8, N, 1). 9. Initialization of the USB Device Port (only if USB activation is allowed; see Step 4.). 10. Wait for one of the following events: a. Check if USB device enumeration has occurred. b. Check if characters have been received in UART0. 11. Jump to SAM-BA Monitor (refer to 17.6. SAM-BA Monitor) 17.6 SAM-BA Monitor Once the communication interface is identified, the monitor runs in an infinite loop, waiting for different commands, as shown in the following table. Table 17-2. Commands Available through the SAM-BA Boot Command Action Arguments Example N Set Normal mode No argument N# T Set Terminal mode No argument T# O Write a byte Address, Value# O200001,CA# o Read a byte Address,# o200001,# H Write a half word Address, Value# H200002,CAFE# h Read a half word Address,# h200002,# W Write a word Address, Value# W200000,CAFEDECA# w Read a word Address,# w200000,# S Send a file Address,# S200000,# R Receive a file Address, NbOfBytes# R200000,1234# © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 78 SAM E70/S70/V70/V71 SAM-BA Boot Program ...........continued Command Action Arguments Example G Go Address# G200200# V Display version No argument V# • • • • • • • 17.6.1 Mode commands: – Normal mode configures SAM-BA Monitor to send/receive data in binary format – Terminal mode configures SAM-BA Monitor to send/receive data in ASCII format Write commands: Write a byte (O), a halfword (H) or a word (W) to the target – Address: Address in hexadecimal – Value: Byte, halfword or word to write in hexadecimal Read commands: Read a byte (o), a halfword (h) or a word (w) from the target – Address: Address in hexadecimal – Output: The byte, halfword or word read in hexadecimal Send a file (S): Send a file to a specified address – Address: Address in hexadecimal Note:  There is a timeout on this command which is reached when the prompt ‘>’ appears before the end of the command execution. Receive a file (R): Receive data into a file from a specified address – Address: Address in hexadecimal – NbOfBytes: Number of bytes in hexadecimal to receive Go (G): Jump to a specified address and execute the code – Address: Address to jump in hexadecimal Get Version (V): Return the SAM-BA boot version Note:  In Terminal mode, when the requested command is performed, SAM-BA Monitor adds the following prompt sequence to its answer: ++'>'. UART0 Serial Port Communication is performed through the UART0 initialized to 115200 Baud, 8, n, 1. The Send and Receive File commands use the Xmodem protocol to communicate. Any terminal performing this protocol can be used to send the application file to the target. The size of the binary file to send depends on the SRAM size embedded in the product. In all cases, the size of the binary file must be smaller than the SRAM size because the Xmodem protocol requires some SRAM memory to work. Refer to the "Hardware and Software Constraints" section. 17.6.2 Xmodem Protocol The Xmodem protocol supported is the 128-byte length block. This protocol uses a two-character CRC-16 to guarantee detection of a maximum bit error. The Xmodem protocol with CRC is accurate if both sender and receiver report successful transmission. Each block of the transfer has the following format: in which: • • • • = 01 hex = binary number, starts at 01, increments by 1, and wraps 0FFH to 00H (not to 01) = 1’s complement of the blk#. = 2 bytes CRC16 The figure below shows a transmission using this protocol. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 79 SAM E70/S70/V70/V71 SAM-BA Boot Program Figure 17-2. Xmodem Transfer Example Host Device C SOH 01 FE Data[128] CRC CRC ACK SOH 02 FD Data[128] CRC CRC ACK SOH 03 FC Data[100] CRC CRC ACK EOT ACK 17.6.3 USB Device Port The device uses the USB communication device class (CDC) drivers to take advantage of the installed PC RS-232 software to talk over the USB. The CDC class is implemented in all releases of Windows®, beginning with Windows 98SE. The CDC document, available at www.usb.org, describes a way to implement devices such as ISDN modems and virtual COM ports. The Vendor ID (VID) is the Atmel vendor ID 0x03EB. The product ID (PID) is 0x6124. These references are used by the host operating system to mount the correct driver. On Windows systems, the INF files contain the correspondence between vendor ID and product ID. For more details on VID/PID for end product/systems, refer to the Vendor ID form available from the USB Implementers Forum found at http://www.usb.org/. WARNING Unauthorized use of assigned or unassigned USB Vendor ID Numbers and associated Product ID Numbers is strictly prohibited. 17.6.3.1 Enumeration Process The USB protocol is a Host/Client protocol. This is the host that starts the enumeration sending requests to the device through the control endpoint. The device handles standard requests as defined in the USB Specification. Table 17-3. Handled Standard Requests Request Definition GET_DESCRIPTOR Returns the current device configuration value. SET_ADDRESS Sets the device address for all future device access. SET_CONFIGURATION Sets the device configuration. GET_CONFIGURATION Returns the current device configuration value. GET_STATUS Returns status for the specified recipient. SET_FEATURE Set or Enable a specific feature. CLEAR_FEATURE Clear or Disable a specific feature. The device also handles some class requests defined in the CDC class. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 80 SAM E70/S70/V70/V71 SAM-BA Boot Program Table 17-4. Handled Class Requests Request Definition SET_LINE_CODING Configures DTE rate, stop bits, parity and number of character bits. GET_LINE_CODING Requests current DTE rate, stop bits, parity and number of character bits. SET_CONTROL_LINE_STATE RS-232 signal used to tell the DCE device the DTE device is now present. Unhandled requests are STALLed. 17.6.3.2 Communication Endpoints There are two communication endpoints. Endpoint 0 is used for the enumeration process. Endpoint 1 is a 64-byte Bulk OUT endpoint. Endpoint 2 is a 64-byte Bulk IN endpoint. SAM-BA Boot commands are sent by the host through endpoint 1. If required, the message is split by the host into several data payloads by the host driver. If the command requires a response, the host can send IN transactions to pick up the response. 17.6.4 In Application Programming (IAP) Feature The IAP feature is a function located in ROM that can be called by any software application. When called, this function sends the desired FLASH command to the EEFC and waits for the Flash to be ready (looping while the FRDY bit is not set in the MC_FSR register). Since this function is executed from ROM, this allows Flash programming (such as sector write) to be done by code running in Flash. The IAP function entry point is retrieved by reading the NMI vector in ROM (0x00800008). This function takes two arguments as parameters: • • the index of the Flash bank to be programmed: 0 for EEFC0, 1 for EEFC1. For devices with only one bank, this parameter has no effect and can be either 0 or 1, only EEFC0 will be accessed. the command to be sent to the EEFC Command register. This function returns the value of the EEFC_FSR register. An example of IAP software code follows: // Example: How to write data in page 200 of the flash memory using ROM IAP function flash_page_num = 200 flash_cmd = 0 flash_status = 0 eefc_index = 0 (0 for EEFC0, 1 for EEFC1) // Initialize the function pointer (retrieve function address from NMI vector)*/ iap_function_address = 0x00800008 // Fill the Flash page buffer at address 200 with the data to be written for i=0, i < page_size, i++ do flash_sector_200_address[i] = your_data[i] // Prepare the command to be sent to the EEFC Command register: key, page number and write command flash_cmd = (0x5A programming period reduced => Only 1 word programmed => programming period reduced 32 bits wide FF 4 x 32 bits 4 x 32 bits FF FF FF FF FF 32 bits wide FF 0xX1C FF FF FF FF FF FF FF FF FF 0xX1C 0xX18 FF FF FF 0xX14 FF 0xX10 0xX18 CA FE CA FE 0xX14 FF CA CA FE FE CA CA FE FE 0xX10 FF FF FF 0xX0C CA FE CA FE 0xX0C CA FE CA FE 0xX08 CA FE CA FE 0xX08 FF FF FF FF 0xX04 CA FE CA FE 0xX04 FF FF FF FF 0xX00 CA FE CA FE 0xX00 Case 3: 4 x 32 bits modified across 128-bit boundary Case 4: 4 x 32 bits modified, not crossing 128-bit boundary User programs WP, Flash Controller sends WP User programs WP, Flash Controller sends Write Word => Whole page programmed => Only 1 word programmed => programming period reduced © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 136 SAM E70/S70/V70/V71 Enhanced Embedded Flash Controller (EEFC) Figure 22-11. Programming Bytes in the Flash 32 bits wide 4 x 32 bits = 1 Flash word 4 x 32 bits = 1 Flash word FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF FF xx xx xx xx xx xx 32 bits wide FF FF FF FF 0xX1C xx xx xx xx 0xX1C 0xX18 xx xx xx 0xX18 0xX14 xx xx xx xx 0xX14 FF address space for Page N 0xX10 xx xx xx xx 55 0xX10 xx xx 0xX0C xx xx xx xx 0xX0C xx xx 0xX08 xx xx xx xx 0xX08 xx xx xx 0xX04 xx xx xx xx 0xX04 xx xx AA 0xX00 xx xx xx AA 0xX00 Step 1: Flash array after programming first byte (0xAA) Step 2: Flash array after programming second byte (0x55) 128-bit used at address 0xX00 (write latch buffer + WP) 128-bit used at address 0xX10 (write latch buffer + WP) Note: The byte location shown here is for example only, it can be any byte location within a 64-bit word 22.4.3.3 Erase Commands Erase commands are allowed only on unlocked regions. Depending on the Flash memory, several commands can be used to erase the Flash: • • • Erase All Memory (EA): All memory is erased. The processor must not fetch code from the Flash memory. Erase Pages (EPA): 4, 8, 16, or 32 pages are erased in the Flash sector selected. The first page to be erased is specified in the FARG[15:2] field of the EEFC_FCR. The first page number must be a multiple of 8, 16, or 32 depending on the number of pages to erase simultaneously. Erase Sector (ES): A full memory sector is erased. Sector size depends on the Flash memory. EEFC_FCR.FARG must be set with a page number that is in the sector to be erased. Note:  If one sub-sector is locked within the first sector, the Erase Sector (ES) command cannot be processed on non-locked sub-sectors of the first sector. All the lock bits of the first sector must be cleared prior to issuing an ES command on the first sector. After the ES command has been issued, the first sector lock bits must be reverted to the state before clearing them. If the processor is fetching code from the Flash memory while the EPA or ES command is being executed, the processor accesses are stalled until the EPA command is completed. To avoid stalling the processor, the code can be run out of internal SRAM. The following are the erase sequence: 1. Erase starts immediately one of the erase commands and the FARG field are written in EEFC_FCR. For the EPA command, the two lowest bits of the FARG field define the number of pages to be erased (FARG[1:0]), see table below. Table 22-3. EEFC_FCR.FARG Field for EPA Command FARG[1:0] Number of pages to be erased with EPA command 0 4 pages (only valid for small 8-KB sectors) 1 8 pages (only valid for small 8-KB sectors) 2 16 pages © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 137 SAM E70/S70/V70/V71 Enhanced Embedded Flash Controller (EEFC) ...........continued FARG[1:0] Number of pages to be erased with EPA command 3 32 pages (not valid for small 8-KB sectors) 2. When erasing is completed, the EEFC_FSR.FRDY bit rises. If an interrupt has been enabled by setting the EEFC_FMR.FRDY bit, the interrupt line of the interrupt controller is activated. Three errors can be detected in EEFC_FSR after an erasing sequence: • • • Command Error: A bad keyword has been written in EEFC_FCR. Lock Error: At least one page to be erased belongs to a locked region. The erase command has been refused, no page has been erased. A command must be run previously to unlock the corresponding region. Flash Error: At the end of the erase period, the EraseVerify test of the Flash memory has failed. After a first programming pulse, a Verify is applied. The memory is read, to compare both programmed and expected values. If that comparison fails, a second programming pulse is applied, and so on, until a maximum pulse number is reached. At this time, if the memory is still not containing what is expected, the FLERR flag is set high. 22.4.3.4 Lock Bit Protection Lock bits are associated with several pages in the embedded Flash memory plane. This defines lock regions in the embedded Flash memory plane. They prevent writing/erasing protected pages. The following are lock sequence: 1. 2. 3. Execute the ‘Set Lock Bit’ command by writing the EEFC_FCR.FCMD bit with the SLB command and EEFC_FCR.FARG with a page number to be protected. When the locking completes, the EEFC_FSR.FRDY bit rises. If an interrupt has been enabled by setting the bit EEFC_FMR.FRDY, the interrupt line of the interrupt controller is activated. The result of the SLB command can be checked running a ‘Get Lock Bit’ (GLB) command. Note:  The value of the FARG argument passed together with SLB command must not exceed the higher lock bit index available in the product. The following two errors can be detected in EEFC_FSR after a programming sequence: • • Command Error: A bad keyword has been written in EEFC_FCR. Flash Error: At the end of the programming, the EraseVerify or WriteVerify test of the Flash memory has failed. After a first programming pulse, a Verify is applied. The memory is read, to compare both programmed and expected values. If that comparison fails, a second programming pulse is applied, and so on, until a maximum pulse number is reached. At this time, if the memory is still not containing what is expected, the FLERR flag is set high. It is possible to clear lock bits previously set. After the lock bits are cleared, the locked region can be erased or programmed. The unlock sequence is the following: 1. 2. Execute the ‘Clear Lock Bit’ command by writing the EEFC_FCR.FCMD bit with the CLB command and the EEFC_FCR.FARG bit with a page number to be unprotected. When the unlock completes, the EEFC_FSR.FRDY bit rises. If an interrupt has been enabled by setting the EEFC_FMR.FRDY bit, the interrupt line of the interrupt controller is activated. Note:  The value of the FARG argument passed together with CLB command must not exceed the higher lock bit index available in the product. Two errors can be detected in EEFC_FSR after a programming sequence: • • Command Error: A bad keyword has been written in EEFC_FCR. Flash Error: At the end of the programming, the EraseVerify or WriteVerify test of the Flash memory has failed. After a first programming pulse, a Verify is applied. The memory is read, to compare both programmed and expected values. If that comparison fails, a second programming pulse is applied, and so on, until a maximum pulse number is reached. At this time, if the memory is still not containing what is expected, the FLERR flag is set high. The status of lock bits can be returned by the EEFC. The ‘Get Lock Bit’ sequence is the following: © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 138 SAM E70/S70/V70/V71 Enhanced Embedded Flash Controller (EEFC) 1. 2. Execute the ‘Get Lock Bit’ command by writing EEFC_FCR.FCMD with the GLB command. Field EEFC_FCR.FARG is meaningless. Lock bits can be read by the software application in EEFC_FRR. The first word read corresponds to the 32 first lock bits, next reads providing the next 32 lock bits as long as it is meaningful. Extra reads to EEFC_FRR return 0. For example, if the third bit of the first word read in EEFC_FRR is set, the third lock region is locked. Two errors can be detected in EEFC_FSR after a programming sequence: • • Command Error: A bad keyword has been written in EEFC_FCR. Flash Error: At the end of the programming, the EraseVerify or WriteVerify test of the Flash memory has failed. After a first programming pulse, a Verify is applied. The memory is read, to compare both programmed and expected values. If that comparison fails, a second programming pulse is applied, and so on, until a maximum pulse number is reached. At this time, if the memory is still not containing what is expected, the FLERR flag is set high. Note:  Access to the Flash in read is permitted when a ‘Set Lock Bit’, ‘Clear Lock Bit’ or ‘Get Lock Bit’ command is executed. 22.4.3.5 GPNVM Bit The GPNVM bits do not interfere with the embedded Flash memory plane. For more details, refer to the “Memories” chapter. The ‘Set GPNVM Bit’ sequence is the following: 1. 2. 3. Execute the ‘Set GPNVM Bit’ command by writing EEFC_FCR.FCMD with the SGPB command and EEFC_FCR.FARG with the number of GPNVM bits to be set. When the GPNVM bit is set, the bit EEFC_FSR.FRDY rises. If an interrupt was enabled by setting the bit EEFC_FMR.FRDY, the interrupt line of the interrupt controller is activated. The result of the SGPB command can be checked by running a ‘Get GPNVM Bit’ (GGPB) command. Note:  The value of the FARG argument passed together with SGPB command must not exceed the higher GPNVM index available in the product. Flash data content is not altered if FARG exceeds the limit. Command Error is detected only if FARG is greater than 8. Two errors can be detected in EEFC_FSR after a programming sequence: • • Command Error: A bad keyword has been written in EEFC_FCR. Flash Error: At the end of the programming, the EraseVerify or WriteVerify test of the Flash memory has failed. After a first programming pulse, a Verify is applied. The memory is read, to compare both programmed and expected values. If that comparison fails, a second programming pulse is applied, and so on, until a maximum pulse number is reached. At this time, if the memory is still not containing what is expected, the FLERR flag is set high. It is possible to clear GPNVM bits previously set. The ‘Clear GPNVM Bit’ sequence is the following: 1. 2. Execute the ‘Clear GPNVM Bit’ command by writing EEFC_FCR.FCMD with the CGPB command and EEFC_FCR.FARG with the number of GPNVM bits to be cleared. When the clear completes, the bit EEFC_FSR.FRDY rises. If an interrupt has been enabled by setting the bit EEFC_FMR.FRDY, the interrupt line of the interrupt controller is activated. Note:  The value of the FARG argument passed together with CGPB command must not exceed the higher GPNVM index available in the product. Flash data content is not altered if FARG exceeds the limit. Command Error is detected only if FARG is greater than 8. Two errors can be detected in EEFC_FSR after a programming sequence: • • Command Error: A bad keyword has been written in EEFC_FCR. Flash Error: At the end of the programming, the EraseVerify or WriteVerify test of the Flash memory has failed. After a first programming pulse, a Verify is applied. The memory is read, to compare both programmed and expected values. If that comparison fails, a second programming pulse is applied, and so on, until a maximum pulse number is reached. At this time, if the memory is still not containing what is expected, the FLERR flag is set high. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 139 SAM E70/S70/V70/V71 Enhanced Embedded Flash Controller (EEFC) The status of GPNVM bits can be returned by the EEFC. The sequence is the following: 1. 2. Execute the ‘Get GPNVM Bit’ command by writing EEFC_FCR.FCMD with the GGPB command. Field EEFC_FCR.FARG is meaningless. GPNVM bits can be read by the software application in EEFC_FRR. The first word read corresponds to the 32 first GPNVM bits, following reads provide the next 32 GPNVM bits as long as it is meaningful. Extra reads to EEFC_FRR return 0. For example, if the third bit of the first word read in EEFC_FRR is set, the third GPNVM bit is active. One error can be detected in EEFC_FSR after a programming sequence: • Command Error: A bad keyword has been written in EEFC_FCR. Note:  Access to the Flash in read is permitted when a ‘Set GPNVM Bit’, ‘Clear GPNVM Bit’ or ‘Get GPNVM Bit’ command is executed. Related Links 11. Memories 22.4.3.6 Calibration Bit Calibration bits do not interfere with the embedded Flash memory plane. The calibration bits cannot be modified. The status of calibration bits are returned by the EEFC. The sequence is as follows: 1. 2. Execute the ‘Get CALIB Bit’ command by writing EEFC_FCR.FCMD with the GCALB command. Field EEFC_FCR.FARG is meaningless. Calibration bits can be read by the software application in EEFC_FRR. The first word read corresponds to the first 32 calibration bits. The following reads provide the next 32 calibration bits as long as it is meaningful. Extra reads to EEFC_FRR return 0. The 8/12 MHz internal RC oscillator is calibrated in production. This calibration can be read through the GCALB command. Table 22-4 shows the bit implementation. The RC calibration for the 4 MHz is set to ‘1000000’. Table 22-4. Calibration Bit Indexes Description EEFC_FRR Bits 8 MHz RC calibration output [28–22] 12 MHz RC calibration output [38–32] 22.4.3.7 Security Bit Protection When the security bit is enabled, the Embedded Trace Macrocell (ETM) is disabled and access to the Flash through the SWD interface or through the Fast Flash Programming interface is forbidden. This ensures the confidentiality of the code programmed in the Flash. The security bit is GPNVM0. Disabling the security bit can only be achieved by asserting the ERASE signal at ‘1’, and after a full Flash erase is performed. When the security bit is deactivated, all accesses to the Flash are permitted. 22.4.3.8 Unique Identifier Area Each device is programmed with a 128-bit unique identifier area . See “Flash Memory Areas”. The sequence to read the unique identifier area is the following: 1. 2. Execute the ‘Start Read Unique Identifier’ command by writing EEFC_FCR.FCMD with the STUI command. Field EEFC_FCR.FARG is meaningless. Wait until the bit EEFC_FSR.FRDY falls to read the unique identifier area. The unique identifier field is located in the first 128 bits of the Flash memory mapping. The ‘Start Read Unique Identifier’ command reuses some © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 140 SAM E70/S70/V70/V71 Enhanced Embedded Flash Controller (EEFC) 3. 4. addresses of the memory plane for code, but the unique identifier area is physically different from the memory plane for code. To stop reading the unique identifier area, execute the ‘Stop Read Unique Identifier’ command by writing EEFC_FCR.FCMD with the SPUI command. Field EEFC_FCR.FARG is meaningless. When the SPUI command has been executed, the bit EEFC_FSR.FRDY rises. If an interrupt was enabled by setting the bit EEFC_FMR.FRDY, the interrupt line of the interrupt controller is activated. Note:  During the sequence, the software cannot be fetched from the Flash. 22.4.3.9 User Signature Area Each product contains a user signature area of 512 bytes. It can be used for storage. Read, write, and erase of this area is allowed. Refer to “Flash Memory Areas”. The sequence to read the user signature area is as follows: 1. 2. 3. 4. Execute the ‘Start Read User Signature’ command by writing EEFC_FCR.FCMD with the STUS command. Field EEFC_FCR.FARG is meaningless. Wait until the EEFC_FSR.FRDY bit falls to read the user signature area. The user signature area is located in the first 512 bytes of the Flash memory mapping. The ‘Start Read User Signature’ command reuses some addresses of the memory plane but the user signature area is physically different from the memory plane To stop reading the user signature area, execute the ‘Stop Read User Signature’ command by writing EEFC_FCR.FCMD with the SPUS command. Field EEFC_FCR.FARG is meaningless. When the SPUI command has been executed, the bit EEFC_FSR.FRDY rises. If an interrupt was enabled by setting the bit EEFC_FMR.FRDY, the interrupt line of the interrupt controller is activated. Note:  During the sequence, the software cannot be fetched from the Flash or from the second plane in case of dual plane. One error can be detected in EEFC_FSR after this sequence: • Command Error: A bad keyword has been written in EEFC_FCR. The sequence to write the user signature area is as follows: 1. 2. 3. Write the full page, at any page address, within the internal memory area address space. Execute the ‘Write User Signature’ command by writing EEFC_FCR.FCMD with the WUS command. Field EEFC_FCR.FARG is meaningless. When programming is completed, the EEFC_FSR.FRDY bit rises. If an interrupt has been enabled by setting the EEFC_FMR.FRDY bit, the corresponding interrupt line of the interrupt controller is activated. The following two errors can be detected in EEFC_FSR after this sequence: • • Command Error: A bad keyword has been written in EEFC_FCR. Flash Error: At the end of the programming, the WriteVerify test of the Flash memory has failed. After a first programming pulse, a Verify is applied. The memory is read, to compare what is programmed with what is expected. If that comparison fails, a second programming pulse is applied, and so on, until a maximum pulse number is reached. At this time, if the memory is still not containing what is expected, the FLERR flag is set high. The sequence to erase the user signature area is as follows: 1. 2. Execute the ‘Erase User Signature’ command by writing EEFC_FCR.FCMD with the EUS command. Field EEFC_FCR.FARG is meaningless. When programming is completed, the EEFC_FSR.FRDY bit rises. If an interrupt has been enabled by setting the EEFC_FMR.FRDY bit, the corresponding interrupt line of the interrupt controller is activated. Two errors can be detected in EEFC_FSR after this sequence: • • Command Error: A bad keyword has been written in EEFC_FCR. Flash Error: At the end of the programming, the EraseVerify test of the Flash memory has failed. After a first programming pulse, a Verify is applied. The memory is read, to compare what is programmed with what is expected. If that comparison fails, a second programming pulse is applied, and so on, until a maximum pulse number is reached. At this time, if the memory is still not containing what is expected, the FLERR flag is set high. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 141 SAM E70/S70/V70/V71 Enhanced Embedded Flash Controller (EEFC) 22.4.3.10 ECC Errors and Corrections The Flash embeds an ECC module able to correct one unique error and able to detect two errors. The errors are detected while a read access is performed into memory array and stored in EEFC_FSR (see “EEFC Flash Status Register”). The error report is kept until EEFC_FSR is read. There is one flag for a unique error on lower half part of the Flash word (64 LSB) and one flag for the upper half part (MSB). The multiple errors are reported in the same way. Due to the anticipation technique to improve bandwidth throughput on instruction fetch, a reported error can be located in the next sequential Flash word compared to the location of the instruction being executed, which is located in the previously fetched Flash word. If a software routine processes the error detection independently from the main software routine, the entire Flash located software must be rewritten because there is no storage of the error location. If only a software routine is running to program and check pages by reading EEFC_FSR, the situation differs from the previous case. Performing a check for ECC unique errors just after page programming completion involves a read of the newly programmed page. This read sequence is viewed as data accesses and is not optimized by the Flash controller. Thus, in case of unique error, only the current page must be reprogrammed. 22.4.4 Register Write Protection To prevent any single software error from corrupting EEFC behavior, certain registers in the address space can be write-protected by setting the WPEN bit in the “EEFC Write Protection Mode Register” (EEFC_WPMR). The following register can be write-protected: • “EEFC Flash Mode Register” © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 142 SAM E70/S70/V70/V71 Enhanced Embedded Flash Controller (EEFC) 22.5 Register Summary Offset Name 0x00 EEFC_FMR 0x04 EEFC_FCR 0x08 EEFC_FSR 0x0C EEFC_FRR 0x10 ... 0xE3 Reserved 0xE4 EEFC_WPMR Bit Pos. 7 6 5 4 3 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 FVALUE[7:0] FVALUE[15:8] FVALUE[23:16] FVALUE[31:24] 7:0 15:8 23:16 31:24 WPKEY[7:0] WPKEY[15:8] WPKEY[23:16] © 2021 Microchip Technology Inc. and its subsidiaries 2 1 0 FRDY FWS[3:0] SCOD CLOE FCMD[7:0] FARG[7:0] FARG[15:8] FKEY[7:0] FLERR FLOCKE MECCEMSB UECCEMSB FCMDE FRDY MECCELSB UECCELSB WPEN Complete Datasheet DS60001527F-page 143 SAM E70/S70/V70/V71 Enhanced Embedded Flash Controller (EEFC) 22.5.1 EEFC Flash Mode Register Name:  Offset:  Property:  EEFC_FMR 0x00 Read/Write This register can only be written if the WPEN bit is cleared in the “EEFC Write Protection Mode Register” . Bit 31 30 29 28 27 26 CLOE 25 24 23 22 21 20 19 18 17 16 SCOD 15 14 13 12 11 10 9 8 1 0 FRDY Access Reset Bit Access Reset Bit FWS[3:0] Access Reset Bit 7 6 5 4 3 2 Access Reset Bit 26 – CLOE Code Loop Optimization Enable No Flash read should be done during change of this field. Value Description 0 The opcode loop optimization is disabled. 1 The opcode loop optimization is enabled. Bit 16 – SCOD Sequential Code Optimization Disable No Flash read should be done during change of this field. Value Description 0 The sequential code optimization is enabled. 1 The sequential code optimization is disabled. Bits 11:8 – FWS[3:0] Flash Wait State This field defines the number of wait states for read and write operations: FWS = Number of cycles for Read/Write operations - 1 Bit 0 – FRDY Flash Ready Interrupt Enable Value Description 0 Flash ready does not generate an interrupt. 1 Flash ready (to accept a new command) generates an interrupt. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 144 SAM E70/S70/V70/V71 Enhanced Embedded Flash Controller (EEFC) 22.5.2 EEFC Flash Command Register Name:  Offset:  Reset:  Property:  Bit EEFC_FCR 0x04 – Write-only 31 30 29 28 27 26 25 24 W – W – W – W – 19 18 17 16 W – W – W – W – 11 10 9 8 W – W – W – W – 3 2 1 0 – – – – FKEY[7:0] Access Reset W – W – W – W – Bit 23 22 21 20 FARG[15:8] Access Reset W – W – W – W – Bit 15 14 13 12 FARG[7:0] Access Reset W – W – W – W – Bit 7 6 5 4 FCMD[7:0] Access Reset – – – – Bits 31:24 – FKEY[7:0] Flash Write Protection Key Value Name Description 0x5A PASSWD The 0x5A value enables the command defined by the bits of the register. If the field is written with a different value, the write is not performed and no action is started. Bits 23:8 – FARG[15:0] Flash Command Argument GETD, GLB, GGPB, STUI, SPUI, GCALB, WUS, EUS, STUS, SPUS, EA ES EPA Commands requiring no argument, including Erase all command FARG is meaningless, must be written with 0 Erase sector command Erase pages command FARG must be written with any page number within the sector to be erased FARG[1:0] defines the number of pages to be erased The start page must be written in FARG[15:2]. FARG[1:0] = 0: Four pages to be erased. FARG[15:2] = Page_Number / 4 FARG[1:0] = 1: Eight pages to be erased. FARG[15:3] = Page_Number / 8, FARG[2]=0 FARG[1:0] = 2: Sixteen pages to be erased. FARG[15:4] = Page_Number / 16, FARG[3:2]=0 FARG[1:0] = 3: Thirty-two pages to be erased. FARG[15:5] = Page_Number / 32, FARG[4:2]=0 Refer to “EEFC_FCR.FARG Field for EPA Command”. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 145 SAM E70/S70/V70/V71 Enhanced Embedded Flash Controller (EEFC) WP, WPL, EWP, EWPL SLB, CLB SGPB, CGPB Programming commands Lock bit commands GPNVM commands FARG must be written with the page number to be programmed FARG defines the page number to be locked or unlocked FARG defines the GPNVM number to be programmed Bits 7:0 – FCMD[7:0] Flash Command Value Name Description 0x00 GETD Get Flash descriptor 0x01 WP Write page 0x02 WPL Write page and lock 0x03 EWP Erase page and write page 0x04 EWPL Erase page and write page then lock 0x05 EA Erase all 0x07 EPA Erase pages 0x08 SLB Set lock bit 0x09 CLB Clear lock bit 0x0A GLB Get lock bit 0x0B SGPB Set GPNVM bit 0x0C CGPB Clear GPNVM bit 0x0D GGPB Get GPNVM bit 0x0E STUI Start read unique identifier 0x0F SPUI Stop read unique identifier 0x10 GCALB Get CALIB bit 0x11 ES Erase sector 0x12 WUS Write user signature 0x13 EUS Erase user signature 0x14 STUS Start read user signature 0x15 SPUS Stop read user signature © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 146 SAM E70/S70/V70/V71 Enhanced Embedded Flash Controller (EEFC) 22.5.3 EEFC Flash Status Register Name:  Offset:  Property:  Bit EEFC_FSR 0x08 Read-only 31 30 29 28 27 26 25 24 23 22 21 20 19 MECCEMSB 18 UECCEMSB 17 MECCELSB 16 UECCELSB 15 14 13 12 11 10 9 8 7 6 5 4 3 FLERR 2 FLOCKE 1 FCMDE 0 FRDY Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bit 19 – MECCEMSB Multiple ECC Error on MSB Part of the Memory Flash Data Bus (cleared on read) Value Description 0 No multiple error detected on 64 MSB part of the Flash memory data bus since the last read of EEFC_FSR. 1 Multiple errors detected and NOT corrected on 64 MSB part of the Flash memory data bus since the last read of EEFC_FSR. Bit 18 – UECCEMSB Unique ECC Error on MSB Part of the Memory Flash Data Bus (cleared on read) Value Description 0 No unique error detected on 64 MSB data bus of the Flash memory since the last read of EEFC_FSR. 1 One unique error detected but corrected on 64 MSB data bus of the Flash memory since the last read of EEFC_FSR. Bit 17 – MECCELSB Multiple ECC Error on LSB Part of the Memory Flash Data Bus (cleared on read) Value Description 0 No multiple error detected on 64 LSB part of the Flash memory data bus since the last read of EEFC_FSR. 1 Multiple errors detected and NOT corrected on 64 LSB part of the Flash memory data bus since the last read of EEFC_FSR. Bit 16 – UECCELSB Unique ECC Error on LSB Part of the Memory Flash Data Bus (cleared on read) Value Description 0 No unique error detected on 64 LSB data bus of the Flash memory since the last read of EEFC_FSR. 1 One unique error detected but corrected on 64 LSB data bus of the Flash memory since the last read of EEFC_FSR. Bit 3 – FLERR Flash Error Status (cleared when a programming operation starts) Value Description 0 No Flash memory error occurred at the end of programming (EraseVerify or WriteVerify test has passed). © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 147 SAM E70/S70/V70/V71 Enhanced Embedded Flash Controller (EEFC) Value 1 Description A Flash memory error occurred at the end of programming (EraseVerify or WriteVerify test has failed). Bit 2 – FLOCKE Flash Lock Error Status (cleared on read) This flag is automatically cleared when EEFC_FSR is read or EEFC_FCR is written. Value Description 0 No programming/erase of at least one locked region has happened since the last read of EEFC_FSR. 1 Programming/erase of at least one locked region has happened since the last read of EEFC_FSR. Bit 1 – FCMDE Flash Command Error Status (cleared on read or by writing EEFC_FCR) Value Description 0 No invalid commands and no bad keywords were written in EEFC_FMR. 1 An invalid command and/or a bad keyword was/were written in EEFC_FMR. Bit 0 – FRDY Flash Ready Status (cleared when Flash is busy) When set, this flag triggers an interrupt if the FRDY flag is set in EEFC_FMR. This flag is automatically cleared when the EEFC is busy. Value Description 0 The EEFC is busy. 1 The EEFC is ready to start a new command. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 148 SAM E70/S70/V70/V71 Enhanced Embedded Flash Controller (EEFC) 22.5.4 EEFC Flash Result Register Name:  Offset:  Property:  Bit EEFC_FRR 0x0C Read-only 31 30 29 28 27 FVALUE[31:24] 26 25 24 23 22 21 20 19 FVALUE[23:16] 18 17 16 15 14 13 12 11 FVALUE[15:8] 10 9 8 7 6 5 4 2 1 0 Access Reset Bit Access Reset Bit Access Reset Bit 3 FVALUE[7:0] Access Reset Bits 31:0 – FVALUE[31:0] Flash Result Value The result of a Flash command is returned in this register. If the size of the result is greater than 32 bits, the next resulting value is accessible at the next register read. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 149 SAM E70/S70/V70/V71 Enhanced Embedded Flash Controller (EEFC) 22.5.5 EEFC Write Protection Mode Register Name:  Offset:  Property:  Bit EEFC_WPMR 0xE4 Read/Write 31 30 29 28 27 WPKEY[23:16] 26 25 24 23 22 21 20 19 18 17 16 11 10 9 8 3 2 1 0 WPEN Access Reset Bit WPKEY[15:8] Access Reset Bit 15 14 13 12 WPKEY[7:0] Access Reset Bit 7 6 5 4 Access Reset Bits 31:8 – WPKEY[23:0] Write Protection Key See “Register Write Protection” for the list of registers that can be protected. Value Name Description 0x454643 PASSWD Writing any other value in this field aborts the write operation. Always reads as 0. Bit 0 – WPEN Write Protection Enable See “Register Write Protection” for the list of registers that can be protected. Value Description 0 Disables the write protection if WPKEY corresponds to 0x454643 (EFC in ASCII). 1 Enables the write protection if WPKEY corresponds to 0x454643 (EFC in ASCII). © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 150 SAM E70/S70/V70/V71 Supply Controller (SUPC) 23. Supply Controller (SUPC) 23.1 Description The Supply Controller (SUPC) controls the supply voltages of the system and manages the Backup mode. In this mode, current consumption is reduced to a few microamps for backup power retention. Exit from this mode is possible on multiple wakeup sources. The SUPC also generates the slow clock by selecting either the slow RC oscillator or the 32.768 kHz crystal oscillator. 23.2 Embedded Characteristics • • • • • • • Management of the Core Power Supply VDDCORE and Backup Mode via the Embedded Voltage Regulator Supply Monitor Detection on VDDIO or a Brownout Detection on VDDCORE Triggers a Core Reset Generates the Slow Clock SLCK by selecting either the 22-42 kHz Slow RC Oscillator or the 32.768 kHz Crystal Oscillator Backup SRAM Low-power Tamper Detection on Two Inputs Anti-tampering by Immediate Clear of the General-purpose Backup Registers Support of Multiple Wakeup Sources for Exit from Backup Mode – 14 Wakeup Inputs with Programmable Debouncing – Real-Time Clock Alarm – Real-Time Timer Alarm – Supply Monitor Detection on VDDIO, with Programmable Scan Period and Voltage Threshold © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 151 SAM E70/S70/V70/V71 Supply Controller (SUPC) 23.3 Block Diagram Figure 23-1. Supply Controller Block Diagram Supply Controller Power-On Reset VDDCORE bod_out Brown-Out Detector VDDCORE SMRSTEN SMIEN Reset Controller Supply Monitor Controller sm_out Zero-Power Power-On Reset VDDIO NRST proc_nreset periph_nreset ice_nreset por_io_out SLCK XTALSEL OSCBYPASS XOUT32 vddcore_nreset SMTH Programmable Supply Monitor VDDIO XIN32 Interrupt Controller BODRSTEN BODDIS SMSMPL supc_irq por_core_out Slow Clock Controller 32.768 kHz Crystal Oscillator SLCK Real-Time Timer Slow RC Oscillator rtt_alarm sm_out SMEN RTTEN Real-Time Clock WKUP0-WKUP13 Wakeup Controller LPDBC LPDBCEN0 LPDBCEN1 rtc_alarm RTCEN RTCOUT0 RTCOUT1 LPDBCCLR WKUPEN0..15 clear WKUPT0..15 General-Purpose Backup Registers WKUPDBC BKUPRETON VDDIO Power Switch Backup Mode Backup SRAM 1 ONREG VDDCORE Backup Area VROFF 0 VDDIN wake_up Voltage Regulator Controller on/off Core Voltage Regulator VDDOUT © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 152 SAM E70/S70/V70/V71 Supply Controller (SUPC) 23.4 Functional Description 23.4.1 Overview The device is divided into two power supply areas: • • VDDIO power supply: includes the Supply Controller, part of the Reset Controller, the slow clock switch, the general-purpose backup registers, the supply monitor and the clock which includes the Real-time Timer and the Real-time Clock. Core power supply: includes part of the Reset Controller, the Brownout Detector, the processor, the SRAM memory, the Flash memory and the peripherals. The Supply Controller (SUPC) controls the supply voltage of the core power supply. The SUPC intervenes when the VDDIO power supply rises (when the system is starting) or when Backup mode is entered. The SUPC also integrates the slow clock generator, which is based on a 32.768 kHz crystal oscillator, and a slow RC oscillator. The slow clock defaults to the slow RC oscillator, but the software can enable the 32.768 kHz crystal oscillator and select it as the slow clock source. The SUPC and the VDDIO power supply have a reset circuitry based on a zero-power power-on reset cell. The zero-power power-on reset allows the SUPC to start correctly as soon as the VDDIO voltage becomes valid. At startup of the system, once the backup voltage VDDIO is valid and the slow RC oscillator is stabilized, the SUPC starts up the core by sequentially enabling the internal voltage regulator. The SUPC waits until the core voltage VDDCORE is valid, then releases the reset signal of the core vddcore_nreset signal. Once the system has started, the user should program a supply monitor and/or a brownout detector. If the supply monitor detects a voltage level on VDDIO that is too low, the SUPC asserts the reset signal of the core vddcore_nreset signal until VDDIO is valid. Likewise, if the brownout detector detects a core voltage level VDDCORE that is too low, the SUPC asserts the reset signal vddcore_nreset until VDDCORE is valid. When Backup mode is entered, the SUPC sequentially asserts the reset signal of the core power supply vddcore_nreset and disables the voltage regulator, in order to supply only the VDDIO power supply. Current consumption is reduced to a few microamps for the backup part retention. Exit from this mode is possible on multiple wakeup sources including an event on WKUP pins, or a clock alarm. To exit this mode, the SUPC operates in the same way as system startup. 23.4.2 Slow Clock Generator The SUPC embeds a slow clock generator that is supplied with the VDDIO power supply. As soon as the VDDIO is supplied, both the 32.768 kHz crystal oscillator and the slow RC oscillator are powered up, but only the slow RC oscillator is enabled. When the slow RC oscillator is selected as the slow clock source, the slow clock stabilizes more quickly than when the 32.768 kHz crystal oscillator is selected. The user can select the 32.768 kHz crystal oscillator to be the source of the slow clock, as it provides a more accurate frequency than the slow RC oscillator. The 32.768 kHz crystal oscillator is selected by setting the XTALSEL bit in the SUPC Control register (SUPC_CR). The following sequence must be used to switch from the slow RC oscillator to the 32.768 kHz crystal oscillator: 1. 2. 3. 4. 5. The PIO lines multiplexed with XIN32 and XOUT32 are configured to be driven by the oscillator. The 32.768 kHz crystal oscillator is enabled. A number of slow RC oscillator clock periods is counted to cover the startup time of the 32.768 kHz crystal oscillator. Refer to the section “Electrical Characteristics” for information on the 32.768 kHz crystal oscillator startup time. The slow clock is switched to the output of the 32.768 kHz crystal oscillator. The slow RC oscillator is disabled to save power. The switching time may vary depending on the slow RC oscillator clock frequency range. The switch of the slow clock source is glitch-free. The OSCSEL bit of the SUPC Status register (SUPC_SR) indicates when the switch sequence is finished. Reverting to the slow RC oscillator as a slow clock source is only possible by shutting down the VDDIO power supply. If the user does not need the 32.768 kHz crystal oscillator, the XIN32 and XOUT32 pins should be left unconnected. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 153 SAM E70/S70/V70/V71 Supply Controller (SUPC) The user can also set the 32.768 kHz crystal oscillator in Bypass mode instead of connecting a crystal. In this case, the user has to provide the external clock signal on XIN32. The input characteristics of the XIN32 pin are given in the section “Electrical Characteristics”. To enter Bypass mode, the OSCBYPASS bit in the Mode register (SUPC_MR) must be set before setting XTALSEL. Related Links 58. Electrical Characteristics for SAM V70/V71 59. Electrical Characteristics for SAM E70/S70 23.4.3 Core Voltage Regulator Control/Backup Low-power Mode The SUPC controls the embedded voltage regulator. The voltage regulator automatically adapts its quiescent current depending on the required load current. Refer to the section “Electrical Characteristics”. The user can switch off the voltage regulator, and thus put the device in Backup mode, by writing a ‘1’ to SUPC_CR.VROFF. This asserts the vddcore_nreset signal after the write resynchronization time, which lasts two slow clock cycles (worst case). Once the vddcore_nreset signal is asserted, the processor and the peripherals are stopped one slow clock cycle before the core power supply shuts off. When the internal voltage regulator is not used and VDDCORE is supplied by an external supply, the voltage regulator can be disabled by writing a ‘0’ to SUPC_MR.ONREG. Related Links 58. Electrical Characteristics for SAM V70/V71 59. Electrical Characteristics for SAM E70/S70 23.4.4 Using Backup Batteries/Backup Supply When backup batteries or, more generally, a separate backup supply is used, only VDDIO is present in Backup mode. No other external supply is applied. Figure 23-2. Separate Backup Supply Powering Scheme VDDUTMII Main Supply USB Transceivers VDDIO ADC, DAC Analog Comp. VDDIN VDDOUT VDDCORE Supply VDDCORE Voltage Regulator VDDPLL VDDUTMIC Note:  Restrictions With main supply < 3.0V, USB is not usable. With main supply < 2.7V, MediaLB is not usable. With main supply < 2.0V, ADC, DAC and Analog comparator are not usable. With main supply and VDDIN > 3V, all peripherals are usable. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 154 SAM E70/S70/V70/V71 Supply Controller (SUPC) When no separate backup supply for VDDIO is used, since the external voltage applied on VDDIO is kept, all of the I/O configurations (i.e., WKUP pin configuration) are maintained in Backup mode. When not using backup batteries, VDDIORDY is set so the user does not need to program it. Figure 23-3. No Separate Backup Supply Powering Scheme VDDUTMII USB Transceivers VDDIO Main Supply ADC, DAC Analog Comp. VDDIN VDDOUT Voltage Regulator VDDCORE VDDPLL VDDUTMIC Note:  Restrictions with main supply < 2.0 V, USB and ADC/DAC and analog comparator are not usable. With main supply > 2.0V and < 3V, USB is not usable. With main supply < 2.7V, MediaLB is not usable. With main supply > 3V, all peripherals are usable. The following figure illustrates an example of the powering scheme when using a backup battery. Since the PIO state is preserved when in Backup mode, any free PIO line can be used to switch off the external regulator by driving the PIO line at low level (PIO is input, pull-up enabled after backup reset). System wakeup can be performed using a wakeup pin (WKUPx). See the "Wakeup Sources" section for further details. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 155 SAM E70/S70/V70/V71 Supply Controller (SUPC) Figure 23-4. Battery Backup VDDUTMII Backup Battery USB Transceivers VDDIO + ADC, DAC Analog Comp. VDDIN Main Supply IN OUT LDO Regulator VDDOUT Voltage Regulator VDDCORE ON/OFF VDDPLL VDDUTMIC External Wakeup Signal WKUPx PIOx (Output) Note: The two diodes provide a “switchover circuit” between the backup battery and the main supply when the system is put in Backup mode. 23.4.5 Supply Monitor The SUPC embeds a supply monitor located in the VDDIO power supply and which monitors VDDIO power supply. The supply monitor can be used to prevent the processor from falling into an unpredictable state if the main power supply drops below a certain level. Note:  The supply monitor is disabled by default. The threshold of the supply monitor is programmable in the SMTH field of the Supply Monitor Mode register (SUPC_SMMR). Refer to the section “Electrical Characteristics”. The supply monitor can also be enabled during one slow clock period on every one of either 32, 256 or 2048 slow clock periods, depending on the user selection. This is configured in the SUPC_SMMR.SMSMPL. Enabling the supply monitor for such reduced times divides the typical supply monitor power consumption by factors of 2, 16 and 128, respectively, if continuous monitoring of the VDDIO power supply is not required. A supply monitor detection generates either a reset of the core power supply or a wakeup of the core power supply. Generating a core reset when a supply monitor detection occurs is enabled by setting SUPC_SMMR.SMRSTEN. Waking up the core power supply when a supply monitor detection occurs can be enabled by setting the SMEN bit in the Wakeup Mode register (SUPC_WUMR). The SUPC provides two status bits in the SUPC_SR for the supply monitor that determine whether the last wakeup was due to the supply monitor: • • SUPC_SR.SMOS provides real-time information, updated at each measurement cycle or updated at each slow clock cycle, if the measurement is continuous. SUPC_SR.SMS provides saved information and shows a supply monitor detection has occurred since the last read of SUPC_SR. The SMS flag generates an interrupt if SUPC_SMMR.SMIEN is set. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 156 SAM E70/S70/V70/V71 Supply Controller (SUPC) Figure 23-5. Supply Monitor Status Bit and Associated Interrupt Continuous Sampling (SMSMPL = 1) Supply Monitor ON Periodic Sampling 3.3 V Threshold 0V Read SUPC_SR SMS and SUPC Interrupt Related Links 58. Electrical Characteristics for SAM V70/V71 59. Electrical Characteristics for SAM E70/S70 23.4.6 Backup Power Supply Reset 23.4.6.1 Raising the Backup Power Supply When the backup voltage VDDIO rises, the slow RC oscillator is powered up and the zero-power power-on reset cell maintains its output low as long as VDDIO has not reached its target voltage. During this period, the SUPC is reset. When the VDDIO voltage becomes valid and the zero-power power-on reset signal is released, a counter is started for five slow clock cycles. This is the time required for the slow RC oscillator to stabilize. After this time, the voltage regulator is enabled. The core power supply rises and the brownout detector provides the bodcore_in signal as soon as the core voltage VDDCORE is valid. This results in releasing the vddcore_nreset signal to the Reset Controller after the bodcore_in signal has been confirmed as being valid for at least one slow clock cycle. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 157 SAM E70/S70/V70/V71 Supply Controller (SUPC) Figure 23-6. Raising the VDDIO Power Supply TON Voltage 7 x Slow Clock Cycles (5 for startup slow RC + 2 for synchro.) Regulator 3 x Slow Clock 2 x Slow Clock Cycles Cycles 6.5 x Slow Clock Cycles Zero-Power POR Backup Power Supply Zero-Power Power-On Reset Cell output 22 - 42 kHz Slow RC Oscillator output vr_on Core Power Supply Fast RC Oscillator output bodcore_in vddcore_nreset RSTC.ERSTL default = 2 NRST (no ext. drive assumed) periph_nreset proc_nreset Note: After “proc_nreset” rising, the core starts fetching instructions from Flash. 23.4.7 Core Reset The Supply Controller manages the vddcore_nreset signal to the Reset Controller, as described in the "Backup Power Supply Reset" section. The vddcore_nreset signal is normally asserted before shutting down the core power supply and released as soon as the core power supply is correctly regulated. There are two additional sources which can be programmed to activate vddcore_nreset: • • a supply monitor detection a brownout detection 23.4.7.1 Supply Monitor Reset The supply monitor is capable of generating a reset of the system. This is enabled by setting SUPC_SMMR.SMRSTEN. If SUPC_SMMR.SMRSTEN is set and if a supply monitor detection occurs, the vddcore_nreset signal is immediately activated for a minimum of one slow clock cycle. 23.4.7.2 Brownout Detector Reset The brownout detector provides the bodcore_in signal to the SUPC. This signal indicates that the voltage regulation is operating as programmed. If this signal is lost for longer than 1 slow clock period while the voltage regulator is enabled, the SUPC asserts vddcore_nreset if SUPC_MR.BODRSTEN is written to ‘1’. If SUPC_MR.BODRSTEN is set and the voltage regulation is lost (output voltage of the regulator too low), the vddcore_nreset signal is asserted for a minimum of one slow clock cycle and then released if bodcore_in has been reactivated. SUPC_SR.BODRSTS indicates the source of the last reset. Until bodcore_in is deactivated, the vddcore_nreset signal remains active. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 158 SAM E70/S70/V70/V71 Supply Controller (SUPC) 23.4.8 Controlling the SRAM Power Supply The SUPC can be used to switch on or off the power supply of the backup SRAM by opening or closing the SRAM power switch. This power switch is controlled by SUPC_MR.BKUPRETON. However, the battery backup SRAM is automatically switched on when the core power supply is enabled, as the processor requires the SRAM as data memory space. • • 23.4.9 If SUPC_MR.BKUPRETON is written to ‘1’, there is no immediate effect, but the SRAM will be left powered when the SUPC enters Backup mode, thus retaining its content. If SUPC_MR.BKUPRETON is written to ‘0’, there is no immediate effect, but the SRAM will be switched off when the SUPC enters Backup mode. The SRAM is automatically switched on when Backup mode is exited. Wakeup Sources The wakeup events allow the device to exit Backup mode. When a wakeup event is detected, the SUPC performs a sequence that automatically reenables the core power supply. Figure 23-7. Wakeup Sources SMEN sm_out RTCEN rtc_alarm RTTEN rtt_alarm Low-power Tamper Detection Logic LPDBC WKUPT1 RTCOUT0 Debouncer WKUPT0 LPDBC LPDBCEN0 RTCOUT0 Falling/Rising Edge Detect WKUPT0 WKUP0 LPDBCS1 LPDBCEN1 Falling/Rising Edge Detect WKUPEN0 Debouncer WKUPIS0 WKUPDBC Falling/Rising Edge Detect WKUPT1 LPDBCS0 Core Supply Restart SLCK WKUPEN1 WKUPS WKUPIS1 Debouncer WKUP1 Falling/Rising Edge Detect LPDBCS1 LPDBCS0 WKUPT13 WKUP13 WKUPEN13 GPBR Clear LPDBCCLR WKUPIS13 Falling/Rising Edge Detect 23.4.9.1 Wakeup Inputs The wakeup inputs, WKUPx, can be programmed to perform a wakeup of the core power supply. Each input can be enabled by writing a ‘1’ to the corresponding bit, WKUPENx, in the Wakeup Inputs register (SUPC_WUIR). The wakeup level can be selected with the corresponding polarity bit, WKUPTx, also located in SUPC_WUIR. The resulting signals are wired-ORed to trigger a debounce counter, which is programmed with SUPC_WUMR.WKUPDBC. This field selects a debouncing period of 3, 32, 512, 4,096 or 32,768 slow clock cycles. The duration of these periods corresponds, respectively, to about 100 μs, about 1 ms, about 16 ms, about 128 ms and about 1 second (for a typical slow clock frequency of 32 kHz). Programming SUPC_WUMR.WKUPDBC to 0 selects an immediate wakeup, i.e., an enabled WKUP pin must be active according to its polarity during a minimum of one slow clock period to wake up the core power supply. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 159 SAM E70/S70/V70/V71 Supply Controller (SUPC) If an enabled WKUP pin is asserted for a duration longer than the debouncing period, a wakeup of the core power supply is started and the signals, WKUP0 to WKUPx as shown in “Wakeup Sources”, are latched in SUPC_SR. This allows the user to identify the source of the wakeup. However, if a new wakeup condition occurs, the primary information is lost. No new wakeup can be detected since the primary wakeup condition has disappeared. Before instructing the system to enter Backup mode, if the field SUPC_WUMR.WKUPDBC > 0, it must be checked that none of the WKUPx pins that are enabled for a wakeup (exit from Backup mode) holds an active polarity. This is checked by reading the pin status in the PIO Controller. If SUPC_WUIR.WKUPENx=1 and the pin WKUPx holds an active polarity, the system must not be instructed to enter Backup mode. Figure 23-8. Entering and Exiting Backup Mode with a WKUP Pin WKUPDBC > 0 WKUPTx=0 Edge detect + debounce time WKUPx Edge detect + debounce time VROFF=1 VROFF=1 System Active BACKUP Active BACKUP active runtime Active BACKUP Check WKUPx status active runtime Check WKUPx status 23.4.9.2 Low-power Tamper Detection and Anti-Tampering Low-power debouncer inputs (WKUP0, WKUP1) can be used for tamper detection. If the tamper sensor is biased through a resistor and constantly driven by the power supply, this leads to power consumption as long as the tamper detection switch is in its active state. To prevent power consumption when the switch is in active state, the tamper sensor circuitry must be intermittently powered, and thus a specific waveform must be applied to the sensor circuitry. The waveform is generated using RTCOUTx in all modes including Backup mode. Refer to the section “Real-Time Clock (RTC)” for waveform generation. Separate debouncers are embedded, one for WKUP0 input, one for WKUP1 input. The WKUP0 and/or WKUP1 inputs perform a system wakeup upon tamper detection. This is enabled by setting SUPC_WUMR.LPDBCEN0/1. WKUP0 and/or WKUP1 inputs can also be used when VDDCORE is powered to detect a tamper. When SUPC_WUMR.LPDBCENx is written to ‘1’, WKUPx pins must not be configured to act as a debouncing source for the WKUPDBC counter (WKUPENx must be cleared in SUPC_WUIR). Low-power tamper detection or debounce requires RTC output (RTCOUTx) to be configured to generate a duty cycle programmable pulse (i.e., OUT0 = 0x7 in RTC_MR) in order to create the sampling points of both debouncers. The sampling point is the falling edge of the RTCOUTx waveform. The following figure shows an example of an application where two tamper switches are used. RTCOUTx powers the external pull-up used by the tamper sensor circuitry. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 160 SAM E70/S70/V70/V71 Supply Controller (SUPC) Figure 23-9. Low-power Debouncer (Push-to-Make Switch, Pull-up Resistors) MCU RTCOUTx Pull-up Resistor WKUP0 Pull-up Resistor GND WKUP1 GND GND Figure 23-10. Low-power Debouncer (Push-to-Break Switch, Pull-down Resistors) MCU RTCOUTx WKUP0 WKUP1 Pull-down Resistors GND GND GND The debouncing period duration is configurable. The period is set for all debouncers (i.e., the duration cannot be adjusted for each debouncer). The number of successive identical samples to wake up the system can be configured from 2 up to 8 in SUPC_WUMR.LPDBC. The period of time between two samples can be configured by programming RTC_MR.TPERIOD. Power parameters can be adjusted by modifying the period of time in RTC_MR.THIGH. The wakeup polarity of the inputs can be independently configured by writing SUPC_WUMR.WKUPT0 and/ or SUPC_WUMR.WKUPT1. In order to determine which wakeup/tamper pin triggers the system wakeup, a status flag is associated for each low-power debouncer. These flags are read in SUPC_SR. A debounce event (tamper detection) can perform an immediate clear (0 delay) on the first half the general-purpose backup registers (GPBR). SUPC_WUMR.LPDBCCLR bit must be set. Note that it is not mandatory to use the RTCOUTx pin when using the WKUP0/WKUP1 pins as tampering inputs in any mode. Using the RTCOUTx pin provides a “sampling mode” to further reduce the power consumption of the tamper detection circuitry. If RTCOUTx is not used, the RTC must be configured to create an internal sampling point for the debouncer logic. The period of time between two samples can be configured by programming RTC_MR.TPERIOD. The following figure illustrates the use of WKUPx without the RTCOUTx pin. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 161 SAM E70/S70/V70/V71 Supply Controller (SUPC) Figure 23-11. Using WKUP Pins Without RTCOUTx Pins VDDIO MCU Pull-up Resistor WKUP0 Pull-up Resistor GND WKUP1 GND GND Related Links 27. Real-time Clock (RTC) 23.4.9.3 Clock Alarms The RTC and the RTT alarms can generate a wakeup of the core power supply. This can be enabled by setting, respectively, SUPC_WUMR.RTCEN and SUPC_WUMR.RTTEN. The Supply Controller does not provide any status as the information is available in the user interface of either the Real-Time Timer or the Real-Time Clock. 23.4.9.4 Supply Monitor Detection The supply monitor can generate a wakeup of the core power supply. See "Supply Monitor". 23.4.10 Register Write Protection To prevent any single software error from corrupting SYSC behavior, certain registers in the address space can be write-protected by setting the WPEN bit in the ”System Controller Write Protection Mode Register” (SYSC_WPMR). The following registers can be write-protected: • • • • • • • • • • • • • RSTC Mode Register(1) RTT Mode Register(2) RTT Alarm Register(2) RTC Control Register(3) RTC Mode Register(3) RTC Time Alarm Register(3) RTC Calendar Alarm Register(3) General Purpose Backup Registers(4) Supply Controller Control Register Supply Controller Supply Monitor Mode Register Supply Controller Mode Register Supply Controller Wakeup Mode Register Supply Controller Wakeup Inputs Register © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 162 SAM E70/S70/V70/V71 Supply Controller (SUPC) Notes:  1. See the section "Reset Controller (RSTC)". 2. See the section "Real Time Timer (RTT)". 3. See the section "Real Time Clock (RTC)". 4. See the section "General Purpose Backup Registers (GPBR)". 23.4.11 Register Bits in Backup Domain (VDDIO) The following configuration registers, or certain bits of the registers, are physically located in the product backup domain: • • • • • • • • • • • • • • RSTC Mode Register (all bits)(1) RTT Mode Register (all bits)(2) RTT Alarm Register (all bits)(2) RTC Control Register (all bits)(3) RTC Mode Register (all bits)(3) RTC Time Alarm Register (all bits)(3) RTC Calendar Alarm Register (all bits)(3) General Purpose Backup Registers (all bits)(4) Supply Controller Control Register (see register description for details) Supply Controller Supply Monitor Mode Register (all bits) Supply Controller Mode Register (see register description for details) Supply Controller Wakeup Mode Register (all bits) Supply Controller Wakeup Inputs Register (all bits) Supply Controller Status Register (all bits) Notes:  1. See the section "Reset Controller (RSTC)". 2. See the section "Real Time Timer (RTT)". 3. See the section "Real Time Clock (RTC)". 4. See the section "General Purpose Backup Registers (GPBR)". © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 163 SAM E70/S70/V70/V71 Supply Controller (SUPC) 23.5 Register Summary Offset Name 0x00 SUPC_CR 0x04 SUPC_SMMR 0x08 SUPC_MR 0x0C SUPC_WUMR 0x10 SUPC_WUIR 0x14 0x18 ... 0xD3 0xD4 SUPC_SR Bit Pos. 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7 6 5 4 3 2 1 XTALSEL VROFF 0 KEY[7:0] SMIEN ONREG LPDBCCLR SMTH[3:0] SMSMPL[2:0] SMRSTEN BODDIS BODRSTEN OSCBYPASS KEY[7:0] LPDBCEN1 LPDBCEN0 RTCEN WKUPDBC[2:0] BKUPRETON RTTEN SMEN LPDBC[2:0] WKUPEN[7:0] WKUPEN[13:8] WKUPT[7:0] OSCSEL SMOS LPDBCS1 SMS LPDBCS0 SMRSTS WKUPT[13:8] BODRSTS SMWS WKUPS WKUPIS[7:0] WKUPIS[13:8] Reserved SYSC_WPMR 7:0 15:8 23:16 31:24 © 2021 Microchip Technology Inc. and its subsidiaries WPEN WPKEY[7:0] WPKEY[15:8] WPKEY[23:16] Complete Datasheet DS60001527F-page 164 SAM E70/S70/V70/V71 Supply Controller (SUPC) 23.5.1 Supply Controller Control Register Name:  Offset:  Property:  Bit 31 SUPC_CR 0x00 Write-only 30 29 28 27 26 25 24 KEY[7:0] Access Reset W W W W W W W W Bit 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 XTALSEL W 2 VROFF W 1 0 Access Reset Bit Access Reset Bit Access Reset Bits 31:24 – KEY[7:0] Password Value Name Description 0xA5 PASSWD Writing any other value in this field aborts the write operation. Bit 3 – XTALSEL Crystal Oscillator Select Note: This bit is located in the VDDIO domain. Value Description 0 (NO_EFFECT): No effect. 1 (CRYSTAL_SEL): If KEY is correct, XTALSEL switches the slow clock on the 32.768 kHz crystal oscillator output. Bit 2 – VROFF Voltage Regulator Off Note: This bit is located in the VDDIO domain. Value Description 0 (NO_EFFECT): No effect. 1 (STOP_VREG): If KEY is correct, VROFF asserts the vddcore_nreset and stops the voltage regulator. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 165 SAM E70/S70/V70/V71 Supply Controller (SUPC) 23.5.2 Supply Controller Supply Monitor Mode Register Name:  Offset:  Reset:  Property:  SUPC_SMMR 0x04 0x00000000 Read/Write This register is located in the VDDIO domain. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 SMIEN R/W 0 12 SMRSTEN R/W 0 11 10 9 SMSMPL[2:0] R/W 0 8 R/W 0 5 4 3 1 0 R/W 0 R/W 0 Access Reset Bit Access Reset Bit Access Reset Bit 7 6 R/W 0 2 SMTH[3:0] Access Reset R/W 0 R/W 0 Bit 13 – SMIEN Supply Monitor Interrupt Enable Value Description 0 (NOT_ENABLE): The SUPC interrupt signal is not affected when a supply monitor detection occurs. 1 (ENABLE): The SUPC interrupt signal is asserted when a supply monitor detection occurs. Bit 12 – SMRSTEN Supply Monitor Reset Enable Value Description 0 (NOT_ENABLE): The core reset signal vddcore_nreset is not affected when a supply monitor detection occurs. 1 (ENABLE): The core reset signal, vddcore_nreset is asserted when a supply monitor detection occurs. Bits 10:8 – SMSMPL[2:0] Supply Monitor Sampling Period Value Name Description 0x0 SMD Supply Monitor disabled 0x1 CSM Continuous Supply Monitor 0x2 32SLCK Supply Monitor enabled one SLCK period every 32 SLCK periods 0x3 256SLCK Supply Monitor enabled one SLCK period every 256 SLCK periods 0x4 2048SLCK Supply Monitor enabled one SLCK period every 2,048 SLCK periods Bits 3:0 – SMTH[3:0] Supply Monitor Threshold Selects the threshold voltage of the supply monitor. Refer to the section “Electrical Characteristics” for voltage values. Related Links 58. Electrical Characteristics for SAM V70/V71 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 166 SAM E70/S70/V70/V71 Supply Controller (SUPC) 23.5.3 Supply Controller Mode Register Name:  Offset:  Reset:  Property:  Bit 31 SUPC_MR 0x08 0x00005A00 Read/Write 30 29 28 27 26 25 24 KEY[7:0] Access Reset Bit R/W 0 R/W 0 R/W 0 R/W 0 R/W 0 R/W 0 R/W 0 R/W 0 23 22 21 20 OSCBYPASS R/W 0 19 18 17 BKUPRETON R/W 0 16 15 14 ONREG R/W 1 13 BODDIS R/W 0 12 BODRSTEN R/W 1 11 10 9 8 7 6 5 4 3 2 1 0 Access Reset Bit Access Reset Bit Access Reset Bits 31:24 – KEY[7:0] Password Key Value Name Description 0xA5 PASSWD Writing any other value in this field aborts the write operation. Bit 20 – OSCBYPASS Oscillator Bypass Note:  This bit is located in the VDDIO domain. Value 0 1 Description (NO_EFFECT): No effect. Clock selection depends on the value of SUPC_CR.XTALSEL. (BYPASS): The 32.768 kHz crystal oscillator is bypassed if SUPC_CR.XTALSEL is set. OSCBYPASS must be set prior to setting XTALSEL. Bit 17 – BKUPRETON SRAM On In Backup Mode Value Description 0 SRAM (Backup) switched off in Backup mode. 1 SRAM (Backup) switched on in Backup mode. Note: This bit is located in the VDDIO domain. Bit 14 – ONREG Voltage Regulator Enable Note:  This bit is located in the VDDIO domain. Value 0 1 Description (ONREG_UNUSED): Internal voltage regulator is not used (external power supply is used). (ONREG_USED): Internal voltage regulator is used. Bit 13 – BODDIS Brownout Detector Disable Note:  This bit is located in the VDDIO domain. Value 0 Description (ENABLE): The core brownout detector is enabled. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 167 SAM E70/S70/V70/V71 Supply Controller (SUPC) Value 1 Description (DISABLE): The core brownout detector is disabled. Bit 12 – BODRSTEN Brownout Detector Reset Enable Note:  This bit is located in the VDDIO domain. Value 0 1 Description (NOT_ENABLE): The core reset signal vddcore_nreset is not affected when a brownout detection occurs. (ENABLE): The core reset signal, vddcore_nreset is asserted when a brownout detection occurs. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 168 SAM E70/S70/V70/V71 Supply Controller (SUPC) 23.5.4 Supply Controller Wakeup Mode Register Name:  Offset:  Reset:  Property:  SUPC_WUMR 0x0C 0x00000000 Read/Write This register is located in the VDDIO domain. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 16 R/W 0 17 LPDBC[2:0] R/W 0 R/W 0 11 10 9 8 3 RTCEN R/W 0 2 RTTEN R/W 0 1 SMEN R/W 0 0 Access Reset Bit Access Reset Bit 15 Access Reset Bit Access Reset 7 LPDBCCLR R/W 0 14 R/W 0 13 WKUPDBC[2:0] R/W 0 6 LPDBCEN1 R/W 0 5 LPDBCEN0 R/W 0 12 R/W 0 4 Bits 18:16 – LPDBC[2:0] Low-power Debouncer Period Value Name Description 0 DISABLE Disables the low-power debouncers. 1 2_RTCOUT WKUP0/1 in active state for at least 2 RTCOUTx clock periods 2 3_RTCOUT WKUP0/1 in active state for at least 3 RTCOUTx clock periods 3 4_RTCOUT WKUP0/1 in active state for at least 4 RTCOUTx clock periods 4 5_RTCOUT WKUP0/1 in active state for at least 5 RTCOUTx clock periods 5 6_RTCOUT WKUP0/1 in active state for at least 6 RTCOUTx clock periods 6 7_RTCOUT WKUP0/1 in active state for at least 7 RTCOUTx clock periods 7 8_RTCOUT WKUP0/1 in active state for at least 8 RTCOUTx clock periods Bits 14:12 – WKUPDBC[2:0] Wakeup Inputs Debouncer Period Value Name Description 0 IMMEDIATE Immediate, no debouncing, detected active at least on one Slow Clock edge. 1 3_SLCK WKUPx shall be in its active state for at least 3 SLCK periods 2 32_SLCK WKUPx shall be in its active state for at least 32 SLCK periods 3 512_SLCK WKUPx shall be in its active state for at least 512 SLCK periods 4 4096_SLCK WKUPx shall be in its active state for at least 4,096 SLCK periods 5 32768_SLCK WKUPx shall be in its active state for at least 32,768 SLCK periods Bit 7 – LPDBCCLR Low-power Debouncer Clear Value Description 0 (NOT_ENABLE): A low-power debounce event does not create an immediate clear on the first half of GPBR registers. 1 (ENABLE): A low-power debounce event on WKUP0 or WKUP1 generates an immediate clear on the first half of GPBR registers. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 169 SAM E70/S70/V70/V71 Supply Controller (SUPC) Bit 6 – LPDBCEN1 Low-power Debouncer Enable WKUP1 Value Description 0 (NOT_ENABLE): The WKUP1 input pin is not connected to the low-power debouncer. 1 (ENABLE): The WKUP1 input pin is connected to the low-power debouncer and forces a system wakeup. Bit 5 – LPDBCEN0 Low-power Debouncer Enable WKUP0 Value Description 0 (NOT_ENABLE): The WKUP0 input pin is not connected to the low-power debouncer. 1 (ENABLE): The WKUP0 input pin is connected to the low-power debouncer and forces a system wakeup. Bit 3 – RTCEN Real-time Clock Wakeup Enable Value Description 0 (NOT_ENABLE): The RTC alarm signal has no wakeup effect. 1 (ENABLE): The RTC alarm signal forces the wakeup of the core power supply. Bit 2 – RTTEN Real-time Timer Wakeup Enable Value Description 0 (NOT_ENABLE): The RTT alarm signal has no wakeup effect. 1 (ENABLE): The RTT alarm signal forces the wakeup of the core power supply. Bit 1 – SMEN Supply Monitor Wakeup Enable Value Description 0 (NOT_ENABLE): The supply monitor detection has no wakeup effect. 1 (ENABLE): The supply monitor detection forces the wakeup of the core power supply. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 170 SAM E70/S70/V70/V71 Supply Controller (SUPC) 23.5.5 Supply Controller Wakeup Inputs Register Name:  Offset:  Reset:  Property:  SUPC_WUIR 0x10 0x00000000 Read/Write This register is located in the VDDIO domain. This register can only be written if the WPEN bit is cleared in the System Controller Write Protection Mode Register (SYSC_WPMR). Bit 31 30 Access Reset Bit Access Reset Bit Access Reset 28 R/W 0 R/W 0 20 23 22 21 R/W 0 R/W 0 R/W 0 15 14 13 12 R/W 0 R/W 0 4 Access Reset Bit 29 7 6 5 R/W 0 R/W 0 R/W 0 27 26 WKUPT[13:8] R/W R/W 0 0 19 WKUPT[7:0] R/W R/W 0 0 25 24 R/W 0 R/W 0 18 17 16 R/W 0 R/W 0 R/W – 9 8 R/W 0 R/W 0 2 1 0 R/W 0 R/W 0 R/W – 11 10 WKUPEN[13:8] R/W R/W 0 0 3 WKUPEN[7:0] R/W R/W 0 0 Bits 29:16 – WKUPT[13:0] Wakeup Input Type ('x' = 0-13) Value Description 0 (LOW): A falling edge followed by a low level for a period defined by WKUPDBC on the corresponding wakeup input forces the wakeup of the core power supply. 1 (HIGH): A rising edge followed by a high level for a period defined by WKUPDBC on the corresponding wakeup input forces the wakeup of the core power supply. Bits 13:0 – WKUPEN[13:0] Wakeup Input Enablex ('x' = 0-13) Value Description 0 (DISABLE): The corresponding wakeup input has no wakeup effect. 1 (ENABLE): The corresponding wakeup input is enabled for a wakeup of the core power supply. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 171 SAM E70/S70/V70/V71 Supply Controller (SUPC) 23.5.6 Supply Controller Status Register Name:  Offset:  Reset:  Property:  SUPC_SR 0x14 0x00000000 Read-only Note:  Because of the asynchronism between the Slow Clock (SLCK) and the System Clock (MCK), the status register flag reset is taken into account only 2 slow clock cycles after the read of the SUPC_SR. This register is located in the VDDIO domain. Bit 31 30 Access Reset Bit 23 22 29 28 R 0 R 0 21 20 27 26 WKUPIS[13:8] R R 0 0 25 24 R 0 R 0 19 18 17 16 WKUPIS[7:0] Access Reset R 0 R 0 R 0 R 0 R 0 R 0 R 0 R 0 Bit 15 14 LPDBCS1 R 0 13 LPDBCS0 R 0 12 11 10 9 8 7 OSCSEL R 0 6 SMOS R 0 5 SMS R 0 4 SMRSTS R 0 3 BODRSTS R 0 2 SMWS R 0 1 WKUPS R 0 0 Access Reset Bit Access Reset Bits 29:16 – WKUPIS[13:0] WKUPx ('x' = 0-13) Input Status (cleared on read) Value Description 0 (DIS): The corresponding wakeup input is disabled, or was inactive at the time the debouncer triggered a wakeup event. 1 (EN): The corresponding wakeup input was active at the time the debouncer triggered a wakeup event since the last read of SUPC_SR. Bit 14 – LPDBCS1 Low-power Debouncer Wakeup Status on WKUP1 (cleared on read) Value Description 0 (NO): No wakeup due to the assertion of the WKUP1 pin has occurred since the last read of SUPC_SR. 1 (PRESENT): At least one wakeup due to the assertion of the WKUP1 pin has occurred since the last read of SUPC_SR. Bit 13 – LPDBCS0 Low-power Debouncer Wakeup Status on WKUP0 (cleared on read) Value Description 0 (NO): No wakeup due to the assertion of the WKUP0 pin has occurred since the last read of SUPC_SR. 1 (PRESENT): At least one wakeup due to the assertion of the WKUP0 pin has occurred since the last read of SUPC_SR. Bit 7 – OSCSEL 32-kHz Oscillator Selection Status Value Description 0 (RC): The slow clock, SLCK, is generated by the slow RC oscillator. 1 (CRYST): The slow clock, SLCK, is generated by the 32.768 kHz crystal oscillator. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 172 SAM E70/S70/V70/V71 Supply Controller (SUPC) Bit 6 – SMOS Supply Monitor Output Status Value Description 0 (HIGH): The supply monitor detected VDDIO higher than its threshold at its last measurement. 1 (LOW): The supply monitor detected VDDIO lower than its threshold at its last measurement. Bit 5 – SMS Supply Monitor Status (cleared on read) Value Description 0 (NO): No supply monitor detection since the last read of SUPC_SR. 1 (PRESENT): At least one supply monitor detection since the last read of SUPC_SR. Bit 4 – SMRSTS Supply Monitor Reset Status (cleared on read) Value Description 0 (NO): No supply monitor detection has generated a core reset since the last read of the SUPC_SR. 1 (PRESENT): At least one supply monitor detection has generated a core reset since the last read of the SUPC_SR. Bit 3 – BODRSTS Brownout Detector Reset Status (cleared on read) When the voltage remains below the defined threshold, there is no rising edge event at the output of the brownout detection cell. The rising edge event occurs only when there is a voltage transition below the threshold. Value Description 0 (NO): No core brownout rising edge event has been detected since the last read of the SUPC_SR. 1 (PRESENT): At least one brownout output rising edge event has been detected since the last read of the SUPC_SR. Bit 2 – SMWS Supply Monitor Detection Wakeup Status (cleared on read) Value Description 0 (NO): No wakeup due to a supply monitor detection has occurred since the last read of SUPC_SR. 1 (PRESENT): At least one wakeup due to a supply monitor detection has occurred since the last read of SUPC_SR. Bit 1 – WKUPS WKUP Wakeup Status (cleared on read) Value Description 0 (NO): No wakeup due to the assertion of the WKUP pins has occurred since the last read of SUPC_SR. 1 (PRESENT): At least one wakeup due to the assertion of the WKUP pins has occurred since the last read of SUPC_SR. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 173 SAM E70/S70/V70/V71 Supply Controller (SUPC) 23.5.7 System Controller Write Protection Mode Register Name:  Offset:  Reset:  Property:  Bit Access Reset Bit Access Reset Bit Access Reset Bit SYSC_WPMR 0xD4 0x00000000 Read/Write 31 30 29 R/W 0 R/W 0 R/W 0 23 22 21 R/W 0 R/W 0 R/W 0 15 14 13 R/W 0 R/W 0 R/W 0 7 6 5 28 27 WPKEY[23:16] R/W R/W 0 0 20 19 WPKEY[15:8] R/W R/W 0 0 12 11 WPKEY[7:0] R/W R/W 0 0 4 3 26 25 24 R/W 0 R/W 0 R/W 0 18 17 16 R/W 0 R/W 0 R/W 0 10 9 8 R/W 0 R/W 0 R/W 0 2 1 0 WPEN R?W 0 Access Reset Bits 31:8 – WPKEY[23:0] Write Protection Key. Value Name Description 0x525443 PASSWD Writing any other value in this field aborts the write operation of the WPEN bit. Always reads as 0. Bit 0 – WPEN Write Protection Enable See "Register Write Protection" for the list of registers that can be write-protected. Value Description 0 Disables the write protection if WPKEY corresponds to 0x525443 (“RTC” in ASCII). 1 Enables the write protection if WPKEY corresponds to 0x525443 (“RTC” in ASCII). © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 174 SAM E70/S70/V70/V71 Watchdog Timer (WDT) 24. 24.1 Watchdog Timer (WDT) Description The Watchdog Timer (WDT) is used to prevent system lock-up if the software becomes trapped in a deadlock. It features a 12-bit down counter that allows a watchdog period of up to 16 seconds (slow clock around 32 kHz). It can generate a general reset or a processor reset only. In addition, it can be stopped while the processor is in Debug mode or Sleep mode (Idle mode). 24.2 Embedded Characteristics • • • • 24.3 12-bit Key-protected Programmable Counter Watchdog Clock is Independent from Processor Clock Provides Reset or Interrupt Signals to the System Counter May Be Stopped while the Processor is in Debug State or in Idle Mode Block Diagram Figure 24-1. Watchdog Timer Block Diagram write WDT_MR WDT_MR WDV WDT_CR WDRSTT reload 1 0 12-bit Down Counter WDT_MR WDD reload Current Value 1/128 SLCK 96 and the IP Stretch Enable bit in the Network Configuration Register (GMAC_NCFGR.IPGSEN) is written to '1', RESULT is used for the transmit inter-packet-gap. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 620 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.27 GMAC Stacked VLAN Register Name:  Offset:  Reset:  Property:  Bit GMAC_SVLAN 0x0C0 0x00000000 - 31 ESVLAN 30 29 28 27 26 25 24 20 19 18 17 16 10 9 8 R/W 0 R/W 0 R/W 0 2 1 0 R/W 0 R/W 0 R/W 0 Access Reset 0 Bit 23 22 21 15 14 13 R/W 0 R/W 0 R/W 0 7 6 5 R/W 0 R/W 0 R/W 0 Access Reset Bit Access Reset Bit Access Reset 12 11 VLAN_TYPE[15:8] R/W R/W 0 0 4 3 VLAN_TYPE[7:0] R/W R/W 0 0 Bit 31 – ESVLAN Enable Stacked VLAN Processing Mode 0: Disable the stacked VLAN processing mode 1: Enable the stacked VLAN processing mode Value Description 0 Stacked VLAN Processing disabled 1 Stacked VLAN Processing enabled Bits 15:0 – VLAN_TYPE[15:0] User Defined VLAN_TYPE Field When Stacked VLAN is enabled (ESVLAN=1), the first VLAN tag in a received frame will only be accepted if the VLAN type field is equal to this user defined VLAN_TYPE, OR equal to the standard VLAN type (0x8100). Note:  The second VLAN tag of a Stacked VLAN packet will only be matched correctly if its VLAN_TYPE field equals 0x8100. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 621 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.28 GMAC Transmit PFC Pause Register Name:  Offset:  Reset:  Property:  Bit GMAC_TPFCP 0x0C4 0x00000000 - 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 R/W 0 R/W 0 R/W 0 R/W 0 3 2 1 0 R/W 0 R/W 0 R/W 0 R/W 0 Access Reset Bit Access Reset Bit PQ[7:0] Access Reset Bit R/W 0 R/W 0 R/W 0 R/W 0 7 6 5 4 PEV[7:0] Access Reset R/W 0 R/W 0 R/W 0 R/W 0 Bits 15:8 – PQ[7:0] Pause Quantum When the Remove FCS bit in the GMAC Network Configuration register (GMAC_NCFGR.RFCS) is written to '1', and one or more bits in this bit field are written to '0', the associated PFC pause frame's pause quantum field value is taken from the Transmit Pause Quantum register (GMAC_TPQ). For each entry equal to '1' in this bit field, the pause quantum associated with that entry will be zero. Bits 7:0 – PEV[7:0] Priority Enable Vector When the Remove FCS bit in the GMAC Network Configuration register (GMAC_NCFGR.RFCS) is written to '1', the priority enable vector of the PFC priority-based pause frame is set to the value stored in this bit field. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 622 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.29 GMAC Specific Address 1 Mask Bottom Name:  Offset:  Reset:  Property:  Bit Access Reset Bit Access Reset Bit GMAC_SAMB1 0x0C8 0x00000000 - 31 30 29 R/W 0 R/W 0 R/W 0 23 22 21 R/W 0 R/W 0 R/W 0 15 14 13 28 27 ADDR[31:24] R/W R/W 0 0 26 25 24 R/W 0 R/W 0 R/W 0 18 17 16 R/W 0 R/W 0 R/W 0 11 10 9 8 R/W 0 R/W 0 R/W 0 R/W 0 3 2 1 0 R/W 0 R/W 0 R/W 0 R/W 0 20 19 ADDR[23:16] R/W R/W 0 0 12 ADDR[15:8] Access Reset Bit R/W 0 R/W 0 R/W 0 R/W 0 7 6 5 4 ADDR[7:0] Access Reset R/W 0 R/W 0 R/W 0 R/W 0 Bits 31:0 – ADDR[31:0] Specific Address 1 Mask Setting a bit to '1' masks the corresponding bit in the Specific Address 1 Bottom register (GMAC_SAB1). © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 623 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.30 GMAC Specific Address Mask 1 Top Name:  Offset:  Reset:  Property:  Bit GMAC_SAMT1 0x0CC 0x00000000 - 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 R/W 0 R/W 0 R/W 0 R/W 0 3 2 1 0 R/W 0 R/W 0 R/W 0 R/W 0 Access Reset Bit Access Reset Bit ADDR[15:8] Access Reset Bit R/W 0 R/W 0 R/W 0 R/W 0 7 6 5 4 ADDR[7:0] Access Reset R/W 0 R/W 0 R/W 0 R/W 0 Bits 15:0 – ADDR[15:0] Specific Address 1 Mask Setting a bit to '1' masks the corresponding bit in the Specific Address 1 register GMAC_SAT1. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 624 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.31 GMAC 1588 Timer Nanosecond Comparison Register Name:  Offset:  Reset:  Property:  Bit GMAC_NSC 0x0DC 0x00000000 - 31 30 29 28 23 22 21 20 R/W 0 R/W 0 27 26 25 24 17 16 R/W 0 R/W 0 10 9 8 R/W 0 R/W 0 R/W 0 2 1 0 R/W 0 R/W 0 R/W 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset 15 14 13 R/W 0 R/W 0 R/W 0 7 6 5 R/W 0 R/W 0 R/W 0 19 18 NANOSEC[21:16] R/W R/W 0 0 12 11 NANOSEC[15:8] R/W R/W 0 0 4 3 NANOSEC[7:0] R/W R/W 0 0 Bits 21:0 – NANOSEC[21:0] 1588 Timer Nanosecond Comparison Value Value is compared to the bits [45:24] of the TSU timer count value (upper 22 bits of nanosecond value). © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 625 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.32 GMAC 1588 Timer Second Comparison Low Register Name:  Offset:  Reset:  Property:  Bit GMAC_SCL 0x0E0 0x00000000 - 31 30 29 28 27 26 25 24 R/W 0 R/W 0 R/W 0 R/W 0 19 18 17 16 R/W 0 R/W 0 R/W 0 R/W 0 11 10 9 8 R/W 0 R/W 0 R/W 0 R/W 0 3 2 1 0 R/W 0 R/W 0 R/W 0 R/W 0 SEC[31:24] Access Reset Bit R/W 0 R/W 0 R/W 0 R/W 0 23 22 21 20 SEC[23:16] Access Reset Bit R/W 0 R/W 0 R/W 0 R/W 0 15 14 13 12 SEC[15:8] Access Reset Bit R/W 0 R/W 0 R/W 0 R/W 0 7 6 5 4 SEC[7:0] Access Reset R/W 0 R/W 0 R/W 0 R/W 0 Bits 31:0 – SEC[31:0] 1588 Timer Second Comparison Value Value is compared to seconds value bits [31:0] of the TSU timer count value. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 626 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.33 GMAC 1588 Timer Second Comparison High Register Name:  Offset:  Reset:  Property:  Bit GMAC_SCH 0x0E4 0x00000000 - 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 R/W 0 R/W 0 R/W 0 R/W 0 3 2 1 0 R/W 0 R/W 0 R/W 0 R/W 0 Access Reset Bit Access Reset Bit SEC[15:8] Access Reset Bit R/W 0 R/W 0 R/W 0 R/W 0 7 6 5 4 SEC[7:0] Access Reset R/W 0 R/W 0 R/W 0 R/W 0 Bits 15:0 – SEC[15:0] 1588 Timer Second Comparison Value Value is compared to the top 16 bits (most significant 16 bits [47:32] of seconds value) of the TSU timer count value. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 627 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.34 GMAC PTP Event Frame Transmitted Seconds High Register Name:  Offset:  Reset:  Property:  Bit GMAC_EFTSH 0x0E8 0x00000000 Read-only 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 Access Reset Bit Access Reset Bit RUD[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 RUD[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 15:0 – RUD[15:0] Register Update The register is updated with the value that the IEEE 1588 timer seconds register held when the SFD of a PTP transmit primary event crosses the MII interface. An interrupt is issued when the register is updated. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 628 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.35 GMAC PTP Event Frame Received Seconds High Register Name:  Offset:  Reset:  Property:  Bit GMAC_EFRSH 0x0EC 0x00000000 Read-only 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 Access Reset Bit Access Reset Bit RUD[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 RUD[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 15:0 – RUD[15:0] Register Update The register is updated with the value that the IEEE 1588 timer seconds register held when the SFD of a PTP transmit primary event crosses the MII interface. An interrupt is issued when the register is updated. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 629 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.36 GMAC PTP Peer Event Frame Transmitted Seconds High Register Name:  Offset:  Reset:  Property:  Bit GMAC_PEFTSH 0x0F0 0x00000000 - 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 Access Reset Bit Access Reset Bit RUD[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 RUD[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 15:0 – RUD[15:0] Register Update The register is updated with the value that the IEEE 1588 timer seconds register held when the SFD of a PTP transmit peer event crosses the MII interface. An interrupt is issued when the register is updated. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 630 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.37 GMAC PTP Peer Event Frame Received Seconds High Register Name:  Offset:  Reset:  Property:  Bit GMAC_PEFRSH 0x0F4 0x00000000 - 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 Access Reset Bit Access Reset Bit RUD[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 RUD[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 15:0 – RUD[15:0] Register Update The register is updated with the value that the 1588 timer seconds register held when the SFD of a PTP transmit peer event crosses the MII interface. An interrupt is issued when the register is updated. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 631 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.38 GMAC Octets Transmitted Low Register Name:  Offset:  Reset:  Property:  GMAC_OTLO 0x100 0x00000000 Read-Only (Cleared on Read) When reading the Octets Transmitted and Octets Received Registers, bits [31:0] should be read prior to bits [47:32] to ensure reliable operation. Bit 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 TXO[31:24] Access Reset R 0 R 0 R 0 R 0 Bit 23 22 21 20 TXO[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 TXO[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 TXO[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 31:0 – TXO[31:0] Transmitted Octets Transmitted octets in valid frames of any type without errors, bits [31:0]. This counter is 48-bits, and is read through two registers. This count does not include octets from automatically generated pause frames. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 632 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.39 GMAC Octets Transmitted High Register Name:  Offset:  Reset:  Property:  GMAC_OTHI 0x104 0x00000000 Read-Only (Cleared on Read) When reading the Octets Transmitted and Octets Received Registers, bits [31:0] should be read prior to bits [47:32] to ensure reliable operation. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 Access Reset Bit Access Reset Bit TXO[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 TXO[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 15:0 – TXO[15:0] Transmitted Octets Transmitted octets in valid frames of any type without errors, bits [47:32]. This counter is 48-bits, and is read through two registers. This count does not include octets from automatically generated pause frames. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 633 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.40 GMAC Frames Transmitted Name:  Offset:  Reset:  Property:  Bit GMAC_FT 0x108 0x00000000 Read-only (Cleared on Read) 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 FTX[31:24] Access Reset R 0 R 0 R 0 R 0 Bit 23 22 21 20 FTX[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 FTX[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 FTX[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 31:0 – FTX[31:0] Frames Transmitted without Error Frames transmitted without error. This register counts the number of frames successfully transmitted, i.e., no underrun and not too many retries. Excludes pause frames. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 634 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.41 GMAC Broadcast Frames Transmitted Register Name:  Offset:  Reset:  Property:  Bit GMAC_BCFT 0x10C 0x00000000 Read-only (Cleared on Read) 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 BFTX[31:24] Access Reset R 0 R 0 R 0 R 0 Bit 23 22 21 20 BFTX[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 BFTX[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 BFTX[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 31:0 – BFTX[31:0] Broadcast Frames Transmitted without Error This register counts the number of broadcast frames successfully transmitted without error, i.e., no underrun and not too many retries. Excludes pause frames. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 635 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.42 GMAC Multicast Frames Transmitted Register Name:  Offset:  Reset:  Property:  Bit GMAC_MFT 0x110 0x00000000 Read-Only (Cleared on Read) 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 MFTX[31:24] Access Reset R 0 R 0 R 0 R 0 Bit 23 22 21 20 MFTX[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 MFTX[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 MFTX[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 31:0 – MFTX[31:0] Multicast Frames Transmitted without Error This register counts the number of multicast frames successfully transmitted without error, i.e., no underrun and not too many retries. Excludes pause frames. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 636 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.43 GMAC Pause Frames Transmitted Register Name:  Offset:  Reset:  Property:  Bit GMAC_PFT 0x114 0x00000000 - 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 Access Reset Bit Access Reset Bit PFTX[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 PFTX[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 15:0 – PFTX[15:0] Pause Frames Transmitted Register This register counts the number of pause frames transmitted. Only pause frames triggered by the register interface or through the external pause pins are counted as pause frames. Pause frames received through the FIFO interface are counted in the frames transmitted counter. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 637 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.44 GMAC 64 Byte Frames Transmitted Register Name:  Offset:  Reset:  Property:  Bit 31 GMAC_BFT64 0x118 0x00000000 Read-only 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 NFTX[31:24] Access Reset R 0 R 0 R 0 R 0 Bit 23 22 21 20 NFTX[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 NFTX[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 NFTX[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 31:0 – NFTX[31:0] 64 Byte Frames Transmitted without Error This register counts the number of 64 byte frames successfully transmitted without error, i.e., no underrun and not too many retries. Excludes pause frames. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 638 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.45 GMAC 65 to 127 Byte Frames Transmitted Register Name:  Offset:  Reset:  Property:  Bit GMAC_TBFT127 0x11C 0x00000000 - 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 NFTX[31:24] Access Reset R 0 R 0 R 0 R 0 Bit 23 22 21 20 NFTX[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 NFTX[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 NFTX[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 31:0 – NFTX[31:0] 65 to 127 Byte Frames Transmitted without Error This register counts the number of 65 to 127 byte frames successfully transmitted without error, i.e., no underrun and not too many retries. Excludes pause frames. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 639 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.46 GMAC 128 to 255 Byte Frames Transmitted Register Name:  Offset:  Reset:  Property:  Bit GMAC_TBFT255 0x120 0x00000000 - 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 NFTX[31:24] Access Reset R 0 R 0 R 0 R 0 Bit 23 22 21 20 NFTX[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 NFTX[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 NFTX[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 31:0 – NFTX[31:0] 128 to 255 Byte Frames Transmitted without Error This register counts the number of 128 to 255 byte frames successfully transmitted without error, i.e., no underrun and not too many retries. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 640 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.47 GMAC 256 to 511 Byte Frames Transmitted Register Name:  Offset:  Reset:  Property:  Bit GMAC_TBFT511 0x124 0x00000000 - 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 NFTX[31:24] Access Reset R 0 R 0 R 0 R 0 Bit 23 22 21 20 NFTX[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 NFTX[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 NFTX[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 31:0 – NFTX[31:0] 256 to 511 Byte Frames Transmitted without Error This register counts the number of 256 to 511 byte frames successfully transmitted without error, i.e., no underrun and not too many retries. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 641 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.48 GMAC 512 to 1023 Byte Frames Transmitted Register Name:  Offset:  Reset:  Property:  Bit GMAC_TBFT1023 0x128 0x00000000 - 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 NFTX[31:24] Access Reset R 0 R 0 R 0 R 0 Bit 23 22 21 20 NFTX[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 NFTX[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 NFTX[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 31:0 – NFTX[31:0] 512 to 1023 Byte Frames Transmitted without Error This register counts the number of 512 to 1023 byte frames successfully transmitted without error, i.e., no underrun and not too many retries. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 642 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.49 GMAC 1024 to 1518 Byte Frames Transmitted Register Name:  Offset:  Reset:  Property:  Bit GMAC_TBFT1518 0x12C 0x00000000 - 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 NFTX[31:24] Access Reset R 0 R 0 R 0 R 0 Bit 23 22 21 20 NFTX[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 NFTX[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 NFTX[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 31:0 – NFTX[31:0] 1024 to 1518 Byte Frames Transmitted without Error This register counts the number of 1024 to 1518 byte frames successfully transmitted without error, i.e., no underrun and not too many retries. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 643 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.50 GMAC Greater Than 1518 Byte Frames Transmitted Register Name:  Offset:  Reset:  Property:  Bit GMAC_GTBFT1518 0x130 0x00000000 Read-only 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 NFTX[31:24] Access Reset R 0 R 0 R 0 R 0 Bit 23 22 21 20 NFTX[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 NFTX[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 NFTX[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 31:0 – NFTX[31:0] Greater than 1518 Byte Frames Transmitted without Error This register counts the number of 1518 or above byte frames successfully transmitted without error i.e., no underrun and not too many retries. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 644 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.51 GMAC Transmit Underruns Register Name:  Offset:  Reset:  Property:  Bit GMAC_TUR 0x134 0x00000000 - 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 Access Reset Bit Access Reset Bit 8 TXUNR[9:8] Access Reset Bit 7 6 5 4 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 TXUNR[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 9:0 – TXUNR[9:0] Transmit Underruns This register counts the number of frames not transmitted due to a transmit underrun. If this register is incremented then no other statistics register is incremented. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 645 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.52 GMAC Single Collision Frames Register Name:  Offset:  Reset:  Property:  Bit GMAC_SCF 0x138 0x00000000 - 31 30 29 28 27 26 25 23 22 21 20 19 18 17 24 Access Reset Bit 16 SCOL[17:16] Access Reset Bit 15 14 13 12 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 SCOL[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 SCOL[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 17:0 – SCOL[17:0] Single Collision This register counts the number of frames experiencing a single collision before being successfully transmitted i.e., no underrun. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 646 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.53 GMAC Multiple Collision Frames Register Name:  Offset:  Reset:  Property:  Bit GMAC_MCF 0x13C 0x00000000 - 31 30 29 28 27 26 25 23 22 21 20 19 18 17 24 Access Reset Bit 16 MCOL[17:16] Access Reset Bit 15 14 13 12 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 MCOL[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 MCOL[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 17:0 – MCOL[17:0] Multiple Collision This register counts the number of frames experiencing between two and fifteen collisions prior to being successfully transmitted, i.e., no underrun and not too many retries. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 647 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.54 GMAC Excessive Collisions Register Name:  Offset:  Reset:  Property:  Bit GMAC_EC 0x140 0x00000000 Read-only 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 Access Reset Bit Access Reset Bit 8 XCOL[9:8] Access Reset Bit 7 6 5 4 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 XCOL[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 9:0 – XCOL[9:0] Excessive Collisions This register counts the number of frames that failed to be transmitted because they experienced 16 collisions. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 648 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.55 GMAC Late Collisions Register Name:  Offset:  Reset:  Property:  Bit GMAC_LC 0x144 0x00000000 - 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 Access Reset Bit Access Reset Bit 8 LCOL[9:8] Access Reset Bit 7 6 5 4 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 LCOL[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 9:0 – LCOL[9:0] Late Collisions This register counts the number of late collisions occurring after the slot time (512 bits) has expired. In 10/100 mode, late collisions are counted twice i.e., both as a collision and a late collision. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 649 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.56 GMAC Deferred Transmission Frames Register Name:  Offset:  Reset:  Property:  Bit GMAC_DTF 0x148 0x00000000 Read-only 31 30 29 28 27 26 25 23 22 21 20 19 18 17 24 Access Reset Bit 16 DEFT[17:16] Access Reset Bit 15 14 13 12 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 DEFT[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 DEFT[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 17:0 – DEFT[17:0] Deferred Transmission This register counts the number of frames experiencing deferral due to carrier sense being active on their first attempt at transmission. Frames involved in any collision are not counted nor are frames that experienced a transmit underrun. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 650 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.57 GMAC Carrier Sense Errors Register Name:  Offset:  Reset:  Property:  Bit GMAC_CSE 0x14C 0x00000000 Read-only 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 Access Reset Bit Access Reset Bit 8 CSR[9:8] Access Reset Bit 7 6 5 4 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 CSR[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 9:0 – CSR[9:0] Carrier Sense Error This register counts the number of frames transmitted with carrier sense was not seen during transmission or where carrier sense was de-asserted after being asserted in a transmit frame without collision (no underrun). Only incremented in half duplex mode. The only effect of a carrier sense error is to increment this register. The behavior of the other statistics registers is unaffected by the detection of a carrier sense error. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 651 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.58 GMAC Octets Received Low Register Name:  Offset:  Reset:  Property:  GMAC_ORLO 0x150 0x00000000 Read-Only (Cleared on read) When reading the Octets Transmitted and Octets Received Registers, bits [31:0] should be read prior to bits [47:32] to ensure reliable operation. Bit 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 RXO[31:24] Access Reset R 0 R 0 R 0 R 0 Bit 23 22 21 20 RXO[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 RXO[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 RXO[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 31:0 – RXO[31:0] Received Octets Received octets in frame without errors [31:0]. The number of octets received in valid frames of any type. This counter is 48-bits and is read through two registers. This count does not include octets from pause frames, and is only incremented if the frame is successfully filtered and copied to memory. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 652 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.59 GMAC Octets Received High Register Name:  Offset:  Reset:  Property:  GMAC_ORHI 0x154 0x00000000 Read-only (Cleared on Read) When reading the Octets Transmitted and Octets Received Registers, bits 31:0 should be read prior to bits 47:32 to ensure reliable operation. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 Access Reset Bit Access Reset Bit RXO[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 RXO[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 15:0 – RXO[15:0] Received Octets Received octets in frame without errors [47:32]. The number of octets received in valid frames of any type. This counter is 48-bits and is read through two registers. This count does not include octets from pause frames, and is only incremented if the frame is successfully filtered and copied to memory. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 653 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.60 GMAC Frames Received Register Name:  Offset:  Reset:  Property:  Bit GMAC_FR 0x158 0x00000000 Read-only (Cleared on Read) 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 FRX[31:24] Access Reset R 0 R 0 R 0 R 0 Bit 23 22 21 20 FRX[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 FRX[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 FRX[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 31:0 – FRX[31:0] Frames Received without Error This bit field counts the number of frames successfully received, excluding pause frames. It is only incremented if the frame is successfully filtered and copied to memory. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 654 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.61 GMAC Broadcast Frames Received Register Name:  Offset:  Reset:  Property:  Bit 31 GMAC_BCFR 0x15C 0x00000000 Read-only (Cleared on Read) 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 BFRX[31:24] Access Reset R 0 R 0 R 0 R 0 Bit 23 22 21 20 BFRX[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 BFRX[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 BFRX[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 31:0 – BFRX[31:0] Broadcast Frames Received without Error Broadcast frames received without error. This bit field counts the number of broadcast frames successfully received. This excludes pause frames, and is only incremented if the frame is successfully filtered and copied to memory. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 655 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.62 GMAC Multicast Frames Received Register Name:  Offset:  Reset:  Property:  Bit GMAC_MFR 0x160 0x00000000 Read-only (Cleared on Read) 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 MFRX[31:24] Access Reset R 0 R 0 R 0 R 0 Bit 23 22 21 20 MFRX[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 MFRX[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 MFRX[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 31:0 – MFRX[31:0] Multicast Frames Received without Error This register counts the number of multicast frames successfully received without error, excluding pause frames, and is only incremented if the frame is successfully filtered and copied to memory. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 656 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.63 GMAC Pause Frames Received Register Name:  Offset:  Reset:  Property:  Bit GMAC_PFR 0x164 0x00000000 - 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 Access Reset Bit Access Reset Bit PFRX[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 PFRX[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 15:0 – PFRX[15:0] Pause Frames Received Register This register counts the number of pause frames received without error. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 657 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.64 GMAC 64 Byte Frames Received Register Name:  Offset:  Reset:  Property:  Bit GMAC_BFR64 0x168 0x00000000 Read-only 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 NFRX[31:24] Access Reset R 0 R 0 R 0 R 0 Bit 23 22 21 20 NFRX[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 NFRX[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 NFRX[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 31:0 – NFRX[31:0] 64 Byte Frames Received without Error This bit field counts the number of 64 byte frames successfully received without error. Excludes pause frames, and is only incremented if the frame is successfully filtered and copied to memory. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 658 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.65 GMAC 65 to 127 Byte Frames Received Register Name:  Offset:  Reset:  Property:  Bit GMAC_TBFR127 0x16C 0x00000000 - 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 NFRX[31:24] Access Reset R 0 R 0 R 0 R 0 Bit 23 22 21 20 NFRX[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 NFRX[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 NFRX[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 31:0 – NFRX[31:0] 65 to 127 Byte Frames Received without Error This bit field counts the number of 65 to 127 byte frames successfully received without error. Excludes pause frames, and is only incremented if the frame is successfully filtered and copied to memory. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 659 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.66 GMAC 128 to 255 Byte Frames Received Register Name:  Offset:  Reset:  Property:  Bit GMAC_TBFR255 0x170 0x00000000 - 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 NFRX[31:24] Access Reset R 0 R 0 R 0 R 0 Bit 23 22 21 20 NFRX[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 NFRX[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 NFRX[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 31:0 – NFRX[31:0] 128 to 255 Byte Frames Received without Error This bit field counts the number of 128 to 255 byte frames successfully received without error. Excludes pause frames, and is only incremented if the frame is successfully filtered and copied to memory. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 660 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.67 GMAC 256 to 511 Byte Frames Received Register Name:  Offset:  Reset:  Property:  Bit GMAC_TBFR511 0x174 0x00000000 - 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 NFRX[31:24] Access Reset R 0 R 0 R 0 R 0 Bit 23 22 21 20 NFRX[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 NFRX[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 NFRX[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 31:0 – NFRX[31:0] 256 to 511 Byte Frames Received without Error This bit fields counts the number of 256 to 511 byte frames successfully received without error. Excludes pause frames, and is only incremented if the frame is successfully filtered and copied to memory. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 661 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.68 GMAC 512 to 1023 Byte Frames Received Register Name:  Offset:  Reset:  Property:  Bit GMAC_TBFR1023 0x178 0x00000000 - 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 NFRX[31:24] Access Reset R 0 R 0 R 0 R 0 Bit 23 22 21 20 NFRX[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 NFRX[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 NFRX[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 31:0 – NFRX[31:0] 512 to 1023 Byte Frames Received without Error This bit field counts the number of 512 to 1023 byte frames successfully received without error. Excludes pause frames, and is only incremented if the frame is successfully filtered and copied to memory. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 662 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.69 GMAC 1024 to 1518 Byte Frames Received Register Name:  Offset:  Reset:  Property:  Bit GMAC_TBFR1518 0x17C 0x00000000 - 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 NFRX[31:24] Access Reset R 0 R 0 R 0 R 0 Bit 23 22 21 20 NFRX[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 NFRX[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 NFRX[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 31:0 – NFRX[31:0] 1024 to 1518 Byte Frames Received without Error This bit field counts the number of 1024 to 1518 byte frames successfully received without error, i.e., no underrun and not too many retries. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 663 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.70 GMAC 1519 to Maximum Byte Frames Received Register Name:  Offset:  Reset:  Property:  Bit GMAC_TMXBFR 0x180 0x00000000 - 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 NFRX[31:24] Access Reset R 0 R 0 R 0 R 0 Bit 23 22 21 20 NFRX[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 NFRX[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 NFRX[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 31:0 – NFRX[31:0] 1519 to Maximum Byte Frames Received without Error This bit field counts the number of 1519 Byte or above frames successfully received without error. Maximum frame size is determined by the Maximum Frame Size bit (MAXFS, 1536 Bytes) or Jumbo Frame Size bit (JFRAME, 10240 Bytes) in the Network Configuration Register (GMAC_NCFGR). Excludes pause frames, and is only incremented if the frame is successfully filtered and copied to memory. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 664 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.71 GMAC Undersized Frames Received Register Name:  Offset:  Reset:  Property:  Bit GMAC_UFR 0x184 0x00000000 - 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 Access Reset Bit Access Reset Bit 8 UFRX[9:8] Access Reset Bit 7 6 5 4 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 UFRX[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 9:0 – UFRX[9:0] Undersize Frames Received This bit field counts the number of frames received less than 64 bytes in length (10/100 mode, full duplex) that do not have either a CRC error or an alignment error. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 665 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.72 GMAC Oversized Frames Received Register Name:  Offset:  Reset:  Property:  Bit GMAC_OFR 0x188 0x00000000 - 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 Access Reset Bit Access Reset Bit 8 OFRX[9:8] Access Reset Bit 7 6 5 4 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 OFRX[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 9:0 – OFRX[9:0] Oversized Frames Received This pit field counts the number of frames received exceeding 1518 Bytes in length (1536 Bytes if GMAC_NCFGR.MAXFS is written to '1') but do not have either a CRC error, an alignment error, nor a receive symbol error. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 666 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.73 GMAC Jabbers Received Register Name:  Offset:  Reset:  Property:  Bit GMAC_JR 0x18C 0x00000000 - 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 Access Reset Bit Access Reset Bit 8 JRX[9:8] Access Reset Bit 7 6 5 4 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 JRX[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 9:0 – JRX[9:0] Jabbers Received This bit field counts the number of frames received exceeding 1518 Bytes in length (1536 Bytes if GMAC_NCFGR.MAXFS is written to '1') and have either a CRC error, an alignment error or a receive symbol error. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 667 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.74 GMAC Frame Check Sequence Errors Register Name:  Offset:  Reset:  Property:  Bit GMAC_FCSE 0x190 0x00000000 Read-only 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 Access Reset Bit Access Reset Bit 8 FCKR[9:8] Access Reset Bit 7 6 5 4 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 FCKR[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 9:0 – FCKR[9:0] Frame Check Sequence Errors The register counts frames that are an integral number of bytes, have bad CRC and are between 64 and 1518 bytes in length (1536 Bytes if GMAC_NCFGR.MAXFS is written to '1'). This register is also incremented if a symbol error is detected and the frame is of valid length and has an integral number of bytes. This register is incremented for a frame with bad FCS, regardless of whether it is copied to memory due to ignore FCS mode (enabled by writing GMAC_NCFGR.IRXFCS=1). © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 668 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.75 GMAC Length Field Frame Errors Register Name:  Offset:  Reset:  Property:  Bit GMAC_LFFE 0x194 0x00000000 - 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 Access Reset Bit Access Reset Bit 8 LFER[9:8] Access Reset Bit 7 6 5 4 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 LFER[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 9:0 – LFER[9:0] Length Field Frame Errors This bit field counts the number of frames received that have a measured length shorter than that extracted from the length field (Bytes 13 and 14). This condition is only counted if the value of the length field is less than 0x0600, the frame is not of excessive length and checking is enabled by writing a '1' to the Length Field Error Frame Discard bit in the Network Configuration Register (GMAC_NCFGR.LFERD). © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 669 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.76 GMAC Receive Symbol Errors Register Name:  Offset:  Reset:  Property:  Bit GMAC_RSE 0x198 0x00000000 Read-only 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 Access Reset Bit Access Reset Bit 8 RXSE[9:8] Access Reset Bit 7 6 5 4 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 RXSE[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 9:0 – RXSE[9:0] Receive Symbol Errors This bit field counts the number of frames that had GRXER asserted during reception. For 10/100 mode symbol errors are counted regardless of frame length checks. Receive symbol errors will also be counted as an FCS or alignment error if the frame is between 64 and 1518 Bytes (1536 Bytes if GMAC_NCFGR.MAXFS=1). If the frame is larger it will be recorded as a jabber error. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 670 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.77 GMAC Alignment Errors Register Name:  Offset:  Reset:  Property:  Bit GMAC_AE 0x19C 0x00000000 Read-only 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 Access Reset Bit Access Reset Bit 8 AER[9:8] Access Reset Bit 7 6 5 4 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 AER[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 9:0 – AER[9:0] Alignment Errors This bit field counts the frames that are not an integral number of bytes long and have bad CRC when their length is truncated to an integral number of Bytes and are between 64 and 1518 Bytes in length (1536 if GMAC_NCFGR.MAXFS=1). This register is also incremented if a symbol error is detected and the frame is of valid length and does not have an integral number of bytes. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 671 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.78 GMAC Receive Resource Errors Register Name:  Offset:  Reset:  Property:  Bit GMAC_RRE 0x1A0 0x00000000 - 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 RXRER[17:16] R R 0 0 15 14 13 12 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 Access Reset Bit Access Reset Bit RXRER[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 RXRER[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 17:0 – RXRER[17:0] Receive Resource Errors This bit field counts frames that are not an integral number of bytes long and have bad CRC when their length is truncated to an integral number of Bytes and are between 64 and 1518 Bytes in length (1536 if GMAC_NCFGR.MAXFS=1). This bit field is also incremented if a symbol error is detected and the frame is of valid length and does not have an integral number of Bytes. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 672 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.79 GMAC Receive Overruns Register Name:  Offset:  Reset:  Property:  Bit GMAC_ROE 0x1A4 0x00000000 - 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 Access Reset Bit Access Reset Bit 8 RXOVR[9:8] Access Reset Bit 7 6 5 4 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 RXOVR[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 9:0 – RXOVR[9:0] Receive Overruns This bit field counts the number of frames that are address recognized but were not copied to memory due to a receive overrun. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 673 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.80 GMAC IP Header Checksum Errors Register Name:  Offset:  Reset:  Property:  Bit GMAC_IHCE 0x1A8 0x00000000 - 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 R 0 R 0 R 0 R 0 Access Reset Bit Access Reset Bit Access Reset Bit HCKER[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 7:0 – HCKER[7:0] IP Header Checksum Errors This register counts the number of frames discarded due to an incorrect IP header checksum, but are between 64 and 1518 Bytes (1536 Bytes if GMAC_NCFGR.MAXFS=1) and do not have a CRC error, an alignment error, nor a symbol error. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 674 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.81 GMAC TCP Checksum Errors Register Name:  Offset:  Reset:  Property:  Bit GMAC_TCE 0x1AC 0x00000000 - 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 R 0 R 0 R 0 R 0 Access Reset Bit Access Reset Bit Access Reset Bit TCKER[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 7:0 – TCKER[7:0] TCP Checksum Errors This register counts the number of frames discarded due to an incorrect TCP checksum, but are between 64 and 1518 Bytes (1536 Bytes if GMAC_NCFGR.MAXFS=1) and do not have a CRC error, an alignment error, nor a symbol error. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 675 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.82 GMAC UDP Checksum Errors Register Name:  Offset:  Reset:  Property:  Bit GMAC_UCE 0x1B0 0x00000000 - 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 R 0 R 0 R 0 R 0 Access Reset Bit Access Reset Bit Access Reset Bit UCKER[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 7:0 – UCKER[7:0] UDP Checksum Errors This register counts the number of frames discarded due to an incorrect UDP checksum, but are between 64 and 1518 Bytes (1536 Bytes if GMAC_NCFGR.MAXFS=1) and do not have a CRC error, an alignment error, nor a symbol error. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 676 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.83 GMAC 1588 Timer Increment Sub-nanoseconds Register Name:  Offset:  Reset:  Property:  Bit GMAC_TISUBN 0x1BC 0x00000000 - 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 10 9 8 R/W 0 R/W 0 R/W 0 R/W 0 R/W 0 R/W 0 7 6 5 2 1 0 R/W 0 R/W 0 R/W 0 R/W 0 R/W 0 R/W 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset 11 LSBTIR[15:8] R/W R/W 0 0 4 3 LSBTIR[7:0] R/W R/W 0 0 Bits 15:0 – LSBTIR[15:0] Lower Significant Bits of Timer Increment Register Lower significant bits of Timer Increment Register [15:0], giving a 24-bit timer_increment counter. These bits are the sub-ns value which the 1588 timer will be incremented each clock cycle. Bit n = 2(n-16) ns giving a resolution of approximately 15.2E-15 sec. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 677 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.84 GMAC 1588 Timer Seconds High Register Name:  Offset:  Reset:  Property:  Bit GMAC_TSH 0x1C0 0x00000000 - 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 R/W 0 R/W 0 R/W 0 R/W 0 3 2 1 0 R/W 0 R/W 0 R/W 0 R/W 0 Access Reset Bit Access Reset Bit TCS[15:8] Access Reset Bit R/W 0 R/W 0 R/W 0 R/W 0 7 6 5 4 TCS[7:0] Access Reset R/W 0 R/W 0 R/W 0 R/W 0 Bits 15:0 – TCS[15:0] Timer Count in Seconds This register is writable. It increments by 1 when the IEEE 1588 nanoseconds counter counts to one second. It may also be incremented when the Timer Adjust Register is written. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 678 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.85 GMAC 1588 Timer Seconds Low Register Name:  Offset:  Reset:  Property:  Bit 31 GMAC_TSL 0x1D0 0x00000000 - 30 29 28 27 26 25 24 R/W 0 R/W 0 R/W 0 R/W 0 19 18 17 16 R/W 0 R/W 0 R/W 0 R/W 0 11 10 9 8 R/W 0 R/W 0 R/W 0 R/W 0 3 2 1 0 R/W 0 R/W 0 R/W 0 R/W 0 TCS[31:24] Access Reset Bit R/W 0 R/W 0 R/W 0 R/W 0 23 22 21 20 TCS[23:16] Access Reset Bit R/W 0 R/W 0 R/W 0 R/W 0 15 14 13 12 TCS[15:8] Access Reset Bit R/W 0 R/W 0 R/W 0 R/W 0 7 6 5 4 TCS[7:0] Access Reset R/W 0 R/W 0 R/W 0 R/W 0 Bits 31:0 – TCS[31:0] Timer Count in Seconds This register is writable. It increments by 1 when the IEEE 1588 nanoseconds counter counts to one second. It may also be incremented when the Timer Adjust Register is written. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 679 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.86 GMAC 1588 Timer Nanoseconds Register Name:  Offset:  Reset:  Property:  Bit GMAC_TN 0x1D4 0x00000000 - 31 30 29 28 27 26 25 24 R/W 0 R/W 0 R/W 0 R/W 0 19 18 17 16 R/W 0 R/W 0 R/W 0 R/W 0 11 10 9 8 R/W 0 R/W 0 R/W 0 R/W 0 3 2 1 0 R/W 0 R/W 0 R/W 0 R/W 0 TNS[29:24] Access Reset Bit 23 22 R/W 0 R/W 0 21 20 TNS[23:16] Access Reset Bit R/W 0 R/W 0 R/W 0 R/W 0 15 14 13 12 TNS[15:8] Access Reset Bit R/W 0 R/W 0 R/W 0 R/W 0 7 6 5 4 TNS[7:0] Access Reset R/W 0 R/W 0 R/W 0 R/W 0 Bits 29:0 – TNS[29:0] Timer Count in Nanoseconds This register is writable. It can also be adjusted by writes to the IEEE 1588 Timer Adjust Register. It increments by the value of the IEEE 1588 Timer Increment Register each clock cycle. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 680 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.87 GMAC 1588 Timer Adjust Register Name:  Offset:  Reset:  Property:  Bit Access Reset Bit GMAC_TA 0x1D8 0x00000000 - 31 ADJ W 0 30 23 22 29 28 27 26 25 24 W 0 W 0 W 0 W 0 19 18 17 16 W 0 W 0 W 0 W 0 11 10 9 8 W 0 W 0 W 0 W 0 3 2 1 0 W 0 W 0 W 0 W 0 ITDT[29:24] W 0 W 0 21 20 ITDT[23:16] Access Reset W 0 W 0 W 0 W 0 Bit 15 14 13 12 ITDT[15:8] Access Reset W 0 W 0 W 0 W 0 Bit 7 6 5 4 ITDT[7:0] Access Reset W 0 W 0 W 0 W 0 Bit 31 – ADJ Adjust 1588 Timer Write as '1' to subtract from the 1588 timer. Write as '0' to add to it. Bits 29:0 – ITDT[29:0] Increment/Decrement The number of nanoseconds to increment or decrement the IEEE 1588 Timer Nanoseconds Register. If necessary, the IEEE 1588 Seconds Register will be incremented or decremented. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 681 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.88 GMAC IEEE 1588 Timer Increment Register Name:  Offset:  Reset:  Property:  Bit GMAC_TI 0x1DC 0x00000000 - 31 30 29 28 23 22 21 20 27 26 25 24 19 18 17 16 R/W 0 R/W 0 R/W 0 R/W 0 11 10 9 8 R/W 0 R/W 0 R/W 0 R/W 0 3 2 1 0 R/W 0 R/W 0 R/W 0 R/W 0 Access Reset Bit NIT[7:0] Access Reset Bit R/W 0 R/W 0 R/W 0 R/W 0 15 14 13 12 ACNS[7:0] Access Reset Bit R/W 0 R/W 0 R/W 0 R/W 0 7 6 5 4 CNS[7:0] Access Reset R/W 0 R/W 0 R/W 0 R/W 0 Bits 23:16 – NIT[7:0] Number of Increments The number of increments after which the alternative increment is used. Bits 15:8 – ACNS[7:0] Alternative Count Nanoseconds Alternative count of nanoseconds by which the 1588 Timer Nanoseconds Register will be incremented each clock cycle. Bits 7:0 – CNS[7:0] Count Nanoseconds A count of nanoseconds by which the IEEE 1588 Timer Nanoseconds Register will be incremented each clock cycle. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 682 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.89 GMAC PTP Event Frame Transmitted Seconds Low Register Name:  Offset:  Reset:  Property:  Bit GMAC_EFTSL 0x1E0 0x00000000 Read-only 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 RUD[31:24] Access Reset R 0 R 0 R 0 R 0 Bit 23 22 21 20 RUD[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 RUD[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 RUD[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 31:0 – RUD[31:0] Register Update The register is updated with the value that the IEEE 1588 Timer Seconds Register holds when the SFD of a PTP transmit primary event crosses the MII interface. An interrupt is issued when the register is updated. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 683 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.90 GMAC PTP Event Frame Transmitted Nanoseconds Register Name:  Offset:  Reset:  Property:  Bit GMAC_EFTN 0x1E4 0x00000000 Read-only 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 RUD[29:24] Access Reset Bit 23 22 R 0 R 0 21 20 RUD[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 RUD[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 RUD[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 29:0 – RUD[29:0] Register Update The register is updated with the value that the IEEE 1588 Timer Nanoseconds Register holds when the SFD of a PTP transmit primary event crosses the MII interface. An interrupt is issued when the bit field is updated. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 684 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.91 GMAC PTP Event Frame Received Seconds Low Register Name:  Offset:  Reset:  Property:  Bit GMAC_EFRSL 0x1E8 0x00000000 Read-only 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 RUD[31:24] Access Reset R 0 R 0 R 0 R 0 Bit 23 22 21 20 RUD[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 RUD[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 RUD[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 31:0 – RUD[31:0] Register Update The register is updated with the value that the IEEE 1588 Timer Seconds Register holds when the SFD of a PTP receive primary event crosses the MII interface. An interrupt is issued when the register is updated. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 685 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.92 GMAC PTP Event Frame Received Nanoseconds Register Name:  Offset:  Reset:  Property:  Bit GMAC_EFRN 0x1EC 0x00000000 Read-only 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 RUD[29:24] Access Reset Bit 23 22 R 0 R 0 21 20 RUD[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 RUD[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 RUD[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 29:0 – RUD[29:0] Register Update The register is updated with the value that the IEEE 1588 Timer Nanoseconds Register holds when the SFD of a PTP receive primary event crosses the MII interface. An interrupt is issued when the register is updated. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 686 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.93 GMAC PTP Peer Event Frame Transmitted Seconds Low Register Name:  Offset:  Reset:  Property:  Bit GMAC_PEFTSL 0x1F0 0x00000000 - 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 RUD[31:24] Access Reset R 0 R 0 R 0 R 0 Bit 23 22 21 20 RUD[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 RUD[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 RUD[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 31:0 – RUD[31:0] Register Update The register is updated with the value that the IEEE 1588 Timer Seconds Register holds when the SFD of a PTP transmit peer event crosses the MII interface. An interrupt is issued when the register is updated. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 687 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.94 GMAC PTP Peer Event Frame Transmitted Nanoseconds Register Name:  Offset:  Reset:  Property:  Bit GMAC_PEFTN 0x1F4 0x00000000 - 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 RUD[29:24] Access Reset Bit 23 22 R 0 R 0 21 20 RUD[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 RUD[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 RUD[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 29:0 – RUD[29:0] Register Update The register is updated with the value that the 1588 Timer Nanoseconds Register holds when the SFD of a PTP transmit peer event crosses the MII interface. An interrupt is issued when the register is updated. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 688 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.95 GMAC PTP Peer Event Frame Received Seconds Low Register Name:  Offset:  Reset:  Property:  Bit GMAC_PEFRSL 0x1F8 0x00000000 - 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 RUD[31:24] Access Reset R 0 R 0 R 0 R 0 Bit 23 22 21 20 RUD[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 RUD[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 RUD[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 31:0 – RUD[31:0] Register Update The register is updated with the value that the IEEE 1588 Timer Seconds Register holds when the SFD of a PTP receive primary event crosses the MII interface. An interrupt is issued when the register is updated. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 689 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.96 GMAC PTP Peer Event Frame Received Nanoseconds Register Name:  Offset:  Reset:  Property:  Bit GMAC_PEFRN 0x1FC 0x00000000 - 31 30 29 28 27 26 25 24 R 0 R 0 R 0 R 0 19 18 17 16 R 0 R 0 R 0 R 0 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 RUD[29:24] Access Reset Bit 23 22 R 0 R 0 21 20 RUD[23:16] Access Reset R 0 R 0 R 0 R 0 Bit 15 14 13 12 RUD[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 RUD[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 29:0 – RUD[29:0] Register Update The register is updated with the value that the IEEE 1588 Timer Nanoseconds Register holds when the SFD of a PTP receive primary event crosses the MII interface. An interrupt is issued when the register is updated. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 690 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.97 GMAC Received LPI Transitions Name:  Offset:  Reset:  Property:  Bit GMAC_RXLPI 0x270 0x00000000 Read-only 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 Access Reset Bit Access Reset Bit COUNT[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 COUNT[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 15:0 – COUNT[15:0] Count of Received LPI Transitions A count of the number of times there is a transition from receiving normal idle to receiving low power idle. Cleared on read. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 691 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.98 GMAC Received LPI Time Name:  Offset:  Reset:  Property:  Bit GMAC_RXLPITIME 0x274 0x00000000 Read-only 31 30 29 28 27 26 25 24 Bit 23 22 21 18 17 16 Access Reset R 0 R 0 R 0 20 19 LPITIME[23:16] R R 0 0 R 0 R 0 R 0 Bit 15 14 13 10 9 8 Access Reset R 0 R 0 R 0 12 11 LPITIME[15:8] R R 0 0 R 0 R 0 R 0 Bit 7 6 5 4 3 2 1 0 R 0 R 0 R 0 R 0 Access Reset LPITIME[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 23:0 – LPITIME[23:0] Time in LPI This field increments once every 16 MCK cycles when the bit RXLPIS (LPI Indication (bit 7)) is set in the GMAC_NSR. Cleared on read. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 692 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.99 GMAC Transmit LPI Transitions Name:  Offset:  Reset:  Property:  Bit GMAC_TXLPI 0x278 0x00000000 Read-only 31 30 29 28 27 26 25 24 Bit 23 22 21 18 17 16 Access Reset R 0 R 0 R 0 20 19 COUNT[23:16] R R 0 0 R 0 R 0 R 0 Bit 15 14 13 12 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 Access Reset COUNT[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 COUNT[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 23:0 – COUNT[23:0] Count of LIP Transitions A count of the number of times the bit TXLPIEN (Enable LPI Transmission (bit 19)) goes from low to high in the GMAC_NCR. Cleared on read. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 693 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.100 GMAC Transmit LPI Time Name:  Offset:  Reset:  Property:  Bit GMAC_TXLPITIME 0x27C 0x00000000 Read-only 31 30 29 28 27 26 25 24 Bit 23 22 21 18 17 16 Access Reset R 0 R 0 R 0 20 19 LPITIME[23:16] R R 0 0 R 0 R 0 R 0 Bit 15 14 13 10 9 8 Access Reset R 0 R 0 R 0 12 11 LPITIME[15:8] R R 0 0 R 0 R 0 R 0 Bit 7 6 5 4 3 2 1 0 R 0 R 0 R 0 R 0 Access Reset LPITIME[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 23:0 – LPITIME[23:0] Time in LPI This field increments once every 16 MCK cycles when the bit TXLPIEN (Enable LPI Transmission (bit 19)) is set in GMAC_NCR. Cleared on read. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 694 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.101 GMAC Interrupt Status Register Priority Queue x Name:  Offset:  Reset:  Property:  Bit GMAC_ISRPQx 0x0400 + (x-1)*0x04 [x=1..5] 0x00000000 Read 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 HRESP R 0 10 ROVR R 0 9 8 7 TCOMP R 0 6 TFC R 0 5 RLEX R 0 4 3 2 RXUBR R 0 1 RCOMP R 0 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bit 11 – HRESP HRESP Not OK Bit 10 – ROVR Receive Overrun Bit 7 – TCOMP Transmit Complete Bit 6 – TFC Transmit Frame Corruption Due to AHB Error Transmit frame corruption due to AHB error — set if an error occurs whilst midway through reading transmit frame from the AHB, including HRESP errors and buffers exhausted mid frame. Bit 5 – RLEX Retry Limit Exceeded or Late Collision Bit 2 – RXUBR RX Used Bit Read Bit 1 – RCOMP Receive Complete © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 695 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.102 GMAC Transmit Buffer Queue Base Address Register Priority Queue x Name:  Offset:  Reset:  Property:  GMAC_TBQBAPQx 0x0440 + (x-1)*0x04 [x=1..5] 0x00000000 Read/Write These registers hold the start address of the transmit buffer queues (transmit buffers descriptor lists) for the additional queues and must be initialized to the address of valid descriptors, even if the priority queues are not used. Bit 31 30 29 28 27 TXBQBA[29:22] 26 25 24 Access Reset 0 0 0 0 0 0 0 0 Bit 23 22 21 20 19 TXBQBA[21:14] 18 17 16 Access Reset 0 0 0 0 0 0 0 0 Bit 15 14 13 12 11 TXBQBA[13:6] 10 9 8 Access Reset 0 0 0 0 0 0 0 0 Bit 7 6 5 4 3 2 1 0 0 0 0 TXBQBA[5:0] Access Reset 0 0 0 Bits 31:2 – TXBQBA[29:0] Transmit Buffer Queue Base Address Contains the address of the start of the transmit queue. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 696 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.103 GMAC Receive Buffer Queue Base Address Register Priority Queue x Name:  Offset:  Reset:  Property:  GMAC_RBQBAPQx 0x0480 + (x-1)*0x04 [x=1..5] 0x00000000 Read/Write These registers hold the start address of the receive buffer queues (receive buffers descriptor lists) for the additional queues used when priority queues are employed. Bit 31 30 29 28 27 RXBQBA[29:22] 26 25 24 Access Reset 0 0 0 0 0 0 0 0 Bit 23 22 21 20 19 RXBQBA[21:14] 18 17 16 Access Reset 0 0 0 0 0 0 0 0 Bit 15 14 13 12 11 RXBQBA[13:6] 10 9 8 Access Reset 0 0 0 0 0 0 0 0 Bit 7 6 5 4 3 2 1 0 0 0 0 RXBQBA[5:0] Access Reset 0 0 0 Bits 31:2 – RXBQBA[29:0] Receive Buffer Queue Base Address Holds the address of the start of the receive queue. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 697 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.104 GMAC Receive Buffer Size Register Priority Queue x Name:  Offset:  Reset:  Property:  Bit GMAC_RBSRPQx 0x04A0 + (x-1)*0x04 [x=1..5] 0x00000002 Read/Write 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 0 0 0 0 3 2 1 0 0 0 1 0 Access Reset Bit Access Reset Bit RBS[15:8] Access Reset 0 0 0 0 Bit 7 6 5 4 RBS[7:0] Access Reset 0 0 0 0 Bits 15:0 – RBS[15:0] Receive Buffer Size DMA receive buffer size in AHB system memory. The value defined by these bits determines the size of buffer to use in main AHB system memory when writing received data. The value is defined in multiples of 64 Bytes such that a value of 0x01 corresponds to buffers of 64 Bytes, 0x02 corresponds to 128 Bytes etc. Examples: • 0x18: 1536 Bytes (1 × max length frame/buffer) • 0xA0: 10240 Bytes (1 × 10K jumbo frame/buffer) Note:  This value should never be written as zero. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 698 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.105 GMAC Credit-Based Shaping Control Register Name:  Offset:  Reset:  Property:  Bit GMAC_CBSCR 0x4BC 0x00000000 Read/Write 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 QAE 0 QBE 0 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bit 1 – QAE Queue A CBS Enable Value Description 0 Credit-based shaping on the second highest priority queue (queue A) is disabled. 1 Credit-based shaping on the second highest priority queue (queue A) is enabled. Bit 0 – QBE Queue B CBS Enable Value Description 0 Credit-based shaping on the highest priority queue (queue B) is disabled. 1 Credit-based shaping on the highest priority queue (queue B) is enabled. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 699 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.106 GMAC Credit-Based Shaping IdleSlope Register for Queue A Name:  Offset:  Reset:  Property:  GMAC_CBSISQA 0x4C0 0x00000000 Read/Write Credit-based shaping must be disabled in the GMAC_CBSCR before updating this register. Bit 31 30 29 28 27 26 25 24 0 0 0 0 19 18 17 16 0 0 0 0 11 10 9 8 0 0 0 0 3 2 1 0 0 0 0 0 IS[31:24] Access Reset 0 0 0 0 Bit 23 22 21 20 IS[23:16] Access Reset 0 0 0 0 Bit 15 14 13 12 IS[15:8] Access Reset 0 0 0 0 Bit 7 6 5 4 IS[7:0] Access Reset 0 0 0 0 Bits 31:0 – IS[31:0] IdleSlope IdleSlope value for queue A in Bytes per second. The IdleSlope value is defined as the rate of change of credit when a packet is waiting to be sent. This must not exceed the port transmit rate which is dependent on the speed of operation, e.g., 100 Mb/second = 32'h017D7840. If 50% of bandwidth was to be allocated to a particular queue in 100 Mb/second mode, then the IdleSlope value for that queue would be calculated as 32'h017D7840 / 2. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 700 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.107 GMAC Credit-Based Shaping IdleSlope Register for Queue B Name:  Offset:  Reset:  Property:  GMAC_CBSISQB 0x4C4 0x00000000 Read/Write Credit-based shaping must be disabled in the GMAC_CBSCR before updating this register. Bit 31 30 29 28 27 26 25 24 0 0 0 0 19 18 17 16 0 0 0 0 11 10 9 8 0 0 0 0 3 2 1 0 0 0 0 0 IS[31:24] Access Reset 0 0 0 0 Bit 23 22 21 20 IS[23:16] Access Reset 0 0 0 0 Bit 15 14 13 12 IS[15:8] Access Reset 0 0 0 0 Bit 7 6 5 4 IS[7:0] Access Reset 0 0 0 0 Bits 31:0 – IS[31:0] IdleSlope IdleSlope value for queue B in bytes/second. The IdleSlope value is defined as the rate of change of credit when a packet is waiting to be sent. This must not exceed the port transmit rate which is dependent on the speed of operation, e.g., 100 Mb/second = 32'h017D7840. If 50% of bandwidth was to be allocated to a particular queue in 100 Mb/sec mode, then the IdleSlope value for that queue would be calculated as 32'h017D7840 / 2 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 701 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.108 GMAC Screening Type 1 Register x Priority Queue Name:  Offset:  Reset:  Property:  GMAC_ST1RPQx 0x0500 + x*0x04 [x=0..3] 0x00000000 Read/Write Screening type 1 registers are used to allocate up to 6 priority queues to received frames based on certain IP or UDP fields of incoming frames. Bit 31 30 29 UDPE 28 DSTCE 27 0 0 0 21 20 Access Reset Bit 23 22 26 25 24 0 0 0 19 18 17 16 0 0 9 8 UDPM[15:12] UDPM[11:4] Access Reset 0 0 Bit 15 14 0 0 0 0 13 12 11 10 UDPM[3:0] Access Reset 0 0 Bit 7 6 DSTCM[7:4] 0 0 0 0 0 0 5 4 3 2 1 QNB[2:0] 0 0 0 0 0 0 DSTCM[3:0] Access Reset 0 0 Bit 29 – UDPE UDP Port Match Enable When this bit is written to '1', the UDP Destination Port of the received UDP frame is matched against the value stored in the bit field UDPM. Bit 28 – DSTCE Differentiated Services or Traffic Class Match Enable When this bit is written to '1', the DS (differentiated services) field of the received IPv4 header or TC field (traffic class) of IPv6 headers are matched against the value stored in bit field DSTCM. Bits 27:12 – UDPM[15:0] UDP Port Match When UDP port match enable is set (UDPME=1), the UDP Destination Port of the received UDP frame is matched against this bit field. Bits 11:4 – DSTCM[7:0] Differentiated Services or Traffic Class Match When DS/TC match enable is set (DSTCE), the DS (differentiated services) field of the received IPv4 header or TC field (traffic class) of IPv6 headers are matched against this bit field. Bits 2:0 – QNB[2:0]  Queue Number If a match is successful, then the queue value programmed in this bit field is allocated to the frame. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 702 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.109 GMAC Screening Type 2 Register x Priority Queue Name:  Offset:  Reset:  Property:  GMAC_ST2RPQx 0x0540 + x*0x04 [x=0..7] 0x00000000 Read/Write Screening type 2 registers are used to allocate up to 6 priority queues to received frames based on the VLAN priority field of received Ethernet frames. Bit 31 Access Reset Bit 23 30 COMPCE 29 28 27 COMPC[4:0] 26 25 24 COMPBE 0 0 0 0 0 0 0 22 21 COMPB[4:0] 20 19 18 COMPAE 17 16 COMPA[4:3] Access Reset 0 0 0 0 0 0 0 0 Bit 15 14 COMPA[2:0] 13 12 ETHE 11 10 I2ETH[2:0] 9 8 VLANE Access Reset 0 0 0 0 0 0 0 0 Bit 7 6 5 VLANP[2:0] 4 3 2 1 QNB[2:0] 0 0 0 0 0 0 0 Access Reset Bit 30 – COMPCE Compare C Enable Value Description 0 Compare C is disabled. 1 Comparison via the register designated by index COMPC is enabled. Bits 29:25 – COMPC[4:0] Index of Screening Type 2 Compare Word 0/Word 1 register x COMPC is a pointer to the compare registers GMAC_ST2CW0x and GMAC_ST2CW1x. When COMPCE=1, the compare is true if the data at the frame offset ANDed with the value MASKVAL is equal to the value of COMPVAL ANDed with the value of MASKVAL. Bit 24 – COMPBE Compare B Enable Value Description 0 Compare B is disabled. 1 Comparison via the register designated by index COMPB is enabled. Bits 23:19 – COMPB[4:0] Index of Screening Type 2 Compare Word 0/Word 1 register x COMPB is a pointer to the compare registers GMAC_ST2CW0x and GMAC_ST2CW1x. When COMPBE=1, the compare is true if the data at the frame offset ANDed with the value MASKVAL is equal to the value of COMPVAL ANDed with the value of MASKVAL. Bit 18 – COMPAE Compare A Enable Value Description 0 Compare A is disabled. 1 Comparison via the register designated by index COMPA is enabled. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 703 SAM E70/S70/V70/V71 GMAC - Ethernet MAC Bits 17:13 – COMPA[4:0] Index of Screening Type 2 Compare Word 0/Word 1 register x COMPA is a pointer to the compare registers GMAC_ST2CW0x and GMAC_ST2CW1x. When COMPAE=1, the compare is true if the data at the frame offset ANDed with the value MASKVAL is equal to the value of COMPVAL ANDed with the value of MASKVAL. Bit 12 – ETHE EtherType Enable Value Description 0 EtherType match is disabled 1 EtherType match with bits [15:0] of the register designated by the value in I2ETH is enabled Bits 11:9 – I2ETH[2:0] Index of Screening Type 2 EtherType register x When EtherType is enabled (ETHE=1), the EtherType field (last EtherType in the header if the frame is VLANtagged) is compared with bits [15:0] in the register designated by the value of this bit field. Bit 8 – VLANE VLAN Enable Value Description 0 VLAN match disabled 1 VLAN match is enabled Bits 6:4 – VLANP[2:0] VLAN Priority When VLAN match is enabled (VLANE=1), the VLAN Priority field of the received frame is matched against the value of this bit field. Bits 2:0 – QNB[2:0]  Queue Number If a match is successful, then the queue value programmed in QNB is allocated to the frame. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 704 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.110 GMAC Interrupt Enable Register Priority Queue x Name:  Offset:  Reset:  Property:  GMAC_IERPQx 0x0600 + (x-1)*0x04 [x=1..5] – Write-only The following values are valid for all listed bit names of this register: 0: No effect. 1: Enables the corresponding interrupt. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 HRESP W – 10 ROVR W – 9 8 7 TCOMP W – 6 TFC W – 5 RLEX W – 4 3 2 RXUBR W – 1 RCOMP W – 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bit 11 – HRESP HRESP Not OK Bit 10 – ROVR Receive Overrun Bit 7 – TCOMP Transmit Complete Bit 6 – TFC Transmit Frame Corruption Due to AHB Error Bit 5 – RLEX Retry Limit Exceeded or Late Collision Bit 2 – RXUBR RX Used Bit Read Bit 1 – RCOMP Receive Complete © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 705 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.111 GMAC Interrupt Disable Register Priority Queue x Name:  Offset:  Reset:  Property:  GMAC_IDRPQx 0x0620 + (x-1)*0x04 [x=1..5] – Write-only The following values are valid for all listed bit names of this register: 0: No effect. 1: Disables the corresponding interrupt. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 HRESP W – 10 ROVR W – 9 8 7 TCOMP W – 6 TFC W – 5 RLEX W – 4 3 2 RXUBR W – 1 RCOMP W – 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bit 11 – HRESP HRESP Not OK Bit 10 – ROVR Receive Overrun Bit 7 – TCOMP Transmit Complete Bit 6 – TFC Transmit Frame Corruption Due to AHB Error Bit 5 – RLEX Retry Limit Exceeded or Late Collision Bit 2 – RXUBR RX Used Bit Read Bit 1 – RCOMP Receive Complete © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 706 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.112 GMAC Interrupt Mask Register Priority Queue x Name:  Offset:  Reset:  Property:  GMAC_IMRPQx 0x0640 + (x-1)*0x04 [x=1..5] 0x00000000 Read/Write A read of this register returns the value of the receive complete interrupt mask. A write to this register directly affects the state of the corresponding bit in the Interrupt Status Register, causing an interrupt to be generated if a '1' is written. The following values are valid for all listed bit names of this register: 0: Corresponding interrupt is enabled. 1: Corresponding interrupt is disabled. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 HRESP 10 ROVR 9 8 0 0 3 2 RXUBR 1 RCOMP 0 0 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset 7 TCOMP 6 AHB 5 RLEX 0 0 0 4 Bit 11 – HRESP HRESP Not OK Bit 10 – ROVR Receive Overrun Bit 7 – TCOMP Transmit Complete Bit 6 – AHB AHB Error Bit 5 – RLEX Retry Limit Exceeded or Late Collision Bit 2 – RXUBR RX Used Bit Read Bit 1 – RCOMP Receive Complete © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 707 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.113 GMAC Screening Type 2 EtherType Register x Name:  Offset:  Reset:  Property:  Bit GMAC_ST2ERx 0x06E0 + x*0x04 [x=0..3] 0x00000000 Read/Write 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 Bit 15 14 13 12 11 COMPVAL[15:8] 10 9 8 Access Reset 0 0 0 0 0 0 0 0 Bit 7 6 5 4 3 COMPVAL[7:0] 2 1 0 Access Reset 0 0 0 0 0 0 0 Access Reset Bit Access Reset 0 Bits 15:0 – COMPVAL[15:0] EtherType Compare Value When the bit GMAC_ST2RPQ.ETHE is written to '1', the EtherType (last EtherType in the header if the frame is VLAN tagged) is compared with bits [15:0] in the register designated by GMAC_ST2RPQ.I2ETH. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 708 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.114 GMAC Screening Type 2 Compare Word 0 Register x Name:  Offset:  Reset:  Property:  GMAC_ST2CW0x 0x0700 + x*0x08 [x=0..23] 0x00000000 Read/Write Bit 31 30 29 28 27 COMPVAL[15:8] 26 25 24 Access Reset 0 0 0 0 0 0 0 0 Bit 23 22 21 20 19 COMPVAL[7:0] 18 17 16 Access Reset 0 0 0 0 0 0 0 0 Bit 15 14 13 12 11 MASKVAL[15:8] 10 9 8 Access Reset 0 0 0 0 0 0 0 0 Bit 7 6 5 4 3 2 1 0 0 0 0 0 MASKVAL[7:0] Access Reset 0 0 0 0 Bits 31:16 – COMPVAL[15:0] Compare Value The byte stored in bits [23:16] is compared against the first byte of the 2 bytes extracted from the frame. The byte stored in bits [31:24] is compared against the second byte of the 2 bytes extracted from the frame. Bits 15:0 – MASKVAL[15:0] Mask Value The value of MASKVAL ANDed with the 2 bytes extracted from the frame is compared to the value of MASKVAL ANDed with the value of COMPVAL. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 709 SAM E70/S70/V70/V71 GMAC - Ethernet MAC 38.8.115 GMAC Screening Type 2 Compare Word 1 Register x Name:  Offset:  Reset:  Property:  Bit GMAC_ST2CW1x 0x0704 + x*0x08 [x=0..23] 0x00000000 Read/Write 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 OFFSSTRT[1] Access Reset Bit Access Reset Bit Access Reset Bit Access Reset 0 7 OFFSSTRT[0] 6 5 4 3 OFFSVAL[6:0] 2 1 0 0 0 0 0 0 0 0 0 Bits 8:7 – OFFSSTRT[1:0] Ethernet Frame Offset Start Value Name Description 0 FRAMESTART Offset from the start of the frame 1 ETHERTYPE Offset from the byte after the EtherType field 2 IP Offset from the byte after the IP header field 3 TCP_UDP Offset from the byte after the TCP/UDP header field Bits 6:0 – OFFSVAL[6:0] Offset Value in Bytes The value of OFFSVAL ranges from 0 to 127 bytes, and is counted from either the start of the frame, the byte after the EtherType field (last EtherType in the header if the frame is VLAN tagged), the byte after the IP header (IPv4 or IPv6) or the byte after the TCP/UDP header. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 710 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39. USB High-Speed Interface (USBHS) 39.1 Description The USB High-Speed Interface (USBHS) complies with the Universal Serial Bus (USB) 2.0 specification. (1) Each pipe/endpoint can be configured in one of several USB transfer types. It can be associated with one, two or three banks of a DPRAM used to store the current data payload. If two or three banks are used, then one DPRAM bank is read or written by the CPU or the DMA, while the other is read or written by the USBHS core. This feature is mandatory for isochronous pipes/endpoints. The following table describes the hardware configuration of the USB MCU device. Table 39-1. Description of USB Pipes/Endpoints Pipe/Endpoint Mnemonic Max. Number Banks DMA High Band Width Max. Pipe/ Endpoint Size Type 0 PEP_0 1 N N 64 Control 1 PEP_1 3 Y Y 1024 Isochronous/Bulk/Interrupt/ Control 2 PEP_2 3 Y Y 1024 Isochronous/Bulk/Interrupt/ Control 3 PEP_3 2 Y Y 1024 Isochronous/Bulk/Interrupt/ Control 4 PEP_4 2 Y Y 1024 Isochronous/Bulk/Interrupt/ Control 5 PEP_5 2 Y Y 1024 Isochronous/Bulk/Interrupt/ Control 6 PEP_6 2 Y Y 1024 Isochronous/Bulk/Interrupt/ Control 7 PEP_7 2 Y Y 1024 Isochronous/Bulk/Interrupt/ Control 8 PEP_8 2 N Y 1024 Isochronous/Bulk/Interrupt/ Control 9 PEP_9 2 N Y 1024 Isochronous/Bulk/Interrupt/ Control Note:  1. High-bandwidth isochronous transfers supported in device but not host mode. 39.2 Embedded Characteristics • • • • • • • Compatible with the USB 2.0 Specification Supports High-Speed (480  Mbps), Full-Speed (12 Mbps) and Low-Speed (1.5  Mbps) Communication 9 Pipes/Endpoints 4096 bytes of Embedded Dual-Port RAM (DPRAM) for Pipes/Endpoints Up to 3 Memory Banks per Pipe/Endpoint (not for Control Pipe/Endpoint) Flexible Pipe/Endpoint Configuration and Management with Dedicated DMA Channels On-chip UTMI Transceiver including Pull-ups/Pull-downs © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 711 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.3 Block Diagram The USBHS provides a hardware device to interface a USB link to a data flow stored in a dual-port RAM (DPRAM). In normal operation (SPDCONF = 0), the UTMI transceiver requires the UTMI PLL (480 MHz). In case of full-speed or low-speed only, for a lower consumption (SPDCONF = 1), the UTMI transceiver only requires 48 MHz. Figure 39-1. USBHS Block Diagram APB Interface APB Bus ctrl status AHB1 AHB Bus HSDP/DP Rd/Wr/Ready UTMI DMA HSDM/DM AHB0 USB2.0 CORE AHB Bus Host AHB Multiplexer Client Local AHB Client interface PEP Alloc 32 bits MCK PMC DPRAM System Clock Domain 16/8 bits USB Clock Domain USB_48M Clock (needed only when SPDCONF=1) USB_480M Clock (needed only when SPDCONF=0) 39.4 Signal Description Table 39-2. Signal Description Name Description Type HSDM/DM HS/FS Differential Data Line - Input/Output HSDP/DP HS/FS Differential Data Line + Input/Output 39.5 Product Dependencies 39.5.1 I/O Lines A regular PIO line must be used to control VBUS. This is configured in the I/O Controller. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 712 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.5.2 Clocks The clock for the USBHS bus interface is generated by the Power Management Controller. This clock can be enabled or disabled in the Power Management Controller. It is recommended to disable the USBHS before disabling the clock, to avoid freezing the USBHS in an undefined state. Before enabling the USB clock in the Power Management Controller, the USBHS must be enabled (by writing a one to the USBHS_CTRL.USBE bit and a zero to the USBHS_CTRL.FRZCLK bit). The USBHS can work in two modes: • • Normal mode (SPDCONF = 0) where High speed, Full speed and Low speed are available. Low-power mode (SPDCONF = 1) where Full speed and Low speed are available. To ensure successful startup, follow the sequences below: - In Normal mode: 1. 2. 3. 4. Enable the USBHS peripheral clock. This is done via the register PMC_PCER. Enable the USBHS (UIMOD, USBE = 1, FRZCLK = 0). Enable the UPLL 480 MHz. Wait for the UPLL 480 MHz to be considered as locked by the PMC. - In Low-power mode: 1. 2. 3. 4. 5. As USB_48M must be set to 48 MHz (refer to the section “Power Management Controller (PMC)”), select either the PLLA or the UPLL (previously set to ON), and program the PMC_USB register (source selection and divider). Enable the USBHS peripheral clock (PMC_PCER). Put the USBHS in Low-power mode (SPDCONF = 1). Enable the USBHS (UIMOD, USBE = 1, FRZCLK = 0). Enable the USBCK bit (PMC_SCER). Related Links 31. Power Management Controller (PMC) 39.5.3 Interrupt Sources The USBHS interrupt request line is connected to the interrupt controller. Using the USBHS interrupt requires the interrupt controller to be programmed first. 39.5.4 USB Pipe/Endpoint x FIFO Data Register (USBFIFOxDATA) The application has access to each pipe/endpoint FIFO through its reserved 32 KB address space. The application can access a 64-KB buffer linearly or fixedly as the DPRAM address increment is fully handled by hardware. Byte, half-word and word accesses are supported. Data should be accessed in a big-endian way. Disabling the USBHS (by writing a zero to the USBHS_CTRL.USBE bit) does not reset the DPRAM. 39.6 Functional Description 39.6.1 USB General Operation 39.6.1.1 Power-On and Reset The following figure describes the USBHS general states. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 713 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Figure 39-2. General States Macro off: USBHS_CTRL.USBE = 0 Clock stopped: USBHS_CTRL.FRZCLK = 1 USBHS_CTRL.USBE = 0 Reset HW RESET USBHS_CTRL.USBE = 1 USBHS_CTRL.UIMOD = 1 USBHS_CTRL.USBE = 0 USBHS_CTRL.USBE = 1 USBHS_CTRL.UIMOD = 0 Device USBHS_CTRL_USBE = 0 Host After a hardware reset, the USBHS is in Reset state. In this state: • • • • • The USBHS is disabled. The USBHS Enable bit in the General Control register (USBHS_CTRL.USBE) is zero. The USBHS clock is stopped in order to minimize power consumption. The Freeze USB Clock bit (USBHS_CTRL.FRZCLK) is set. The UTMI is in Suspend mode. The internal states and registers of the Device and Host modes are reset. The DPRAM is not cleared and is accessible. After writing a one to USBHS_CTRL.USBE, the USBHS enters the Device or the Host mode in idle state. The USBHS can be disabled at any time by writing a zero to USBHS_CTRL.USBE. This acts as a hardware reset, except that the USBHS_CTRL.FRZCLK, USBHS_CTRL.UIMOD and USBHS_DEVCTRL.LS bits are not reset. 39.6.1.2 Interrupts One interrupt vector is assigned to the USB interface. The following figure shows the structure of the USB interrupt system. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 714 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Figure 39-3. Interrupt System & = Logical AND USBHS_DEVEPTISRx.TXINI USBHS_DEVEPTIMRx.TXINE USBHS_SR.RDERRI USBHS_DEVEPTISRx.RXOUTI USB General Interrupt USBHS_CTRL.RDERRE USBHS_DEVEPTIMRx.RXOUTE USBHS_DEVEPTISRx.RXSTPI USBHS_DEVEPTIMRx.RXSTPE USBHS_DEVEPTISRx.UNDERFI USBHS_DEVEPTIMRx.UNDERFE USBHS_DEVEPTISRx.NAKOUTI USBHS_DEVEPTISRx.HBISOINERRI USBHS_DEVEPTIMRx.NAKOUTE USBHS_DEVEPTIMRx.HBISOINERRE USBHS_DEVEPTISRx.NAKINI USBHS_DEVEPTIMRx.NAKINE USBHS_DEVEPTISRx.HBISOFLUSHI USBHS_DEVEPTISRx.OVERFI USBHS_DEVEPTIMRx.HBISOFLUSHE USB Device Endpoint X Interrupt USBHS_DEVEPTIMRx.OVERFE USBHS_DEVEPTISRx.STALLEDI USBHS_DEVEPTIMRx.STALLEDE USBHS_DEVEPTISRx.CRCERRI USBHS_DEVEPTIMRx.CRCERRE USBHS_DEVEPTISRx.SHORTPACKET USBHS_DEVEPTIMRx.SHORTPACKETE USBHS_DEVIMR.MSOF USBHS_DEVEPTIMRx.MDATAE USBHS_DEVIMR.SUSP USBHS_DEVEPTIMRx.DATAXE USBHS_DEVIMR.SOF USBHS_DEVEPTISRx.DTSEQ=MDATA & UESTAX.RXOUTI USBHS_DEVIMR.MSOFE USBHS_DEVEPTISRx.DTSEQ=DATAX & UESTAX.RXOUTI USBHS_DEVIMR.SUSPE USBHS_DEVEPTISRx.TRANSERR USBHS_DEVIMR.SOFE USBHS_DEVEPTIMRx.TRANSERRE USBHS_DEVIMR.EORST USBHS_DEVEPTISRx.NBUSYBK USB Interrupt USBHS_DEVIMR.EORSTE USBHS_DEVEPTIMRx.NBUSYBKE USBHS_DEVIMR.WAKEUP USB Device Interrupt USBHS_DEVIMR.WAKEUPE USBHS_DEVIMR.EORSM USBHS_DEVIMR.EORSME USBHS_DEVIMR.UPRSM USBHS_DEVIMR.UPRSME USBHS_DEVDMASTATUSx.EOT_STA USBHS_DEVIMR.EPXINT UDDMAX_CONTROL.EOT_IRQ_EN USBHS_DEVDMASTATUSx.EOCH_BUFF_STA UDDMAX_CONTROL.EOBUFF_IRQ_EN USBHS_DEVDMASTATUSx.DESC_LD_STA UDDMAX_CONTROL.DESC_LD_IRQ_EN USBHS_DEVIMR.EPXINTE USBHS_DEVIMR.DMAXINT USB Device DMA Channel X Interrupt USBHS_DEVIMR.DMAXINTE USBHS_HSTPIPISRx.RXINI USBHS_HSTPIPIMRx.RXINE USBHS_HSTPIPISRx.TXOUTI USBHS_HSTPIPIMRx.TXOUTE USBHS_HSTPIPISRx.TXSTPI USBHS_HSTPIPIMRx.TXSTPE USBHS_HSTPIPISRx.UNDERFI USBHS_HSTPIPIMRx.UNDERFIE USBHS_HSTPIPISRx.PERRI USBHS_HSTISR.DCONNI USBHS_HSTPIPIMRx.PERRE USBHS_HSTPIPISRx.NAKEDI USBHS_HSTIMR.DCONNIE USBHS_HSTISR.DDISCI USBHS_HSTPIPIMRx.NAKEDE USBHS_HSTPIPISRx.OVERFI USBHS_HSTIMR.DDISCIE USBHS_HSTISR.RSTI USBHS_HSTPIPIMRx.OVERFIE USBHS_HSTPIPISRx.RXSTALLDI USBHS_HSTIMR.RSTIE USBHS_HSTPIPIMRx.RXSTALLDE USBHS_HSTPIPISRx.CRCERRI USBHS_HSTPIPIMRx.CRCERRE USBHS_HSTPIPISRx.SHORTPACKETI USB Host Pipe X Interrupt USBHS_HSTISR.RSMEDI USBHS_HSTIMR.RSMEDIE USB Host Interrupt USBHS_HSTISR.RXRSMI USBHS_HSTIMR.RXRSMIE USBHS_HSTPIPIMRx.SHORTPACKETIE USBHS_HSTISR.HSOFI USBHS_HSTPIPIMRx.NBUSYBKE USBHS_HSTISR.HWUPI USBHS_HSTPIPISRx.NBUSYBK USBHS_HSTIMR.HSOFIE USBHS_HSTIMR.HWUPIE USBHS_HSTDMASTATUSx.EOT_STA USBHS_HSTISR.PXINT USBHS_HSTDMACONTROLx.EOT_IRQ_EN USBHS_HSTDMASTATUSx.EOCH_BUFF_STA USBHS_HSTDMACONTROLx.EOBUFF_IRQ_EN USBHS_HSTDMASTATUSx.DESC_LD_STA USBHS_HSTDMACONTROLx.DESC_LD_IRQ_EN USBHS_HSTIMR.PXINTE USBHS_HSTISR.DMAXINT USB Host DMA Channel X Interrupt USBHS_HSTIMR.DMAXINTE Asynchronous interrupt source See Interrupts in the Device Operation section and Interrupts in the Host Operation section for further details about device and host interrupts. There are two kinds of general interrupts: processing, i.e., their generation is part of the normal processing, and exception, i.e., errors (not related to CPU exceptions). 39.6.1.3 MCU Power Modes USB Suspend Mode In Peripheral mode, the Suspend Interrupt bit in the Device Global Interrupt Status register (USBHS_DEVISR.SUSP) indicates that the USB line is in Suspend mode. In this case, the transceiver is automatically set in Suspend mode to reduce consumption. Clock Frozen The USBHS can be frozen when the USB line is in the Suspend mode, by writing a one to the USBHS_CTRL.FRZCLK bit, which reduces power consumption. In this case, it is still possible to access the following: © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 715 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) • USBHS_CTRL.FRZCLK, USBHS_CTRL.USBE and USBHS_DEVCTRL.LS bits Moreover, when USBHS_CTRL.FRZCLK = 1, only the asynchronous interrupt sources can trigger the USB interrupt: • • Wakeup Interrupt (USBHS_DEVISR.WAKEUP) Host Wakeup Interrupt (USBHS_HSTISR.HWUPI) 39.6.1.4 Speed Control Device Mode When the USB interface is in Device mode, the speed selection (Full-speed or High-speed) is performed automatically by the USBHS during the USB reset according to the host speed capability. At the end of the USB reset, the USBHS enables or disables high-speed terminations and pull-up. It is possible to set the USBHS_DEVCTRL.SPDCONF. Host Mode When the USB interface is in Host mode, internal pull-down resistors are connected on both D+ and D- and the interface detects the speed of the connected device, which is reflected by the Speed Status (USBHS_SR.SPEED) field. 39.6.1.5 DPRAM Management Pipes and endpoints can only be allocated in ascending order, from pipe/endpoint 0 to the last pipe/endpoint to be allocated. The user should therefore configure them in the same order. The allocation of a pipe/endpoint x starts when the Endpoint Memory Allocate bit in the Endpoint x Configuration register (USBHS_DEVEPTCFGx.ALLOC) is written to one. Then, the hardware allocates a memory area in the DPRAM and inserts it between the x - 1 and x+ 1 pipes/endpoints. The x+ 1 pipe/endpoint memory window slides up and its data is lost. Note that the following pipe/endpoint memory windows (from x+ 2) do not slide. Disabling a pipe, by writing a zero to the Pipe x Enable bit in the Host Pipe register (USBHS_HSTPIP.PENx), or disabling an endpoint, by writing a zero to the Endpoint x Enable bit in the Device Endpoint register (USBHS_DEVEPT.EPENx), does not reset the USBHS_DEVEPTCFGx.ALLOC bit or the Pipe/Endpoint configuration: • • Pipe Configuration – Pipe Banks (USBHS_HSTPIPCFGx.PBK) – Pipe Size (USBHS_HSTPIPCFGx.PSIZE) – Pipe Token (USBHS_HSTPIPCFGx.PTOKEN) – Pipe Type (USBHS_HSTPIPCFGx.PTYPE) – Pipe Endpoint Number (USBHS_HSTPIPCFGx.PEPNUM) – Pipe Interrupt Request Frequency (USBHS_HSTPIPCFGx.INTFRQ) Endpoint Configuration – Endpoint Banks (USBHS_DEVEPTCFGx.EPBK) – Endpoint Size (USBHS_DEVEPTCFGx. EPSIZE) – Endpoint Direction (USBHS_DEVEPTCFGx.EPDIR) – Endpoint Type (USBHS_DEVEPTCFGx.EPTYPE) To free endpoint memory, the user must write a zero to the USBHS_DEVEPTCFGx.ALLOC bit. The x+ 1 pipe/ endpoint memory window then slides down and its data is lost. Note that the following pipe/endpoint memory windows (from x + 2) do not slide. The following figure illustrates the allocation and reorganization of the DPRAM in a typical example. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 716 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Figure 39-4. Allocation and Reorganization of the DPRAM Free Memory Free Memory Free Memory Free Memory PEP5 PEP5 PEP5 PEP5 PEP4 PEP4 PEP4 Lost Memory PEP3 PEP3 (ALLOC stays at 1) PEP4 PEP2 PEP2 PEP2 PEP2 PEP1 PEP1 PEP1 PEP1 PEP0 PEP0 PEP0 PEP0 Conflict PEP4 Device: USBHS_DEVEPT.EPENx = 1 USBHS_DEVEPTCFGx.ALLOC = 1 Device: USBHS_DEVEPT.EPEN3 = 0 Device: USBHS_DEVEPTCFG3.ALLOC = 0 Device: USBHS_DEVEPT.EPEN3 = 1 USBHS_DEVEPTCFG3.ALLOC = 1 Host: USBHS_HSTPIP.EPENx = 1 USBHS_HSTPIPCFGx.ALLOC = 1 Host: USBHS_HSTPIP.EPEN3 = 0 Host: USBHS_HSTPIPCFG3.ALLOC = 0 Host: USBHS_HSTPIP.EPEN3 = 1 USBHS_HSTPIPCFG3.ALLOC = 1 Pipes/Endpoints 0..5 Activated 1. 2. 3. 4. PEP3 (larger size) Pipe/Endpoint 3 Disabled Pipe/Endpoint 3 Memory Freed Pipe/Endpoint 3 Activated Pipes/endpoints 0 to 5 are enabled, configured and allocated in ascending order. Each pipe/endpoint then owns a memory area in the DPRAM. Pipe/endpoint 3 is disabled, but its memory is kept allocated by the controller. In order to free its memory, its USBHS_DEVEPTCFGx.ALLOC bit is written to zero. The pipe/endpoint 4 memory window slides down, but pipe/endpoint 5 does not move. If the user chooses to reconfigure pipe/endpoint 3 with a larger size, the controller allocates a memory area after the pipe/endpoint 2 memory area and automatically slides up the pipe/endpoint 4 memory window. Pipe/ endpoint 5 does not move and a memory conflict appears as the memory windows of pipes/endpoints 4 and 5 overlap. The data of these pipes/endpoints is potentially lost. Note:  1. The data of pipe or endpoint 0 cannot be lost (except if it is de-allocated) as the memory allocation and de-allocation may affect only higher pipes/endpoints. Note:  2. Deactivating then reactivating the same pipe/endpoint with the same configuration only modifies temporarily the controller DPRAM pointer and size for this pipe/endpoint. Nothing changes in the DPRAM. Higher endpoints seem not to have been moved and their data is preserved as long as nothing has been written or received into them while changing the allocation state of the first pipe/endpoint. Note:  3. When the user writes a one to the USBHS_DEVEPTCFGx.ALLOC bit, the Configuration OK Status bit (USBHS_DEVEPTISRx.CFGOK) is set only if the configured size and number of banks are correct as compared to the endpoint maximum allowed values and to the maximum FIFO size (i.e., the DPRAM size). The USBHS_DEVEPTISRx.CFGOK value does not consider memory allocation conflicts. 39.6.1.6 Pad Suspend Figure 39-5 shows the pad behavior. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 717 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Figure 39-5. Pad Behavior | = Logical OR & = Logical AND USBHS_CTRL.USBE = 1 & USBHS_DEVCTRL.DETACH = 0 & Suspend Idle USBHS_CTRL.USBE = 0 | USBHS_DEVCTRL.DETACH = 1 | Suspend • • Active In Idle state, the pad is put in Low-power mode, i.e., the differential receiver of the USB pad is off, and internal pull-downs with a strong value (15 K) are set in HSDP/D and HSDM/DM to avoid floating lines. In Active state, the pad is working. Figure 39-6 illustrates the pad events leading to a PAD state change. Figure 39-6. Pad Events USBHS_DEVISR.SUSP Suspend detected USBHS_DEVISR.WAKEUP Cleared on wakeup Wakeup detected Cleared by software to acknowledge the interrupt PAD State Active Idle Active The USBHS_DEVISR.SUSP bit is set and the Wakeup Interrupt (USBHS_DEVISR.WAKEUP) bit is cleared when a USB “Suspend” state has been detected on the USB bus. This event automatically puts the USB pad in Idle state. The detection of a non-idle event sets USBHS_DEVISR.WAKEUP, clears USBHS_DEVISR.SUSP and wakes up the USB pad. The pad goes to the Idle state if the USBHS is disabled or if the USBHS_DEVCTRL.DETACH bit = 1. It returns to the Active state when USBHS_CTRL.USBE = 1 and USBHS_DEVCTRL.DETACH = 0. 39.6.2 USB Device Operation 39.6.2.1 Introduction In Device mode, the USBHS supports high-, full- and low-speed data transfers. In addition to the default control endpoint, 9 endpoints are provided, which can be configured with an isochronous, bulk or interrupt type, as described in Table 39-1. As the Device mode starts in Idle state, the pad consumption is reduced to the minimum. 39.6.2.2 Power-On and Reset The following figure describes the USBHS Device mode main states. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 718 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Figure 39-7. Device Mode Main States | = Logical OR & = Logical AND USBHS_CTRL.USBE = 0 | USBHS_CTRL.UIMOD = 0 USBHS_CTRL.USBE = 0 | USBHS_CTRL.UIMOD = 0 Reset Idle USBHS_CTRL.USBE = 1 and USBHS_CTRL.UIMOD = 1 HW USBHS_HSTCTRL.RESET After a hardware reset, the USBHS Device mode is in Reset state. In this state: • • • • the USBHS clock is stopped to minimize power consumption (USBHS_CTRL.FRZCLK = 1), the internal registers of the Device mode are reset, the endpoint banks are de-allocated, neither D+ nor D- is pulled up (USBHS_DEVCTRL.DETACH = 1). D+ or D- is pulled up according to the selected speed as soon as the USBHS_DEVCTRL.DETACH bit is written to zero. See “Device Mode” for further details. When the USBHS is enabled (USBHS_CTRL.USBE = 1) in Device mode (USBHS_CTRL.UIMOD = 1), its Device mode state enters Idle state with minimal power consumption. This does not require the USB clock to be activated. The USBHS Device mode can be disabled and reset at any time by disabling the USBHS (by writing a zero to USBHS_CTRL.USBE) or when the Host mode is enabled (USBHS_CTRL.UIMOD = 0). 39.6.2.3 USB Reset The USB bus reset is managed by hardware. It is initiated by a connected host. When a USB reset is detected on the USB line, the following operations are performed by the controller: • • • • • All endpoints are disabled, except the default control endpoint. The default control endpoint is reset (see 39.6.2.4. Endpoint Reset for more details). The data toggle sequence of the default control endpoint is cleared. At the end of the reset process, the End of Reset (USBHS_DEVISR.EORST) bit is set. During a reset, the USBHS automatically switches to High-speed mode if the host is High-speed-capable (the reset is called High-speed reset). The user should observe the USBHS_SR.SPEED field to know the speed running at the end of the reset (USBHS_DEVISR.EORST = 1). 39.6.2.4 Endpoint Reset An endpoint can be reset at any time by writing a one to the Endpoint x Reset bit USBHS_DEVEPT.EPRSTx. This is recommended before using an endpoint upon hardware reset or when a USB bus reset has been received. This resets: • • • the internal state machine of the endpoint, the receive and transmit bank FIFO counters, all registers of this endpoint (USBHS_DEVEPTCFGx, USBHS_DEVEPTISRx, the Endpoint x Control (USBHS_DEVEPTIMRx) register), except its configuration (USBHS_DEVEPTCFGx.ALLOC, USBHS_DEVEPTCFGx.EPBK, USBHS_DEVEPTCFGx.EPSIZE, USBHS_DEVEPTCFGx.EPDIR, USBHS_DEVEPTCFGx.EPTYPE) and the Data Toggle Sequence (USBHS_DEVEPTISRx.DTSEQ) field. Note: The interrupt sources located in USBHS_DEVEPTISRx are not cleared when a USB bus reset has been received. The endpoint configuration remains active and the endpoint is still enabled. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 719 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) The endpoint reset may be associated with a clear of the data toggle sequence as an answer to the CLEAR_FEATURE USB request. This can be achieved by writing a one to the Reset Data Toggle Set bit (RSTDTS) in the Device Endpoint x Control Set register (this sets the Reset Data Toggle bit USBHS_DEVEPTIMRx.RSTDT). In the end, the user has to write a zero to the USBHS_DEVEPT.EPRSTx bit to complete the reset operation and to start using the FIFO. 39.6.2.5 Endpoint Activation The endpoint is maintained inactive and reset (see "Endpoint Reset" for more information) as long as it is disabled (USBHS_DEVEPT.EPENx = 0). USBHS_DEVEPTISRx.DTSEQ is also reset. The algorithm represented in the following figure must be followed to activate an endpoint. Figure 39-8. Endpoint Activation Algorithm Endpoint Activation Enable the endpoint. USBHS_DEVEPT.EPENx = 1 Configure the endpoint: - type - direction - size - number of banks Allocate the configured DPRAM banks. USBHS_DEVEPTCFGx .EPTYPE .EPDIR .EPSIZE .EPBK .ALLOC USBHS_HSTPIPISRx.CFCFGOK == 1? Test if the endpoint configuration is correct. No Yes Endpoint Activated ERROR As long as the endpoint is not correctly configured (USBHS_HSTPIPISRx.CFGOK = 0), the controller does not acknowledge the packets sent by the host to this endpoint. The USBHS_HSTPIPISRx.CFGOK bit is set provided that the configured size and number of banks are correct as compared to the endpoint maximal allowed values (see the Description of USB Pipes/Endpoints table) and to the maximal FIFO size (i.e., the DPRAM size). See "DPRAM Management" for additional information. 39.6.2.6 Address Setup The USB device address is set up according to the USB protocol. • • • • • After all kinds of resets, the USB device address is 0. The host starts a SETUP transaction with a SET_ADDRESS (addr) request. The user writes this address to the USB Address (USBHS_DEVCTRL.UADD) field, and writes a zero to the Address Enable (USBHS_DEVCTRL.ADDEN) bit, so the actual address is still 0. The user sends a zero-length IN packet from the control endpoint. The user enables the recorded USB device address by writing a one to USBHS_DEVCTRL.ADDEN. Once the USB device address is configured, the controller filters the packets to accept only those targeting the address stored in USBHS_DEVCTRL.UADD. USBHS_DEVCTRL.UADD and USBHS_DEVCTRL.ADDEN must not be written all at once. USBHS_DEVCTRL.UADD and USBHS_DEVCTRL.ADDEN are cleared: • • • on a hardware reset, when the USBHS is disabled (USBHS_CTRL.USBE = 0), when a USB reset is detected. When USBHS_DEVCTRL.UADD or USBHS_DEVCTRL.ADDEN is cleared, the default device address 0 is used. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 720 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.6.2.7 Suspend and Wakeup When an idle USB bus state has been detected for 3  ms, the controller sets the Suspend (USBHS_DEVISR.SUSP) interrupt bit. The user may then write a one to the USBHS_CTRL.FRZCLK bit to reduce power consumption. To recover from the Suspend mode, the user should wait for the Wakeup (USBHS_DEVISR.WAKEUP) interrupt bit, which is set when a non-idle event is detected, then write a zero to USBHS_CTRL.FRZCLK. As the USBHS_DEVISR.WAKEUP interrupt bit is set when a non-idle event is detected, it can occur whether the controller is in the Suspend mode or not. The USBHS_DEVISR.SUSP and USBHS_DEVISR.WAKEUP interrupts are thus independent, except that one bit is cleared when the other is set. 39.6.2.8 Detach The reset value of the USBHS_DEVCTRL.DETACH bit is one. It is possible to initiate a device re-enumeration by simply writing a one, and then a zero, to USBHS_DEVCTRL.DETACH. USBHS_DEVCTRL.DETACH acts on the pull-up connections of the D+ and D- pads. See “Device Mode” for further details. 39.6.2.9 Remote Wakeup The Remote Wakeup request (also known as Upstream Resume) is the only one the device may send without a host invitation, assuming a host command allowing the device to send such a request was previously issued. The sequence is the following: 1. 2. 3. 4. 5. The USBHS must have detected a “Suspend” state on the bus, i.e., the Remote Wakeup request can only be sent after a USBHS_DEVISR.SUSP interrupt has been set. The user writes a one to the Remote Wakeup (USBHS_DEVCTRL.RMWKUP) bit to send an upstream resume to the host for a remote wakeup. This will automatically be done by the controller after 5 ms of inactivity on the USB bus. When the controller sends the upstream resume, the Upstream Resume (USBHS_DEVISR.UPRSM) interrupt is set and USBHS_DEVISR.SUSP is cleared. USBHS_DEVCTRL.RMWKUP is cleared at the end of the upstream resume. When the controller detects a valid “End of Resume” signal from the host, the End of Resume (USBHS_DEVISR.EORSM) interrupt is set. 39.6.2.10 STALL Request For each endpoint, the STALL management is performed using: • • the STALL Request (USBHS_DEVEPTIMRx.STALLRQ) bit to initiate a STALL request, the STALLed Interrupt (USBHS_DEVEPTISRx.STALLEDI) bit, which is set when a STALL handshake has been sent. To answer the next request with a STALL handshake, USBHS_DEVEPTIMRx.STALLRQ has to be set by writing a one to the STALL Request Set (USBHS_DEVEPTIERx.STALLRQS) bit. All following requests are discarded (USBHS_DEVEPTISRx.RXOUTI, etc. is not be set) and handshaked with a STALL until the USBHS_DEVEPTIMRx.STALLRQ bit is cleared, which is done when a new SETUP packet is received (for control endpoints) or when the STALL Request Clear (USBHS_DEVEPTIMRx.STALLRQC) bit is written to one. Each time a STALL handshake is sent, the USBHS_DEVEPTISRx.STALLEDI bit is set by the USBHS and the PEP_x interrupt is set. Special Considerations for Control Endpoints If a SETUP packet is received into a control endpoint for which a STALL is requested, the Received SETUP Interrupt (USBHS_DEVEPTISRx.RXSTPI) bit is set and USBHS_DEVEPTIMRx.STALLRQ and USBHS_DEVEPTISRx.STALLEDI are cleared. The SETUP has to be ACKed. This simplifies the enumeration process management. If a command is not supported or contains an error, the user requests a STALL and can return to the main task, waiting for the next SETUP request. STALL Handshake and Retry Mechanism The retry mechanism has priority over the STALL handshake. A STALL handshake is sent if the USBHS_DEVEPTIMRx.STALLRQ bit is set and if no retry is required. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 721 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.6.2.11 Management of Control Endpoints Overview A SETUP request is always ACKed. When a new SETUP packet is received, the USBHS_DEVEPTISRx.RXSTPI is set; the Received OUT Data Interrupt (USBHS_DEVEPTISRx.RXOUTI) bit is not. The FIFO Control (USBHS_DEVEPTIMRx.FIFOCON) bit and the Read/Write Allowed (USBHS_DEVEPTISRx.RWALL) bit are irrelevant for control endpoints. The user never uses them on these endpoints. When read, their values are always zero. Control endpoints are managed using: • • • the USBHS_DEVEPTISRx.RXSTPI bit, which is set when a new SETUP packet is received and which is cleared by firmware to acknowledge the packet and to free the bank; the USBHS_DEVEPTISRx.RXOUTI bit, which is set when a new OUT packet is received and which is cleared by firmware to acknowledge the packet and to free the bank; the Transmitted IN Data Interrupt (USBHS_DEVEPTISRx.TXINI) bit, which is set when the current bank is ready to accept a new IN packet and which is cleared by firmware to send the packet. Control Write Figure 39-9 shows a control write transaction. During the status stage, the controller does not necessarily send a NAK on the first IN token: • • if the user knows the exact number of descriptor bytes that must be read, it can then anticipate the status stage and send a zero-length packet after the next IN token, or it can read the bytes and wait for the NAKed IN Interrupt (USBHS_DEVEPTISRx.NAKINI), which acknowledges that all the bytes have been sent by the host and that the transaction is now in the status stage. Figure 39-9. Control Write SETUP USB Bus DATA SETUP OUT HW USBHS_DEVEPTISRx.RXSTPI STATUS OUT IN IN NAK SW USBHS_DEVEPTISRx.RXOUTI HW SW HW SW USBHS_DEVEPTISRx.TXINI SW Control Read Figure 39-10 shows a control read transaction. The USBHS has to manage the simultaneous write requests from the CPU and the USB host. Figure 39-10. Control Read SETUP USB Bus USBHS_DEVEPTISRxRXSTPI DATA SETUP HW IN STATUS IN OUT SW USBHS_DEVEPTISRx.RXOUTI USBHS_DEVEPTISRx.TXINI OUT NAK HW SW HW SW SW Wr Enable HOST Wr Enable CPU A NAK handshake is always generated on the first status stage command. When the controller detects the status stage, all data written by the CPU is lost and clearing USBHS_DEVEPTISRx.TXINI has no effect. The user checks if the transmission or the reception is complete. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 722 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) The OUT retry is always ACKed. This reception sets USBHS_DEVEPTISRx.RXOUTI and USBHS_DEVEPTISRx.TXINI. Handle this with the following software algorithm: set TXINI wait for RXOUTI OR TXINI if RXOUTI, then clear bit and return if TXINI, then continue Once the OUT status stage has been received, the USBHS waits for a SETUP request. The SETUP request has priority over any other request and has to be ACKed. This means that any other bit should be cleared and the FIFO reset when a SETUP is received. The user has to consider that the byte counter is reset when a zero-length OUT packet is received. 39.6.2.12 Management of IN Endpoints Overview IN packets are sent by the USB device controller upon IN requests from the host. All data which acknowledges or not the bank can be written when it is full. The endpoint must be configured first. The USBHS_DEVEPTISRx.TXINI bit is set at the same time as USBHS_DEVEPTIMRx.FIFOCON when the current bank is free. This triggers a PEP_x interrupt if the Transmitted IN Data Interrupt Enable (USBHS_DEVEPTIMRx.TXINE) bit is one. USBHS_DEVEPTISRx.TXINI is cleared by software (by writing a one to the Transmitted IN Data Interrupt Clear bit (USBHS_DEVEPTIDRx.TXINIC) to acknowledge the interrupt, which has no effect on the endpoint FIFO. The user then writes into the FIFO and writes a one to the FIFO Control Clear (USBHS_DEVEPTIDRx.FIFOCONC) bit to clear the USBHS_DEVEPTIMRx.FIFOCON bit. This allows the USBHS to send the data. If the IN endpoint is composed of multiple banks, this also switches to the next bank. The USBHS_DEVEPTISRx.TXINI and USBHS_DEVEPTIMRx.FIFOCON bits are updated in accordance with the status of the next bank. USBHS_DEVEPTISRx.TXINI is always cleared before clearing USBHS_DEVEPTIMRx.FIFOCON. The USBHS_DEVEPTISRx.RWALL bit is set when the current bank is not full, i.e., when the software can write further data into the FIFO. Figure 39-11. Example of an IN Endpoint with one Data Bank NAK DATA (bank 0) IN ACK IN HW USBHS_DEVEPTISRx.TXINI SW SW write data to CPU BANK 0 USBHS_DEVEPTIMRx.FIFOCON write data to CPU BANK 0 SW SW Figure 39-12. Example of an IN Endpoint with two Data Banks DATA (bank 0) IN ACK IN DATA (bank 1) ACK HW USBHS_DEVEPTISRx.TXINI USBHS_DEVEPTIMRx.FIFOCON © 2021 Microchip Technology Inc. and its subsidiaries SW write data to CPU BANK 0 SW SW write data to CPU BANK 1 Complete Datasheet SW SW write data to CPU BANK0 DS60001527F-page 723 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Detailed Description The data is written as follows: • • • • When the bank is empty, USBHS_DEVEPTISRx.TXINI and USBHS_DEVEPTIMRx.FIFOCON are set, which triggers a PEP_x interrupt if USBHS_DEVEPTIMRx.TXINE = 1. The user acknowledges the interrupt by clearing USBHS_DEVEPTISRx.TXINI. The user writes the data into the current bank by using the USB Pipe/Endpoint nFIFO Data (USBFIFOnDATA) register, until all the data frame is written or the bank is full (in which case USBHS_DEVEPTISRx.RWALL is cleared and the Byte Count (USBHS_DEVEPTISRx.BYCT) field reaches the endpoint size). The user allows the controller to send the bank and switches to the next bank (if any) by clearing USBHS_DEVEPTIMRx.FIFOCON. If the endpoint uses several banks, the current one can be written while the previous one is being read by the host. Then, when the user clears USBHS_DEVEPTIMRx.FIFOCON, the following bank may already be free and USBHS_DEVEPTISRx.TXINI is set immediately. An “Abort” stage can be produced when a zero-length OUT packet is received during an IN stage of a control or isochronous IN transaction. The Kill IN Bank (USBHS_DEVEPTIMRx.KILLBK) bit is used to kill the last written bank. The best way to manage this abort is to apply the algorithm represented in the following figure. Figure 39-13. Abort Algorithm Endpoint Abort Disable the USBHS_DEVEPTISRx.TXINI interrupt. USBHS_DEVEPTIDRx.TXINEC = 1 USBHS_DEVEPTISRx.NBUSYBK == 0? Abort is based on the fact that no bank is busy, i.e., that nothing has to be sent No Yes USBHS_DEVEPT. EPRSTx = 1 Yes USBHS_DEVEPTIERx.KILLBKS = 1 Kill the last written bank. USBHS_DEVEPTIMRx.KILLBK == 1? Wait for the end of the procedure No Abort Done 39.6.2.13 Management of OUT Endpoints Overview OUT packets are sent by the host. All data which acknowledges or not the bank can be read when it is empty. The endpoint must be configured first. The USBHS_DEVEPTISRx.RXOUTI bit is set at the same time as USBHS_DEVEPTIMRx.FIFOCON when the current bank is full. This triggers a PEP_x interrupt if the Received OUT Data Interrupt Enable (USBHS_DEVEPTIMRx.RXOUTE) bit is one. USBHS_DEVEPTISRx.RXOUTI is cleared by software (by writing a one to the Received OUT Data Interrupt Clear (USBHS_DEVEPTICRx.RXOUTIC) bit to acknowledge the interrupt, which has no effect on the endpoint FIFO. The user then reads from the FIFO and clears the USBHS_DEVEPTIMRx.FIFOCON bit to free the bank. If the OUT endpoint is composed of multiple banks, this also switches to the next bank. The USBHS_DEVEPTISRx.RXOUTI and USBHS_DEVEPTIMRx.FIFOCON bits are updated in accordance with the status of the next bank. USBHS_DEVEPTISRx.RXOUTI is always cleared before clearing USBHS_DEVEPTIMRx.FIFOCON. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 724 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) The USBHS_DEVEPTISRx.RWALL bit is set when the current bank is not empty, i.e., when the software can read further data from the FIFO. Figure 39-14. Example of an OUT Endpoint with one Data Bank OUT DATA (bank 0) NAK ACK DATA (bank 0) OUT ACK HW HW USBHS_DEVEPTISRx.RXOUTI SW SW read data from CPU BANK 0 USBHS_DEVEPTIMRx.FIFOCON read data from CPU BANK 0 SW Figure 39-15. Example of an OUT Endpoint with two Data Banks OUT DATA (bank 0) ACK OUT DATA (bank 1) ACK HW USBHS_DEVEPTISRx.RXOUTI USBHS_DEVEPTIMRx.FIFOCON HW SW SW read data from CPU BANK 0 SW read data from CPU BANK 1 Detailed Description The data is read as follows: • • • • • When the bank is full, USBHS_DEVEPTISRx.RXOUTI and USBHS_DEVEPTIMRx.FIFOCON are set, which triggers a PEP_x interrupt if USBHS_DEVEPTIMRx.RXOUTE = 1. The user acknowledges the interrupt by writing a one to USBHS_DEVEPTICRx.RXOUTIC in order to clear USBHS_DEVEPTISRx.RXOUTI. The user can read the byte count of the current bank from USBHS_DEVEPTISRx.BYCT to know how many bytes to read, rather than polling USBHS_DEVEPTISRx.RWALL. The user reads the data from the current bank by using the USBFIFOnDATA register, until all the expected data frame is read or the bank is empty (in which case USBHS_DEVEPTISRx.RWALL is cleared and USBHS_DEVEPTISRx.BYCT reaches zero). The user frees the bank and switches to the next bank (if any) by clearing USBHS_DEVEPTIMRx.FIFOCON. If the endpoint uses several banks, the current one can be read while the following one is being written by the host. Then, when the user clears USBHS_DEVEPTIMRx.FIFOCON, the following bank can already be read and USBHS_DEVEPTISRx.RXOUTI is set immediately. In High-speed mode, the PING and NYET protocols are handled by the USBHS. • • For a single bank, a NYET handshake is always sent to the host (on Bulk-out transaction) to indicate that the current packet is acknowledged but there is no room for the next one. For a double bank, the USBHS responds to the OUT/DATA transaction with an ACK handshake when the endpoint accepted the data successfully and has room for another data payload (the second bank is free). 39.6.2.14 Underflow This error only exists for isochronous IN/OUT endpoints. It sets the Underflow Interrupt (USBHS_DEVEPTISRx.UNDERFI) bit, which triggers a PEP_x interrupt if the Underflow Interrupt Enable (USBHS_DEVEPTIMRx.UNDERFE) bit is one. • An underflow can occur during the IN stage if the host attempts to read from an empty bank. A zero-length packet is then automatically sent by the USBHS. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 725 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) • • • An underflow cannot occur during the OUT stage on a CPU action, since the user may only read if the bank is not empty (USBHS_DEVEPTISRx.RXOUTI = 1 or USBHS_DEVEPTISRx.RWALL = 1). An underflow can also occur during the OUT stage if the host sends a packet while the bank is already full. Typically, the CPU is not fast enough. The packet is lost. An underflow cannot occur during the IN stage on a CPU action, since the user may only write if the bank is not full (USBHS_DEVEPTISRx.TXINI = 1or USBHS_DEVEPTISRx.RWALL = 1). 39.6.2.15 Overflow This error exists for all endpoint types. It sets the Overflow interrupt (USBHS_DEVEPTISRx.OVERFI) bit, which triggers a PEP_x interrupt if the Overflow Interrupt Enable (USBHS_DEVEPTIMRx.OVERFE) bit is one. • • An overflow can occur during the OUT stage if the host attempts to write into a bank which is too small for the packet. The packet is acknowledged and the USBHS_DEVEPTISRx.RXOUTI bit is set as if no overflow had occurred. The bank is filled with all the first bytes of the packet that fit in. An overflow cannot occur during the IN stage on a CPU action, since the user may only write if the bank is not full (USBHS_DEVEPTISRx.TXINI = 1 or USBHS_DEVEPTISRx.RWALL = 1). 39.6.2.16 HB IsoIn Error This error only exists for high-bandwidth isochronous IN endpoints. At the end of the microframe, if at least one packet has been sent to the host and fewer banks than expected have been validated (by clearing the USBHS_DEVEPTIMRx.USBHS_DEVEPTIMRx.FIFOCON) for this microframe, it sets the USBHS_DEVEPTISRx.HBISOINERRORI bit, which triggers a PEP_x interrupt if the High Bandwidth Isochronous IN Error Interrupt Enable (HBISOINERRORE) bit is one. For example, if the Number of Transactions per MicroFrame for Isochronous Endpoint (NBTRANS) field in USBHS_DEVEPTCFGx is three (three transactions per microframe), only two banks are filled by the CPU (three expected) for the current microframe. Then, the HBISOINERRI interrupt is generated at the end of the microframe. Note that an UNDERFI interrupt is also generated (with an automatic zero-length-packet), except in the case of a missing IN token. 39.6.2.17 HB IsoFlush This error only exists for high-bandwidth isochronous IN endpoints. At the end of the microframe, if at least one packet has been sent to the host and there is a missing IN token during this microframe, the bank(s) destined to this microframe is/are flushed out to ensure a good data synchronization between the host and the device. For example, if NBTRANS is three (three transactions per microframe) and if only the first IN token (among three) is well received by the USBHS, the last two banks are discarded. 39.6.2.18 CRC Error This error only exists for isochronous OUT endpoints. It sets the CRC Error Interrupt (USBHS_DEVEPTISRx.CRCERRI) bit, which triggers a PEP_x interrupt if the CRC Error Interrupt Enable (USBHS_DEVEPTIMRx.CRCERRE) bit is one. A CRC error can occur during the OUT stage if the USBHS detects a corrupted received packet. The OUT packet is stored in the bank as if no CRC error had occurred (USBHS_DEVEPTISRx.RXOUTI is set). 39.6.2.19 Interrupts See the structure of the USB device interrupt system in Figure 39-3. There are two kinds of device interrupts: processing, i.e., their generation is part of the normal processing, and exception, i.e., errors (not related to CPU exceptions). Global Interrupts The processing device global interrupts are: • • • Suspend (USBHS_DEVISR.SUSP) Start of Frame (USBHS_DEVISR.SOF) interrupt with no frame number CRC error - the Frame Number CRC Error (USBHS_DEVFNUM.FNCERR) bit is zero. Micro Start of Frame (USBHS_DEVISR.MSOF) with no CRC error © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 726 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) • • • • • • End of Reset (USBHS_DEVISR.EORST) Wakeup (USBHS_DEVISR.WAKEUP) End of Resume (USBHS_DEVISR.EORSM) Upstream Resume (USBHS_DEVISR.UPRSM) Endpoint x (USBHS_DEVISR.PEP_x) DMA Channel x (USBHS_DEVISR.DMA_x) The exception device global interrupts are: • • Start of Frame (USBHS_DEVISR.SOF) with a frame number CRC error (USBHS_DEVFNUM.FNCERR = 1) Micro Start of Frame (USBHS_DEVFNUM.FNCERR.MSOF) with a CRC error Endpoint Interrupts The processing device endpoint interrupts are: • • • • • • • Transmitted IN Data (USBHS_DEVEPTISRx.TXINI) Received OUT Data (USBHS_DEVEPTISRx.RXOUTI) Received SETUP (USBHS_DEVEPTISRx.RXSTPI) Short Packet (USBHS_DEVEPTISRx.SHORTPACKET) Number of Busy Banks (USBHS_DEVEPTISRx.NBUSYBK) Received OUT Isochronous Multiple Data (DTSEQ = MDATA & USBHS_DEVEPTISRx.RXOUTI) Received OUT Isochronous DataX (DTSEQ = DATAX & USBHS_DEVEPTISRx.RXOUTI) The exception device endpoint interrupts are: • • • • • • • • • Underflow (USBHS_DEVEPTISRx.UNDERFI) NAKed OUT (USBHS_DEVEPTISRx.NAKOUTI) High-Bandwidth Isochronous IN Error (USBHS_DEVEPTISRx.HBISOINERRI) NAKed IN (USBHS_DEVEPTISRx.NAKINI) High-Bandwidth Isochronous IN Flush error (USBHS_DEVEPTISRx.HBISOFLUSHI) Overflow (USBHS_DEVEPTISRx.OVERFI) STALLed (USBHS_DEVEPTISRx.STALLEDI) CRC Error (USBHS_DEVEPTISRx.CRCERRI) Transaction Error (USBHS_DEVEPTISRx.ERRORTRANS) DMA Interrupts The processing device DMA interrupts are: • • • End of USB Transfer Status (USBHS_DEVDMASTATUSx.END_TR_ST) End of Channel Buffer Status (USBHS_DEVDMASTATUSx.END_BF_ST) Descriptor Loaded Status (USBHS_DEVDMASTATUSx.DESC_LDST) There is no exception device DMA interrupt. 39.6.2.20 Test Modes When written to one, the USBHS_DEVCTRL.TSTPCKT bit switches the USB device controller to a “Test-packet” mode: The transceiver repeatedly transmits the packet stored in the current bank. USBHS_DEVCTRL.TSTPCKT must be written to zero to exit the Test-packet mode. The endpoint is reset by software after a Test-packet mode. This enables the testing of rise and falling times, eye patterns, jitter, and any other dynamic waveform specifications. The flow control used to send the packets is as follows: • • • USBHS_DEVCTRL.TSTPCKT = 1; Store data in an endpoint bank Write a zero to the USBHS_DEVEPTIDRx.FIFOCON bit To stop the Test-packet mode, write a zero to the USBHS_DEVCTRL.TSTPCKT bit. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 727 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.6.3 USB Host Operation 39.6.3.1 Description of Pipes For the USBHS in Host mode, the term “pipe” is used instead of “endpoint” (used in Device mode). A host pipe corresponds to a device endpoint, as described in Figure 39-16 (from the USB Specification). Figure 39-16. USB Communication Flow In Host mode, the USBHS associates a pipe to a device endpoint, considering the device configuration descriptors. 39.6.3.2 Power-On and Reset The following figure describes the USBHS Host mode main states. Figure 39-17. Host Mode Main States Device Disconnection Macro off Clock stopped Idle Device Connection Device Disconnection Ready SOFE = 0 SOFE = 1 Suspend After a hardware reset, the USBHS Host mode is in the Reset state. When the USBHS is enabled (USBHS_CTRL.USBE = 1) in Host mode (USBHS_CTRL.UIMOD = 0), it goes to the Idle state. In this state, the controller waits for a device connection with a minimal power consumption. The USB pad should be in the Idle state. Once a device is connected, the USBHS enters the Ready state, which does not require the USB clock to be activated. The controller enters the Suspend state when the USB bus is in a “Suspend” state, i.e., when the Host mode does not generate the “Start of Frame (SOF)”. In this state, the USB consumption is minimal. The Host mode exits the Suspend state when starting to generate the SOF over the USB line. 39.6.3.3 Device Detection A device is detected by the USBHS Host mode when D+ or D- is no longer tied low, i.e., when the device D+ or Dpull-up resistor is connected. The bit USBHS_SFR.VBUSRQS must be set to ‘1’ to enable this detection. Note:  The VBUS supply is not managed by the USBHS interface. It must be generated on-board. The device disconnection is detected by the host controller when both D+ and D- are pulled down. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 728 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.6.3.4 USB Reset The USBHS sends a USB bus reset when the user writes a one to the Send USB Reset bit in the Host General Control register (USBHS_HSTCTRL.RESET). The USB Reset Sent Interrupt bit in the Host Global Interrupt Status register (USBHS_HSTISR.RSTI) is set when the USB reset has been sent. In this case, all pipes are disabled and de-allocated. If the bus was previously in a “Suspend” state (the Start of Frame Generation Enable (USBHS_HSTCTRL.SOFE) bit is zero), the USBHS automatically switches to the “Resume” state, the Host Wakeup Interrupt (USBHS_HSTISR.HWUPI) bit is set and the USBHS_HSTCTRL.SOFE bit is set in order to generate SOFs or micro SOFs immediately after the USB reset. At the end of the reset, the user should check the USBHS_SR.SPEED field to know the speed running according to the peripheral capability (LS.FS/HS). 39.6.3.5 Pipe Reset A pipe can be reset at any time by writing a one to the Pipe x Reset (USBHS_HSTPIP.PRSTx) bit. This is recommended before using a pipe upon hardware reset or when a USB bus reset has been sent. This resets: • • • the internal state machine of the pipe, the receive and transmit bank FIFO counters, all the registers of the pipe (USBHS_HSTPIPCFGx, USBHS_HSTPIPISRx, USBHS_HSTPIPIMRx), except its configuration (USBHS_HSTPIPCFGx.ALLOC, USBHS_HSTPIPCFGx.PBK, USBHS_HSTPIPCFGx.PSIZE, USBHS_HSTPIPCFGx.PTOKEN, USBHS_HSTPIPCFGx.PTYPE, USBHS_HSTPIPCFGx.PEPNUM, USBHS_HSTPIPCFGx.INTFRQ) and its Data Toggle Sequence field (USBHS_HSTPIPISRx.DTSEQ). The pipe configuration remains active and the pipe is still enabled. The pipe reset may be associated with a clear of the data toggle sequence. This can be achieved by setting the Reset Data Toggle bit in the Pipe x Control register (USBHS_HSTPIPIMRx.RSTDT) (by writing a one to the Reset Data Toggle Set bit in the Pipe x Control Set register (USBHS_HSTPIPIERx.RSTDTS)). In the end, the user has to write a zero to the USBHS_HSTPIP.PRSTx bit to complete the reset operation and to start using the FIFO. 39.6.3.6 Pipe Activation The pipe is maintained inactive and reset (see "Pipe Reset" for more details) as long as it is disabled (USBHS_HSTPIP.PENx = 0). The Data Toggle Sequence field (USBHS_HSTPIPISRx.DTSEQ) is also reset. The algorithm represented in the following figure must be followed to activate a pipe. Figure 39-18. Pipe Activation Algorithm Pipe Activation USBHS_HSTPIP.PENx = 1 Enable the pipe. USBHS_HSTPIPPCFGx Configure the pipe: - interrupt request frequency - endpoint number - type - size - number of banks Allocate the configured DPRAM banks. .INTFRQ .PEPNUM .PTYPE .PTOKEN .PSIZE .PBK .ALLOC USBHS_HSTPIPISRx.CFGOK == 1? No Test if the pipe configuration is correct. Yes Pipe Activated ERROR As long as the pipe is not correctly configured (USBHS_HSTPIPISRx.CFGOK = 0), the controller cannot send packets to the device through this pipe. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 729 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) The USBHS_HSTPIPISRx.CFGOK bit is only set if the configured size and number of banks are correct as compared to their maximal allowed values for the pipe (see the Description of USB Pipes/Endpoints table) and to the maximal FIFO size (i.e., the DPRAM size). See "DPRAM Management" for additional information. Once the pipe is correctly configured (USBHS_HSTPIPISRx.CFGOK = 1), only the USBHS_HSTPIPCFGx.PTOKEN and USBHS_HSTPIPCFGx.INTFRQ fields can be written by software. USBHS_HSTPIPCFGx.INTFRQ is meaningless for non-interrupt pipes. When starting an enumeration, the user gets the device descriptor by sending a GET_DESCRIPTOR USB request. This descriptor contains the maximal packet size of the device default control endpoint (bMaxPacketSize0) and the user reconfigures the size of the default control pipe with this size parameter. 39.6.3.7 Address Setup Once the device has answered the first host requests with the default device address 0, the host assigns a new address to the device. The host controller has to send a USB reset to the device and to send a SET_ADDRESS (addr) SETUP request with the new address to be used by the device. Once this SETUP transaction is over, the user writes the new address into the USB Host Address for Pipe x field in the USB Host Device Address register (HSTADDR.HSTADDRPx). All the following requests on all pipes are then performed using this new address. When the host controller sends a USB reset, the HSTADDRPx field is reset by hardware and the following host requests are performed using the default device address 0. 39.6.3.8 Remote Wakeup The controller Host mode enters the Suspend state when the USBHS_HSTCTRL.SOFE bit is written to zero. No more “Start of Frame” is sent on the USB bus and the USB device enters the Suspend state 3 ms later. The device awakes the host by sending an Upstream Resume (Remote Wakeup feature). When the host controller detects a non-idle state on the USB bus, it sets the Host Wakeup interrupt (USBHS_HSTISR.HWUPI) bit. If the non-idle bus state corresponds to an Upstream Resume (K state), the Upstream Resume Received Interrupt (USBHS_HSTISR.RXRSMI) bit is set. The user has to generate a Downstream Resume within 1 ms and for at least 20 ms by writing a one to the Send USB Resume (USBHS_HSTCTRL.RESUME) bit. It is mandatory to write a one to USBHS_HSTCTRL.SOFE before writing a one to USBHS_HSTCTRL.RESUME to enter the Ready state, otherwise USBHS_HSTCTRL.RESUME has no effect. 39.6.3.9 Management of Control Pipes A control transaction is composed of three stages: • • • SETUP Data (IN or OUT) Status (OUT or IN) The user has to change the pipe token according to each stage. For the control pipe only, each token is assigned a specific initial data toggle sequence: • • • SETUP: Data0 IN: Data1 OUT: Data1 39.6.3.10 Management of IN Pipes IN packets are sent by the USB device controller upon IN requests from the host. All data which acknowledges or not the bank can be read when it is empty. The pipe must be configured first. When the host requires data from the device, the user has to first select the IN Request mode with the IN Request Mode bit in the Pipe x IN Request register (USBHS_HSTPIPINRQx.INMODE): • • When USBHS_HSTPIPINRQx.INMODE = 0, the USBHS performs (INRQ + 1) IN requests before freezing the pipe. When USBHS_HSTPIPINRQx.INMODE = 1, the USBHS performs IN requests endlessly when the pipe is not frozen by the user. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 730 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) The generation of IN requests starts when the pipe is unfrozen (the Pipe Freeze (USBHS_HSTPIPIMRx.PFREEZE) field in USBHS_HSTPIPIMRx is zero). The Received IN Data Interrupt (USBHS_HSTPIPISRx.RXINI) bit is set at the same time as the FIFO Control (USBHS_HSTPIPIMRx.FIFOCON) bit when the current bank is full. This triggers a PEP_x interrupt if the Received IN Data Interrupt Enable (USBHS_HSTPIPIMRx.RXINE) bit is one. USBHS_HSTPIPISRx.RXINI is cleared by software (by writing a one to the Received IN Data Interrupt Clear bit in the Host Pipe x Clear register (USBHS_HSTPIPIDRx.RXINIC)) to acknowledge the interrupt, which has no effect on the pipe FIFO. The user then reads from the FIFO and clears the USBHS_HSTPIPIMRx.FIFOCON bit (by writing a one to the FIFO Control Clear (USBHS_HSTPIPIDRx.FIFOCONC) bit) to free the bank. If the IN pipe is composed of multiple banks, this also switches to the next bank. The USBHS_HSTPIPISRx.RXINI and USBHS_HSTPIPIMRx.FIFOCON bits are updated in accordance with the status of the next bank. USBHS_HSTPIPISRx.RXINI is always cleared before clearing USBHS_HSTPIPIMRx.FIFOCON. The Read/Write Allowed (USBHS_HSTPIPISRx.RWALL) bit is set when the current bank is not empty, i.e., when the software can read further data from the FIFO. Figure 39-19. Example of an IN Pipe with one Data Bank IN DATA (bank 0) ACK DATA (bank 0) IN HW ACK HW SW USBHS_HSTPIPISRx.RXINI SW read data from CPU BANK 0 USBHS_HSTPIPIMRx.FIFOCON read data from CPU BANK 0 SW Figure 39-20. Example of an IN Pipe with two Data Banks IN DATA (bank 0) ACK IN DATA (bank 1) HW USBHS_HSTPIPISRx.RXINI USBHS_HSTPIPIMRx.FIFOCON ACK HW SW SW read data from CPU BANK 0 SW read data from CPU BANK 1 39.6.3.11 Management of OUT Pipes OUT packets are sent by the host. All data which acknowledges or not the bank can be written when it is full. The pipe must be configured and unfrozen first. The Transmitted OUT Data Interrupt (USBHS_HSTPIPISRx.TXOUTI) bit is set at the same time as USBHS_HSTPIPIMRx.FIFOCON when the current bank is free. This triggers a PEP_x interrupt if the Transmitted OUT Data Interrupt Enable (USBHS_HSTPIPIMRx.TXOUTE) bit is one. USBHS_HSTPIPISRx.TXOUTI is cleared by software (by writing a one to the Transmitted OUT Data Interrupt Clear (USBHS_HSTPIPIDRx.TXOUTIC) bit to acknowledge the interrupt, which has no effect on the pipe FIFO. The user then writes into the FIFO and clears the USBHS_HSTPIPIDRx.FIFOCON bit to allow the USBHS to send the data. If the OUT pipe is composed of multiple banks, this also switches to the next bank. The USBHS_HSTPIPISRx.TXOUTI and USBHS_HSTPIPIMRx.FIFOCON bits are updated in accordance with the status of the next bank. USBHS_HSTPIPISRx.TXOUTI is always cleared before clearing USBHS_HSTPIPIMRx.FIFOCON. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 731 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) The USBHS_HSTPIPISRx.RWALL bit is set when the current bank is not full, i.e., when the software can write further data into the FIFO. Notes:  1. If the user decides to switch to the Suspend state (by writing a zero to the USBHS_HSTCTRL.SOFE bit) while a bank is ready to be sent, the USBHS automatically exits this state and the bank is sent. 2. In High-speed operating mode, the host controller automatically manages the PING protocol to maximize the USB bandwidth. The user can tune the PING protocol by handling the Ping Enable (PINGEN) bit and the bInterval Parameter for the Bulk-Out/Ping Transaction (BINTERVAL) field in USBHS_HSTPIPCFGx. See the Host Pipe x Configuration Register for additional information. Figure 39-21. Example of an OUT Pipe with one Data Bank DATA (bank 0) OUT ACK OUT HW USBHS_HSTPIPISRx.TXOUTI SW SW write data to CPU BANK 0 USBHS_HSTPIPIMRx.FIFOCON write data to CPU BANK 0 SW SW Figure 39-22. Example of an OUT Pipe with two Data Banks and no Bank Switching Delay OUT DATA (bank 0) ACK OUT DATA (bank 1) ACK HW SW USBHS_HSTPIPISRx.TXOUTI SW write data to CPU SW BANK 0 USBHS_HSTPIPIMRx.FIFOCON SW write data to CPU BANK 1 write data to CPU BANK0 SW Figure 39-23. Example of an OUT Pipe with two Data Banks and a Bank Switching Delay OUT DATA (bank 0) ACK OUT DATA (bank 1) ACK HW USBHS_HSTPIPISRx.TXOUTI USBHS_HSTPIPIMRx.FIFOCON SW SW write data to CPU BANK 0 SW SW write data to CPU BANK 1 SW write data to CPU BANK0 39.6.3.12 CRC Error This error exists only for isochronous IN pipes. It sets the CRC Error Interrupt (USBHS_HSTPIPISRx.CRCERRI) bit, which triggers a PEP_x interrupt if then the CRC Error Interrupt Enable (USBHS_HSTPIPIMRx.CRCERRE) bit is one. A CRC error can occur during IN stage if the USBHS detects a corrupted received packet. The IN packet is stored in the bank as if no CRC error had occurred (USBHS_HSTPIPISRx.RXINI is set). 39.6.3.13 Interrupts See the structure of the USB host interrupt system on Figure 39-3. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 732 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) There are two kinds of host interrupts: processing, i.e., their generation is part of the normal processing, and exception, i.e., errors (not related to CPU exceptions). Global Interrupts The processing host global interrupts are: • • • • • • • • • Device Connection (USBHS_HSTISR.DCONNI) Device Disconnection (USBHS_HSTISR.DDISCI) USB Reset Sent (USBHS_HSTISR.RSTI) Downstream Resume Sent (USBHS_HSTISR.RSMEDI) Upstream Resume Received (USBHS_HSTISR.RXRSMI) Host Start of Frame (USBHS_HSTISR.HSOFI) Host Wakeup (USBHS_HSTISR.HWUPI) Pipe x (USBHS_HSTISR.PEP_x) DMA Channel x (USBHS_HSTISR.DMAxINT) There is no exception host global interrupt. Pipe Interrupts The processing host pipe interrupts are: • • • • • Received IN Data (USBHS_HSTPIPISRx.RXINI) Transmitted OUT Data (USBHS_HSTPIPISRx.TXOUTI) Transmitted SETUP (USBHS_HSTPIPISRx.TXSTPI) Short Packet (USBHS_HSTPIPISRx.SHORTPACKETI) Number of Busy Banks (USBHS_HSTPIPISRx.NBUSYBK) The exception host pipe interrupts are: • • • • • • Underflow (USBHS_HSTPIPISRx.UNDERFI) Pipe Error (USBHS_HSTPIPISRx.PERRI) NAKed (USBHS_HSTPIPISRx.NAKEDI) Overflow (USBHS_HSTPIPISRx.OVERFI) Received STALLed (USBHS_HSTPIPISRx.RXSTALLDI) CRC Error (USBHS_HSTPIPISRx.CRCERRI) DMA Interrupts The processing host DMA interrupts are: • • • The End of USB Transfer Status (USBHS_HSTDMASTATUSx.END_TR_ST) The End of Channel Buffer Status (USBHS_HSTDMASTATUSx.END_BF_ST) The Descriptor Loaded Status (USBHS_HSTDMASTATUSx.DESC_LDST) There is no exception host DMA interrupt. 39.6.4 USB DMA Operation USB packets of any length may be transferred when required by the USBHS. These transfers always feature sequential addressing. Such characteristics mean that in case of high USBHS throughput, both AHB ports benefit from “incrementing burst of unspecified length” since the average access latency of AHB Clients can then be reduced. The DMA uses word “incrementing burst of unspecified length” of up to 256 beats for both data transfers and channel descriptor loading. A burst may last on the AHB busses for the duration of a whole USB packet transfer, unless otherwise broken by the AHB arbitration or the AHB 1-Kbyte boundary crossing. Packet data AHB bursts may be locked on a DMA buffer basis for drastic overall AHB bus bandwidth performance boost with paged memories. This prevents large AHB bursts from being broken in case of conflict with other AHB bus Hosts, thus avoiding access latencies due to memory row changes. This means up to 128 words single cycle unbroken AHB bursts for bulk pipes/endpoints and 256 words single cycle unbroken bursts for isochronous pipes/endpoints. This maximal burst length is then controlled by the lowest programmed USB © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 733 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Pipe/Endpoint Size (USBHS_HSTPIPCFGx.PSIZE / USBHS_DEVEPTCFGx.EPSIZE) and the Buffer Byte Length (USBHS_HSTDMACONTROLx.BUFF_LENGTH / USBHS_DEVDMACONTROLx.BUFF_LENGTH) fields. The USBHS average throughput can reach nearly 480 Mbps. Its average access latency decreases as burst length increases due to the zero wait-state side effect of unchanged pipe/endpoint. Word access allows reducing the AHB bandwidth required for the USB by four, as compared to native byte access. If at least 0 wait-state word burst capability is also provided by the other DMA AHB bus Clients, each DMA AHB bus needs less than 60% bandwidth allocation for full USB bandwidth usage at 33  MHz, and less than 30% at 66  MHz. Figure 39-24. Example of a DMA Chained List Transfer Descriptor USB DMA Channel X Registers (Current Transfer Descriptor) Next Descriptor Address Next Descriptor Address AHB Address Transfer Descriptor Control Next Descriptor Address AHB Address Control AHB Address Transfer Descriptor Control Next Descriptor Address AHB Address Status Control NULL Memory Area Data Buffer 1 Data Buffer 2 Data Buffer 3 39.6.5 USB DMA Channel Transfer Descriptor The DMA channel transfer descriptor is loaded from the memory. The following structures apply: Offset 0: • • The address must be aligned: 0xXXXX0 Next Descriptor Address Register: USBHS_xxxDMANXTDSCx Offset 4: • • The address must be aligned: 0xXXXX4 DMA Channelx Address Register: USBHS_xxxDMAADDRESSx Offset 8: • • The address must be aligned: 0xXXXX8 DMA Channelx Control Register: USBHS_xxxDMACONTROLx To use the DMA channel transfer descriptor, fill the structures with the correct values (as described in the following pages), then write directly in USBHS_xxxDMANXTDSCx the address of the descriptor to be used first. Then write 1 in the USBHS_xxxDMACONTROLx.LDNXT_DSC bit (load next channel transfer descriptor). The descriptor is automatically loaded upon pipe x / endpoint x request for packet transfer. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 734 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7 Register Summary Offset Name 0x00 USBHS_DEVCTRL 0x04 0x08 0x0C 0x10 0x14 0x18 Bit Pos. 7 6 5 4 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 ADDEN TSTPCKT TSTK TSTJ LS UPRSM PEP_2 DMA_5 UPRSMC EORSM PEP_1 PEP_9 DMA_4 EORSMC WAKEUP PEP_0 PEP_8 DMA_3 WAKEUPC EORST PEP_3 UPRSMS EORSMS DMA_5 UPRSME PEP_2 USBHS_DEVISR USBHS_DEVICR USBHS_DEVIFR USBHS_DEVIMR USBHS_DEVIDR USBHS_DEVIER 0x1C USBHS_DEVEPT 0x20 USBHS_DEVFNUM 0x24 ... 0xFF Reserved 0x0100 USBHS_DEVEPTC FG0 0x0104 USBHS_DEVEPTC FG1 0x0108 USBHS_DEVEPTC FG2 0x010C USBHS_DEVEPTC FG3 DMA_6 DMA_6 PEP_3 DMA_5 UPRSMES PEP_2 DMA_6 EPEN7 DMA_5 EPEN6 DMA_4 EORSME PEP_1 PEP_9 DMA_4 EORSMEC PEP_1 PEP_9 DMA_4 EORSMES PEP_1 PEP_9 DMA_4 EPEN5 EPRST7 EPRST6 EPRST5 PEP_3 DMA_6 PEP_3 DMA_6 1 0 2 RMWKUP DETACH OPMODE2 SOF MSOF SUSP PEP_7 DMA_2 EORSTC PEP_6 DMA_1 SOFC PEP_5 DMA_0 MSOFC PEP_4 SUSPC WAKEUPS EORSTS SOFS MSOFS SUSPS DMA_3 WAKEUPE PEP_0 PEP_8 DMA_3 WAKEUPEC PEP_0 PEP_8 DMA_3 WAKEUPES PEP_0 PEP_8 DMA_3 EPEN4 DMA_2 EORSTE DMA_1 SOFE DMA_0 MSOFE SUSPE PEP_7 DMA_2 EORSTEC PEP_6 DMA_1 SOFEC PEP_5 DMA_0 MSOFEC PEP_7 DMA_2 EORSTES PEP_6 DMA_1 SOFES PEP_5 DMA_0 MSOFES PEP_7 DMA_2 EPEN3 PEP_6 DMA_1 EPEN2 EPRST4 EPRST3 EPRST2 PEP_5 DMA_0 EPEN1 EPEN9 EPRST1 EPRST9 MFNUM[2:0] UADD[6:0] SPDCONF[1:0] FNUM[4:0] FNCERR 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 © 2021 Microchip Technology Inc. and its subsidiaries DMA_5 UPRSMEC PEP_2 3 PEP_4 SUSPEC PEP_4 SUSPES PEP_4 EPEN0 EPEN8 EPRST0 EPRST8 FNUM[10:5] EPSIZE[2:0] NBTRANS[1:0] EPTYPE[1:0] EPBK[1:0] EPSIZE[2:0] NBTRANS[1:0] EPTYPE[1:0] EPSIZE[2:0] NBTRANS[1:0] EPTYPE[1:0] EPSIZE[2:0] NBTRANS[1:0] EPTYPE[1:0] EPBK[1:0] EPBK[1:0] EPBK[1:0] Complete Datasheet ALLOC AUTOSW EPDIR ALLOC AUTOSW EPDIR ALLOC AUTOSW EPDIR ALLOC AUTOSW EPDIR DS60001527F-page 735 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x0110 USBHS_DEVEPTC FG4 0x0114 USBHS_DEVEPTC FG5 0x0118 USBHS_DEVEPTC FG6 0x011C USBHS_DEVEPTC FG7 0x0120 USBHS_DEVEPTC FG8 0x0124 ... 0x012F Reserved Bit Pos. 0x0130 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 15:8 23:16 31:24 7:0 0x0130 USBHS_DEVEPTIS R0 (ISOENPT) 6 7:0 15:8 7:0 USBHS_DEVEPTIS R0 7 SHORTPACK ET 0x0134 15:8 23:16 31:24 7:0 0x0134 USBHS_DEVEPTIS R1 (ISOENPT) 0x0138 15:8 23:16 31:24 7:0 0x0138 USBHS_DEVEPTIS R2 (ISOENPT) EPTYPE[1:0] EPSIZE[2:0] NBTRANS[1:0] EPTYPE[1:0] EPSIZE[2:0] NBTRANS[1:0] EPTYPE[1:0] EPSIZE[2:0] NBTRANS[1:0] EPTYPE[1:0] OVERFI 1 0 ALLOC AUTOSW EPDIR ALLOC AUTOSW EPDIR ALLOC AUTOSW EPDIR ALLOC AUTOSW EPDIR ALLOC AUTOSW EPDIR RXSTPI RXOUTI TXINI CFGOK DTSEQ[1:0] CTRLDIR RWALL EPBK[1:0] EPBK[1:0] EPBK[1:0] EPBK[1:0] EPBK[1:0] NAKOUTI BYCT[10:4] HBISOFLUSH HBISOINERRI I UNDERFI ERRORTRAN S CFGOK NBUSYBK[1:0] RXOUTI TXINI DTSEQ[1:0] RWALL BYCT[10:4] SHORTPACK STALLEDI OVERFI NAKINI ET CURRBK[1:0] NBUSYBK[1:0] BYCT[3:0] NAKOUTI RXSTPI RXOUTI TXINI CFGOK DTSEQ[1:0] CTRLDIR RWALL BYCT[10:4] SHORTPACK ET CRCERRI OVERFI CURRBK[1:0] HBISOFLUSH HBISOINERRI I UNDERFI ERRORTRAN S CFGOK NBUSYBK[1:0] BYCT[3:0] RXOUTI TXINI DTSEQ[1:0] RWALL BYCT[10:4] SHORTPACK STALLEDI OVERFI NAKINI ET CURRBK[1:0] NBUSYBK[1:0] BYCT[3:0] SHORTPACK ET 15:8 23:16 31:24 © 2021 Microchip Technology Inc. and its subsidiaries EPSIZE[2:0] NBTRANS[1:0] 2 BYCT[3:0] 23:16 31:24 USBHS_DEVEPTIS R2 EPTYPE[1:0] CURRBK[1:0] 15:8 7:0 3 EPSIZE[2:0] NBTRANS[1:0] CRCERRI 23:16 31:24 USBHS_DEVEPTIS R1 4 SHORTPACK STALLEDI OVERFI NAKINI ET CURRBK[1:0] NBUSYBK[1:0] BYCT[3:0] 15:8 7:0 5 CRCERRI OVERFI CURRBK[1:0] NAKOUTI BYCT[10:4] HBISOFLUSH HBISOINERRI I RXSTPI RXOUTI CFGOK DTSEQ[1:0] CTRLDIR RWALL UNDERFI ERRORTRAN S CFGOK NBUSYBK[1:0] BYCT[3:0] RXOUTI TXINI TXINI DTSEQ[1:0] RWALL BYCT[10:4] Complete Datasheet DS60001527F-page 736 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x013C USBHS_DEVEPTIS R3 Bit Pos. 7 6 5 4 3 2 1 0 7:0 SHORTPACK ET STALLEDI OVERFI NAKINI NAKOUTI RXSTPI RXOUTI TXINI CFGOK DTSEQ[1:0] CTRLDIR RWALL 15:8 23:16 31:24 7:0 0x013C USBHS_DEVEPTIS R3 (ISOENPT) CURRBK[1:0] SHORTPACK ET 15:8 CRCERRI 0x0140 USBHS_DEVEPTIS R4 15:8 23:16 31:24 7:0 0x0140 USBHS_DEVEPTIS R4 (ISOENPT) 0x0144 15:8 23:16 31:24 7:0 0x0144 USBHS_DEVEPTIS R5 (ISOENPT) SHORTPACK ET CRCERRI 0x0148 15:8 23:16 31:24 7:0 0x0148 USBHS_DEVEPTIS R6 (ISOENPT) 0x014C 15:8 23:16 31:24 7:0 0x014C USBHS_DEVEPTIS R7 (ISOENPT) BYCT[10:4] HBISOFLUSH HBISOINERRI I TXINI DTSEQ[1:0] RWALL RXSTPI RXOUTI CFGOK DTSEQ[1:0] CTRLDIR RWALL UNDERFI ERRORTRAN S CFGOK NBUSYBK[1:0] SHORTPACK STALLEDI OVERFI NAKINI ET CURRBK[1:0] NBUSYBK[1:0] BYCT[3:0] SHORTPACK ET CRCERRI OVERFI CURRBK[1:0] NAKOUTI BYCT[10:4] HBISOFLUSH HBISOINERRI I RXOUTI TXINI TXINI DTSEQ[1:0] RWALL RXSTPI RXOUTI CFGOK DTSEQ[1:0] CTRLDIR RWALL UNDERFI ERRORTRAN S CFGOK NBUSYBK[1:0] BYCT[3:0] RXOUTI TXINI TXINI DTSEQ[1:0] RWALL BYCT[10:4] SHORTPACK STALLEDI OVERFI NAKINI ET CURRBK[1:0] NBUSYBK[1:0] BYCT[3:0] SHORTPACK ET CRCERRI OVERFI CURRBK[1:0] NAKOUTI BYCT[10:4] HBISOFLUSH HBISOINERRI I RXSTPI RXOUTI CFGOK DTSEQ[1:0] CTRLDIR RWALL UNDERFI ERRORTRAN S CFGOK NBUSYBK[1:0] BYCT[3:0] RXOUTI TXINI TXINI DTSEQ[1:0] RWALL BYCT[10:4] SHORTPACK STALLEDI OVERFI NAKINI ET CURRBK[1:0] NBUSYBK[1:0] BYCT[3:0] SHORTPACK ET 15:8 23:16 31:24 © 2021 Microchip Technology Inc. and its subsidiaries RXOUTI BYCT[10:4] 15:8 7:0 NAKOUTI BYCT[3:0] 23:16 31:24 USBHS_DEVEPTIS R7 OVERFI CURRBK[1:0] 23:16 31:24 USBHS_DEVEPTIS R6 ERRORTRAN S CFGOK NBUSYBK[1:0] SHORTPACK STALLEDI OVERFI NAKINI ET CURRBK[1:0] NBUSYBK[1:0] BYCT[3:0] 15:8 7:0 UNDERFI BYCT[10:4] 15:8 7:0 BYCT[10:4] HBISOFLUSH HBISOINERRI I BYCT[3:0] 23:16 31:24 USBHS_DEVEPTIS R5 OVERFI CURRBK[1:0] 23:16 31:24 7:0 NBUSYBK[1:0] BYCT[3:0] CRCERRI OVERFI CURRBK[1:0] NAKOUTI BYCT[10:4] HBISOFLUSH HBISOINERRI I RXSTPI RXOUTI CFGOK DTSEQ[1:0] CTRLDIR RWALL UNDERFI ERRORTRAN S CFGOK NBUSYBK[1:0] BYCT[3:0] RXOUTI TXINI TXINI DTSEQ[1:0] RWALL BYCT[10:4] Complete Datasheet DS60001527F-page 737 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x0150 USBHS_DEVEPTIS R8 Bit Pos. 7 6 5 4 3 2 1 0 7:0 SHORTPACK ET STALLEDI OVERFI NAKINI NAKOUTI RXSTPI RXOUTI TXINI CFGOK DTSEQ[1:0] CTRLDIR RWALL 15:8 23:16 31:24 7:0 0x0150 USBHS_DEVEPTIS R8 (ISOENPT) CURRBK[1:0] SHORTPACK ET 15:8 USBHS_DEVEPTIC R0 USBHS_DEVEPTIC R0 (ISOENPT) USBHS_DEVEPTIC R1 USBHS_DEVEPTIC R1 (ISOENPT) USBHS_DEVEPTIC R2 USBHS_DEVEPTIC R2 (ISOENPT) USBHS_DEVEPTIC R3 0x016C 0x0170 SHORTPACK CRCERRIC ETC OVERFIC SHORTPACK STALLEDIC ETC OVERFIC SHORTPACK CRCERRIC ETC OVERFIC SHORTPACK STALLEDIC ETC OVERFIC SHORTPACK CRCERRIC ETC OVERFIC SHORTPACK STALLEDIC ETC OVERFIC SHORTPACK CRCERRIC ETC OVERFIC SHORTPACK STALLEDIC ETC OVERFIC NAKOUTIC RXSTPIC RXOUTIC TXINIC HBISOFLUSH HBISOINERRI UNDERFIC IC C RXOUTIC TXINIC NAKINIC NAKOUTIC RXSTPIC RXOUTIC TXINIC HBISOFLUSH HBISOINERRI UNDERFIC IC C RXOUTIC TXINIC NAKINIC NAKOUTIC RXSTPIC RXOUTIC TXINIC HBISOFLUSH HBISOINERRI UNDERFIC IC C RXOUTIC TXINIC NAKINIC NAKOUTIC RXSTPIC RXOUTIC TXINIC HBISOFLUSH HBISOINERRI UNDERFIC IC C RXOUTIC TXINIC NAKINIC NAKOUTIC RXSTPIC RXOUTIC TXINIC 15:8 23:16 31:24 © 2021 Microchip Technology Inc. and its subsidiaries NAKINIC 15:8 23:16 31:24 7:0 USBHS_DEVEPTIC R4 OVERFIC 15:8 23:16 31:24 7:0 USBHS_DEVEPTIC R3 (ISOENPT) SHORTPACK STALLEDIC ETC 15:8 23:16 31:24 7:0 0x016C RWALL BYCT[10:4] 15:8 23:16 31:24 7:0 0x0168 DTSEQ[1:0] 15:8 23:16 31:24 7:0 0x0168 BYCT[3:0] TXINI 15:8 23:16 31:24 7:0 0x0164 ERRORTRAN S CFGOK NBUSYBK[1:0] RXOUTI 15:8 23:16 31:24 7:0 0x0164 UNDERFI 15:8 23:16 31:24 7:0 0x0160 OVERFI BYCT[10:4] HBISOFLUSH HBISOINERRI I Reserved 7:0 0x0160 CRCERRI CURRBK[1:0] 23:16 31:24 0x0154 ... 0x015F NBUSYBK[1:0] BYCT[3:0] Complete Datasheet DS60001527F-page 738 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x0170 USBHS_DEVEPTIC R4 (ISOENPT) 0x0174 USBHS_DEVEPTIC R5 0x0174 USBHS_DEVEPTIC R5 (ISOENPT) 0x0178 USBHS_DEVEPTIC R6 0x0178 USBHS_DEVEPTIC R6 (ISOENPT) 0x017C USBHS_DEVEPTIC R7 0x017C USBHS_DEVEPTIC R7 (ISOENPT) 0x0180 USBHS_DEVEPTIC R8 0x0180 USBHS_DEVEPTIC R8 (ISOENPT) 0x0184 ... 0x018F Reserved Bit Pos. 7:0 OVERFIC SHORTPACK STALLEDIC ETC OVERFIC SHORTPACK CRCERRIC ETC OVERFIC SHORTPACK STALLEDIC ETC OVERFIC SHORTPACK CRCERRIC ETC OVERFIC SHORTPACK STALLEDIC ETC OVERFIC SHORTPACK CRCERRIC ETC OVERFIC SHORTPACK STALLEDIC ETC OVERFIC SHORTPACK CRCERRIC ETC OVERFIC SHORTPACK STALLEDIS ETS OVERFIS 2 HBISOFLUSH HBISOINERRI UNDERFIC IC C 1 0 RXOUTIC TXINIC NAKINIC NAKOUTIC RXSTPIC RXOUTIC TXINIC HBISOFLUSH HBISOINERRI UNDERFIC IC C RXOUTIC TXINIC NAKINIC NAKOUTIC RXSTPIC RXOUTIC TXINIC HBISOFLUSH HBISOINERRI UNDERFIC IC C RXOUTIC TXINIC RXOUTIC TXINIC RXOUTIC TXINIC RXOUTIC TXINIC RXOUTIC TXINIC RXOUTIS TXINIS RXOUTIS TXINIS 15:8 23:16 31:24 7:0 NAKINIC NAKOUTIC RXSTPIC 15:8 23:16 31:24 7:0 HBISOFLUSH HBISOINERRI UNDERFIC IC C 15:8 23:16 31:24 7:0 NAKINIC NAKOUTIC RXSTPIC 15:8 23:16 31:24 7:0 HBISOFLUSH HBISOINERRI UNDERFIC IC C 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 NAKINIS NAKOUTIS RXSTPIS NBUSYBKS SHORTPACK CRCERRIS ETS 15:8 23:16 31:24 © 2021 Microchip Technology Inc. and its subsidiaries 3 15:8 23:16 31:24 7:0 0x0190 SHORTPACK CRCERRIC ETC 4 15:8 23:16 31:24 7:0 USBHS_DEVEPTIF R0 (ISOENPT) 5 15:8 23:16 31:24 7:0 0x0190 6 15:8 23:16 31:24 7:0 USBHS_DEVEPTIF R0 7 OVERFIS HBISOFLUSH HBISOINERRI UNDERFIS IS S NBUSYBKS Complete Datasheet DS60001527F-page 739 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x0194 USBHS_DEVEPTIF R1 0x0194 USBHS_DEVEPTIF R1 (ISOENPT) 0x0198 USBHS_DEVEPTIF R2 0x0198 USBHS_DEVEPTIF R2 (ISOENPT) 0x019C USBHS_DEVEPTIF R3 0x019C USBHS_DEVEPTIF R3 (ISOENPT) 0x01A0 USBHS_DEVEPTIF R4 0x01A0 USBHS_DEVEPTIF R4 (ISOENPT) 0x01A4 USBHS_DEVEPTIF R5 0x01A4 USBHS_DEVEPTIF R5 (ISOENPT) 0x01A8 USBHS_DEVEPTIF R6 Bit Pos. 7:0 7 SHORTPACK STALLEDIS ETS 5 4 3 2 1 0 OVERFIS NAKINIS NAKOUTIS RXSTPIS RXOUTIS TXINIS RXOUTIS TXINIS RXOUTIS TXINIS RXOUTIS TXINIS RXOUTIS TXINIS RXOUTIS TXINIS RXOUTIS TXINIS RXOUTIS TXINIS RXOUTIS TXINIS RXOUTIS TXINIS RXOUTIS TXINIS 15:8 23:16 31:24 7:0 NBUSYBKS SHORTPACK CRCERRIS ETS OVERFIS SHORTPACK STALLEDIS ETS OVERFIS 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 SHORTPACK CRCERRIS ETS OVERFIS SHORTPACK STALLEDIS ETS OVERFIS 15:8 23:16 31:24 7:0 SHORTPACK CRCERRIS ETS OVERFIS SHORTPACK STALLEDIS ETS OVERFIS 15:8 23:16 31:24 7:0 SHORTPACK CRCERRIS ETS OVERFIS SHORTPACK STALLEDIS ETS OVERFIS 15:8 23:16 31:24 7:0 RXSTPIS HBISOFLUSH HBISOINERRI UNDERFIS IS S NBUSYBKS NAKINIS NAKOUTIS RXSTPIS HBISOFLUSH HBISOINERRI UNDERFIS IS S NBUSYBKS NAKINIS NAKOUTIS RXSTPIS HBISOFLUSH HBISOINERRI UNDERFIS IS S NBUSYBKS NAKINIS NAKOUTIS RXSTPIS NBUSYBKS SHORTPACK CRCERRIS ETS OVERFIS SHORTPACK STALLEDIS ETS OVERFIS 15:8 23:16 31:24 7:0 NAKOUTIS NBUSYBKS 15:8 23:16 31:24 7:0 NAKINIS NBUSYBKS 15:8 23:16 31:24 7:0 HBISOFLUSH HBISOINERRI UNDERFIS IS S NBUSYBKS NBUSYBKS 15:8 23:16 31:24 7:0 15:8 23:16 31:24 © 2021 Microchip Technology Inc. and its subsidiaries 6 HBISOFLUSH HBISOINERRI UNDERFIS IS S NBUSYBKS NAKINIS NAKOUTIS RXSTPIS NBUSYBKS Complete Datasheet DS60001527F-page 740 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x01A8 USBHS_DEVEPTIF R6 (ISOENPT) 0x01AC USBHS_DEVEPTIF R7 0x01AC USBHS_DEVEPTIF R7 (ISOENPT) 0x01B0 USBHS_DEVEPTIF R8 0x01B0 USBHS_DEVEPTIF R8 (ISOENPT) 0x01B4 ... 0x01BF Reserved Bit Pos. 7:0 SHORTPACK STALLEDIS ETS OVERFIS SHORTPACK CRCERRIS ETS OVERFIS SHORTPACK STALLEDIS ETS OVERFIS HBISOFLUSH HBISOINERRI UNDERFIS IS S NAKINIS NAKOUTIS NAKINIS NAKOUTIS OVERFIS 0x01C4 SHORTPACK ETE STALLEDE OVERFE NAKINE FIFOCON KILLBK NBUSYBKE SHORTPACK ETE 0x01C4 USBHS_DEVEPTIM R1 (ISOENPT) SHORTPACK ETE 15:8 23:16 31:24 7:0 SHORTPACK ETE 15:8 0x01C8 USBHS_DEVEPTIM R2 SHORTPACK ETE 15:8 23:16 31:24 © 2021 Microchip Technology Inc. and its subsidiaries 1 0 RXOUTIS TXINIS RXOUTIS TXINIS RXOUTIS TXINIS RXOUTIS TXINIS RXOUTIS TXINIS CRCERRE OVERFE FIFOCON KILLBK NAKOUTE RXSTPE RXOUTE TXINE STALLRQ RSTDT NYETDIS EPDISHDMA UNDERFE RXOUTE TXINE HBISOFLUSH HBISOINERR E E ERRORTRAN SE RSTDT NBUSYBKE STALLEDE OVERFE NAKINE FIFOCON KILLBK NBUSYBKE CRCERRE OVERFE FIFOCON KILLBK RXOUTE TXINE STALLRQ RSTDT NYETDIS EPDISHDMA UNDERFE RXOUTE TXINE DATAXE MDATAE ERRORTRAN SE RSTDT NBUSYBKE OVERFE NAKINE FIFOCON KILLBK NBUSYBKE MDATAE EPDISHDMA RXSTPE HBISOFLUSH HBISOINERR E E STALLEDE DATAXE NAKOUTE 23:16 31:24 7:0 RXSTPIS HBISOFLUSH HBISOINERRI UNDERFIS IS S NBUSYBKS 23:16 31:24 USBHS_DEVEPTIM R1 RXSTPIS HBISOFLUSH HBISOINERRI UNDERFIS IS S NBUSYBKS SHORTPACK CRCERRIS ETS 15:8 7:0 2 NBUSYBKS 15:8 23:16 31:24 7:0 3 NBUSYBKS 15:8 23:16 31:24 7:0 4 NBUSYBKS 15:8 23:16 31:24 7:0 USBHS_DEVEPTIM R0 (ISOENPT) OVERFIS 15:8 23:16 31:24 7:0 0x01C0 SHORTPACK CRCERRIS ETS 5 15:8 23:16 31:24 7:0 0x01C0 6 15:8 23:16 31:24 7:0 USBHS_DEVEPTIM R0 7 EPDISHDMA NAKOUTE RXSTPE RXOUTE TXINE STALLRQ RSTDT NYETDIS EPDISHDMA Complete Datasheet DS60001527F-page 741 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset 0x01C8 Name Bit Pos. 7 6 5 7:0 SHORTPACK ETE CRCERRE OVERFE FIFOCON KILLBK USBHS_DEVEPTIM R2 (ISOENPT) 15:8 4 3 HBISOFLUSH HBISOINERR E E USBHS_DEVEPTIM 0x01CC R3 15:8 23:16 31:24 7:0 0x01CC USBHS_DEVEPTIM R3 (ISOENPT) SHORTPACK ETE SHORTPACK ETE 15:8 STALLEDE OVERFE NAKINE FIFOCON KILLBK NBUSYBKE CRCERRE OVERFE FIFOCON KILLBK 7:0 0x01D0 15:8 23:16 31:24 7:0 0x01D0 USBHS_DEVEPTIM R4 (ISOENPT) SHORTPACK ETE SHORTPACK ETE 15:8 NAKINE FIFOCON KILLBK NBUSYBKE CRCERRE OVERFE FIFOCON KILLBK 0x01D4 USBHS_DEVEPTIM R5 15:8 23:16 31:24 7:0 0x01D4 USBHS_DEVEPTIM R5 (ISOENPT) SHORTPACK ETE SHORTPACK ETE 15:8 NAKINE FIFOCON KILLBK NBUSYBKE CRCERRE OVERFE FIFOCON KILLBK 7:0 0x01D8 15:8 23:16 31:24 7:0 0x01D8 USBHS_DEVEPTIM R6 (ISOENPT) SHORTPACK ETE EPDISHDMA UNDERFE RXOUTE TXINE DATAXE MDATAE ERRORTRAN SE RSTDT RXSTPE RXOUTE TXINE STALLRQ RSTDT NYETDIS EPDISHDMA UNDERFE RXOUTE TXINE DATAXE MDATAE ERRORTRAN SE RSTDT SHORTPACK ETE 15:8 NAKINE FIFOCON KILLBK NBUSYBKE CRCERRE OVERFE FIFOCON KILLBK RXSTPE RXOUTE TXINE STALLRQ RSTDT NYETDIS EPDISHDMA UNDERFE RXOUTE TXINE DATAXE MDATAE ERRORTRAN SE RSTDT USBHS_DEVEPTIM 0x01DC R7 SHORTPACK ETE RXSTPE RXOUTE TXINE STALLRQ RSTDT NYETDIS EPDISHDMA UNDERFE RXOUTE TXINE DATAXE MDATAE HBISOFLUSH HBISOINERR E E ERRORTRAN SE RSTDT NBUSYBKE 15:8 23:16 31:24 © 2021 Microchip Technology Inc. and its subsidiaries STALLEDE OVERFE NAKINE FIFOCON KILLBK NBUSYBKE EPDISHDMA NAKOUTE 23:16 31:24 7:0 EPDISHDMA NAKOUTE NBUSYBKE OVERFE EPDISHDMA NAKOUTE HBISOFLUSH HBISOINERR E E STALLEDE EPDISHDMA NYETDIS 23:16 31:24 USBHS_DEVEPTIM R6 MDATAE RSTDT NBUSYBKE OVERFE DATAXE STALLRQ HBISOFLUSH HBISOINERR E E STALLEDE TXINE TXINE 23:16 31:24 7:0 RXOUTE RXOUTE NBUSYBKE OVERFE UNDERFE RXSTPE HBISOFLUSH HBISOINERR E E STALLEDE 0 NAKOUTE 23:16 31:24 USBHS_DEVEPTIM R4 1 ERRORTRAN SE RSTDT NBUSYBKE 23:16 31:24 7:0 2 EPDISHDMA NAKOUTE RXSTPE RXOUTE TXINE STALLRQ RSTDT NYETDIS EPDISHDMA Complete Datasheet DS60001527F-page 742 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset 0x01DC Name Bit Pos. 7 6 5 7:0 SHORTPACK ETE CRCERRE OVERFE FIFOCON KILLBK USBHS_DEVEPTIM R7 (ISOENPT) 15:8 4 3 HBISOFLUSH HBISOINERR E E 0x01E0 USBHS_DEVEPTIM R8 15:8 23:16 31:24 7:0 0x01E0 USBHS_DEVEPTIM R8 (ISOENPT) SHORTPACK ETE SHORTPACK ETE 15:8 STALLEDE OVERFE NAKINE FIFOCON KILLBK NBUSYBKE CRCERRE OVERFE FIFOCON KILLBK UNDERFE RXOUTE TXINE DATAXE MDATAE EPDISHDMA RXSTPE RXOUTE TXINE STALLRQ RSTDT NYETDIS EPDISHDMA UNDERFE RXOUTE TXINE DATAXE MDATAE HBISOFLUSH HBISOINERR E E ERRORTRAN SE RSTDT NBUSYBKE EPDISHDMA Reserved 7:0 0x01F0 0 NAKOUTE 23:16 31:24 0x01E4 ... 0x01EF 1 ERRORTRAN SE RSTDT NBUSYBKE 23:16 31:24 7:0 2 USBHS_DEVEPTIE R0 15:8 SHORTPACK STALLEDES ETES FIFOCONS OVERFES NAKINES KILLBKS NBUSYBKES 23:16 NAKOUTES RXSTPES RXOUTES TXINES STALLRQS RSTDTS NYETDISS EPDISHDMA S RXOUTES TXINES DATAXES MDATAES 31:24 0x01F0 USBHS_DEVEPTIE R0 (ISOENPT) 7:0 SHORTPACK CRCERRES ETES 15:8 FIFOCONS OVERFES KILLBKS HBISOFLUSH HBISOINERR UNDERFES ES ES ERRORTRAN NBUSYBKES SES 23:16 EPDISHDMA S RSTDTS 31:24 7:0 0x01F4 USBHS_DEVEPTIE R1 15:8 SHORTPACK STALLEDES ETES FIFOCONS OVERFES NAKINES KILLBKS NBUSYBKES 23:16 NAKOUTES RXSTPES RXOUTES TXINES STALLRQS RSTDTS NYETDISS EPDISHDMA S RXOUTES TXINES 31:24 0x01F4 USBHS_DEVEPTIE R1 (ISOENPT) 7:0 SHORTPACK CRCERRES ETES 15:8 FIFOCONS OVERFES KILLBKS HBISOFLUSH HBISOINERR UNDERFES ES ES ERRORTRAN NBUSYBKES SES 23:16 DATAXES MDATAES EPDISHDMA S RSTDTS 31:24 7:0 0x01F8 USBHS_DEVEPTIE R2 15:8 SHORTPACK STALLEDES ETES FIFOCONS OVERFES NAKINES KILLBKS NBUSYBKES 23:16 NAKOUTES RXSTPES RXOUTES TXINES STALLRQS RSTDTS NYETDISS EPDISHDMA S RXOUTES TXINES DATAXES MDATAES 31:24 0x01F8 USBHS_DEVEPTIE R2 (ISOENPT) 7:0 SHORTPACK CRCERRES ETES 15:8 FIFOCONS OVERFES KILLBKS HBISOFLUSH HBISOINERR UNDERFES ES ES ERRORTRAN NBUSYBKES SES 23:16 RSTDTS EPDISHDMA S 31:24 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 743 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset 0x01FC Name Bit Pos. USBHS_DEVEPTIE R3 7 6 7:0 SHORTPACK STALLEDES ETES 15:8 FIFOCONS 5 4 3 2 1 0 OVERFES NAKINES NAKOUTES RXSTPES RXOUTES TXINES KILLBKS NBUSYBKES STALLRQS RSTDTS NYETDISS EPDISHDMA S RXOUTES TXINES DATAXES MDATAES 23:16 31:24 0x01FC USBHS_DEVEPTIE R3 (ISOENPT) 7:0 SHORTPACK CRCERRES ETES 15:8 FIFOCONS OVERFES KILLBKS HBISOFLUSH HBISOINERR UNDERFES ES ES ERRORTRAN NBUSYBKES SES 23:16 EPDISHDMA S RSTDTS 31:24 7:0 0x0200 USBHS_DEVEPTIE R4 15:8 SHORTPACK STALLEDES ETES FIFOCONS OVERFES NAKINES KILLBKS NBUSYBKES 23:16 NAKOUTES RXSTPES RXOUTES TXINES STALLRQS RSTDTS NYETDISS EPDISHDMA S RXOUTES TXINES DATAXES MDATAES 31:24 0x0200 USBHS_DEVEPTIE R4 (ISOENPT) 7:0 SHORTPACK CRCERRES ETES 15:8 FIFOCONS OVERFES KILLBKS HBISOFLUSH HBISOINERR UNDERFES ES ES ERRORTRAN NBUSYBKES SES 23:16 EPDISHDMA S RSTDTS 31:24 7:0 0x0204 USBHS_DEVEPTIE R5 15:8 SHORTPACK STALLEDES ETES FIFOCONS OVERFES NAKINES KILLBKS NBUSYBKES 23:16 NAKOUTES RXSTPES RXOUTES TXINES STALLRQS RSTDTS NYETDISS EPDISHDMA S RXOUTES TXINES DATAXES MDATAES 31:24 0x0204 USBHS_DEVEPTIE R5 (ISOENPT) 7:0 SHORTPACK CRCERRES ETES 15:8 FIFOCONS OVERFES KILLBKS HBISOFLUSH HBISOINERR UNDERFES ES ES ERRORTRAN NBUSYBKES SES 23:16 EPDISHDMA S RSTDTS 31:24 7:0 0x0208 USBHS_DEVEPTIE R6 15:8 SHORTPACK STALLEDES ETES FIFOCONS OVERFES NAKINES KILLBKS NBUSYBKES 23:16 NAKOUTES RXSTPES RXOUTES TXINES STALLRQS RSTDTS NYETDISS EPDISHDMA S RXOUTES TXINES DATAXES MDATAES 31:24 0x0208 USBHS_DEVEPTIE R6 (ISOENPT) 7:0 SHORTPACK CRCERRES ETES 15:8 FIFOCONS OVERFES KILLBKS HBISOFLUSH HBISOINERR UNDERFES ES ES ERRORTRAN NBUSYBKES SES 23:16 EPDISHDMA S RSTDTS 31:24 7:0 0x020C USBHS_DEVEPTIE R7 15:8 SHORTPACK STALLEDES ETES FIFOCONS 23:16 OVERFES NAKINES KILLBKS NBUSYBKES NAKOUTES RXSTPES RXOUTES TXINES STALLRQS RSTDTS NYETDISS EPDISHDMA S 31:24 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 744 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset 0x020C Name Bit Pos. USBHS_DEVEPTIE R7 (ISOENPT) 7 6 7:0 SHORTPACK CRCERRES ETES 15:8 FIFOCONS 5 OVERFES KILLBKS 4 3 2 HBISOFLUSH HBISOINERR UNDERFES ES ES ERRORTRAN SES NBUSYBKES 23:16 1 0 RXOUTES TXINES DATAXES MDATAES EPDISHDMA S RSTDTS 31:24 7:0 0x0210 USBHS_DEVEPTIE R8 15:8 SHORTPACK STALLEDES ETES FIFOCONS OVERFES NAKINES KILLBKS NBUSYBKES 23:16 NAKOUTES RXSTPES RXOUTES TXINES STALLRQS RSTDTS NYETDISS EPDISHDMA S RXOUTES TXINES DATAXES MDATAES 31:24 0x0210 USBHS_DEVEPTIE R8 (ISOENPT) 7:0 SHORTPACK CRCERRES ETES 15:8 FIFOCONS OVERFES KILLBKS HBISOFLUSH HBISOINERR UNDERFES ES ES ERRORTRAN NBUSYBKES SES 23:16 EPDISHDMA S RSTDTS 31:24 0x0214 ... 0x021F Reserved 7:0 0x0220 USBHS_DEVEPTID R0 15:8 SHORTPACK STALLEDEC ETEC FIFOCONC OVERFEC NAKINEC NAKOUTEC RXSTPEC RXOUTEC TXINEC NYETDISC EPDISHDMA C RXOUTEC TXINEC NBUSYBKEC 23:16 STALLRQC 31:24 0x0220 USBHS_DEVEPTID R0 (ISOENPT) 7:0 SHORTPACK CRCERREC ETEC 15:8 FIFOCONC OVERFEC HBISOFLUSH HBISOINERR UNDERFEC EC EC ERRORTRAN NBUSYBKEC SEC DATAXEC MDATEC EPDISHDMA C 23:16 31:24 7:0 0x0224 USBHS_DEVEPTID R1 15:8 SHORTPACK STALLEDEC ETEC FIFOCONC OVERFEC NAKINEC NAKOUTEC RXSTPEC RXOUTEC TXINEC NYETDISC EPDISHDMA C RXOUTEC TXINEC DATAXEC MDATEC NBUSYBKEC 23:16 STALLRQC 31:24 0x0224 USBHS_DEVEPTID R1 (ISOENPT) 7:0 SHORTPACK CRCERREC ETEC 15:8 FIFOCONC OVERFEC HBISOFLUSH HBISOINERR UNDERFEC EC EC ERRORTRAN NBUSYBKEC SEC EPDISHDMA C 23:16 31:24 7:0 0x0228 USBHS_DEVEPTID R2 15:8 SHORTPACK STALLEDEC ETEC FIFOCONC 23:16 OVERFEC NAKINEC NAKOUTEC RXSTPEC RXOUTEC TXINEC NYETDISC EPDISHDMA C NBUSYBKEC STALLRQC 31:24 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 745 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset 0x0228 Name Bit Pos. USBHS_DEVEPTID R2 (ISOENPT) 7 6 7:0 SHORTPACK CRCERREC ETEC 15:8 FIFOCONC 5 OVERFEC 4 3 2 HBISOFLUSH HBISOINERR UNDERFEC EC EC ERRORTRAN SEC NBUSYBKEC 1 0 RXOUTEC TXINEC DATAXEC MDATEC EPDISHDMA C 23:16 31:24 7:0 0x022C USBHS_DEVEPTID R3 15:8 SHORTPACK STALLEDEC ETEC FIFOCONC OVERFEC NAKINEC NAKOUTEC RXSTPEC RXOUTEC TXINEC NYETDISC EPDISHDMA C RXOUTEC TXINEC DATAXEC MDATEC NBUSYBKEC 23:16 STALLRQC 31:24 0x022C USBHS_DEVEPTID R3 (ISOENPT) 7:0 SHORTPACK CRCERREC ETEC 15:8 FIFOCONC OVERFEC HBISOFLUSH HBISOINERR UNDERFEC EC EC ERRORTRAN NBUSYBKEC SEC EPDISHDMA C 23:16 31:24 7:0 0x0230 USBHS_DEVEPTID R4 15:8 SHORTPACK STALLEDEC ETEC FIFOCONC OVERFEC NAKINEC NAKOUTEC RXSTPEC RXOUTEC TXINEC NYETDISC EPDISHDMA C RXOUTEC TXINEC DATAXEC MDATEC NBUSYBKEC 23:16 STALLRQC 31:24 0x0230 USBHS_DEVEPTID R4 (ISOENPT) 7:0 SHORTPACK CRCERREC ETEC 15:8 FIFOCONC OVERFEC HBISOFLUSH HBISOINERR UNDERFEC EC EC ERRORTRAN NBUSYBKEC SEC EPDISHDMA C 23:16 31:24 7:0 0x0234 USBHS_DEVEPTID R5 15:8 SHORTPACK STALLEDEC ETEC FIFOCONC OVERFEC NAKINEC NAKOUTEC RXSTPEC RXOUTEC TXINEC NYETDISC EPDISHDMA C RXOUTEC TXINEC DATAXEC MDATEC NBUSYBKEC 23:16 STALLRQC 31:24 0x0234 USBHS_DEVEPTID R5 (ISOENPT) 7:0 SHORTPACK CRCERREC ETEC 15:8 FIFOCONC OVERFEC HBISOFLUSH HBISOINERR UNDERFEC EC EC ERRORTRAN NBUSYBKEC SEC EPDISHDMA C 23:16 31:24 7:0 0x0238 USBHS_DEVEPTID R6 15:8 SHORTPACK STALLEDEC ETEC FIFOCONC OVERFEC NAKINEC NAKOUTEC RXSTPEC RXOUTEC TXINEC NYETDISC EPDISHDMA C RXOUTEC TXINEC DATAXEC MDATEC NBUSYBKEC 23:16 STALLRQC 31:24 0x0238 USBHS_DEVEPTID R6 (ISOENPT) 7:0 SHORTPACK CRCERREC ETEC 15:8 FIFOCONC OVERFEC HBISOFLUSH HBISOINERR UNDERFEC EC EC ERRORTRAN NBUSYBKEC SEC EPDISHDMA C 23:16 31:24 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 746 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset 0x023C Name Bit Pos. USBHS_DEVEPTID R7 7 6 7:0 SHORTPACK STALLEDEC ETEC 15:8 FIFOCONC 5 4 3 2 1 0 OVERFEC NAKINEC NAKOUTEC RXSTPEC RXOUTEC TXINEC NYETDISC EPDISHDMA C RXOUTEC TXINEC DATAXEC MDATEC NBUSYBKEC 23:16 STALLRQC 31:24 0x023C USBHS_DEVEPTID R7 (ISOENPT) 7:0 SHORTPACK CRCERREC ETEC 15:8 FIFOCONC OVERFEC HBISOFLUSH HBISOINERR UNDERFEC EC EC ERRORTRAN NBUSYBKEC SEC EPDISHDMA C 23:16 31:24 7:0 0x0240 USBHS_DEVEPTID R8 15:8 SHORTPACK STALLEDEC ETEC FIFOCONC OVERFEC NAKINEC NAKOUTEC RXSTPEC RXOUTEC TXINEC NYETDISC EPDISHDMA C RXOUTEC TXINEC DATAXEC MDATEC NBUSYBKEC 23:16 STALLRQC 31:24 0x0240 USBHS_DEVEPTID R8 (ISOENPT) 7:0 SHORTPACK CRCERREC ETEC 15:8 FIFOCONC OVERFEC HBISOFLUSH HBISOINERR UNDERFEC EC EC ERRORTRAN NBUSYBKEC SEC EPDISHDMA C 23:16 31:24 0x0244 ... 0x02FF Reserved 0x0300 USBHS_DEVDMAN XTDSC1 0x0304 USBHS_DEVDMAA DDRESS1 0x0308 USBHS_DEVDMAC ONTROL1 0x030C USBHS_DEVDMAS TATUS1 0x0310 USBHS_DEVDMAN XTDSC2 0x0314 USBHS_DEVDMAA DDRESS2 0x0318 USBHS_DEVDMAC ONTROL2 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 NXT_DSC_ADD[7:0] NXT_DSC_ADD[15:8] NXT_DSC_ADD[23:16] NXT_DSC_ADD[31:24] BUFF_ADD[7:0] BUFF_ADD[15:8] BUFF_ADD[23:16] BUFF_ADD[31:24] BURST_LCK DESC_LD_IT END_BUFFIT END_TR_IT END_B_EN BUFF_LENGTH[7:0] BUFF_LENGTH[15:8] DESC_LDST END_BF_ST END_TR_ST BUFF_COUNT[7:0] BUFF_COUNT[15:8] NXT_DSC_ADD[7:0] NXT_DSC_ADD[15:8] NXT_DSC_ADD[23:16] NXT_DSC_ADD[31:24] BUFF_ADD[7:0] BUFF_ADD[15:8] BUFF_ADD[23:16] BUFF_ADD[31:24] BURST_LCK DESC_LD_IT END_BUFFIT END_TR_IT END_B_EN © 2021 Microchip Technology Inc. and its subsidiaries END_TR_EN LDNXT_DSC CHANN_ENB CHANN_ACT CHANN_ENB END_TR_EN LDNXT_DSC CHANN_ENB BUFF_LENGTH[7:0] BUFF_LENGTH[15:8] Complete Datasheet DS60001527F-page 747 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x031C USBHS_DEVDMAS TATUS2 0x0320 USBHS_DEVDMAN XTDSC3 0x0324 USBHS_DEVDMAA DDRESS3 0x0328 USBHS_DEVDMAC ONTROL3 0x032C USBHS_DEVDMAS TATUS3 0x0330 USBHS_DEVDMAN XTDSC4 0x0334 USBHS_DEVDMAA DDRESS4 0x0338 USBHS_DEVDMAC ONTROL4 0x033C USBHS_DEVDMAS TATUS4 0x0340 USBHS_DEVDMAN XTDSC5 0x0344 USBHS_DEVDMAA DDRESS5 0x0348 USBHS_DEVDMAC ONTROL5 0x034C USBHS_DEVDMAS TATUS5 0x0350 USBHS_DEVDMAN XTDSC6 Bit Pos. 7 5 4 3 7:0 15:8 DESC_LDST END_BF_ST END_TR_ST 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 BUFF_COUNT[7:0] BUFF_COUNT[15:8] NXT_DSC_ADD[7:0] NXT_DSC_ADD[15:8] NXT_DSC_ADD[23:16] NXT_DSC_ADD[31:24] BUFF_ADD[7:0] BUFF_ADD[15:8] BUFF_ADD[23:16] BUFF_ADD[31:24] BURST_LCK DESC_LD_IT END_BUFFIT END_TR_IT END_B_EN BUFF_LENGTH[7:0] BUFF_LENGTH[15:8] DESC_LDST END_BF_ST END_TR_ST BUFF_COUNT[7:0] BUFF_COUNT[15:8] NXT_DSC_ADD[7:0] NXT_DSC_ADD[15:8] NXT_DSC_ADD[23:16] NXT_DSC_ADD[31:24] BUFF_ADD[7:0] BUFF_ADD[15:8] BUFF_ADD[23:16] BUFF_ADD[31:24] BURST_LCK DESC_LD_IT END_BUFFIT END_TR_IT END_B_EN BUFF_LENGTH[7:0] BUFF_LENGTH[15:8] DESC_LDST END_BF_ST END_TR_ST BUFF_COUNT[7:0] BUFF_COUNT[15:8] NXT_DSC_ADD[7:0] NXT_DSC_ADD[15:8] NXT_DSC_ADD[23:16] NXT_DSC_ADD[31:24] BUFF_ADD[7:0] BUFF_ADD[15:8] BUFF_ADD[23:16] BUFF_ADD[31:24] BURST_LCK DESC_LD_IT END_BUFFIT END_TR_IT END_B_EN © 2021 Microchip Technology Inc. and its subsidiaries 6 BUFF_LENGTH[7:0] BUFF_LENGTH[15:8] DESC_LDST END_BF_ST END_TR_ST 2 1 0 CHANN_ACT CHANN_ENB END_TR_EN LDNXT_DSC CHANN_ENB CHANN_ACT CHANN_ENB END_TR_EN LDNXT_DSC CHANN_ENB CHANN_ACT CHANN_ENB END_TR_EN LDNXT_DSC CHANN_ENB CHANN_ACT CHANN_ENB BUFF_COUNT[7:0] BUFF_COUNT[15:8] NXT_DSC_ADD[7:0] NXT_DSC_ADD[15:8] NXT_DSC_ADD[23:16] NXT_DSC_ADD[31:24] Complete Datasheet DS60001527F-page 748 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x0354 USBHS_DEVDMAA DDRESS6 0x0358 USBHS_DEVDMAC ONTROL6 0x035C USBHS_DEVDMAS TATUS6 0x0360 USBHS_DEVDMAN XTDSC7 0x0364 USBHS_DEVDMAA DDRESS7 0x0368 USBHS_DEVDMAC ONTROL7 0x036C USBHS_DEVDMAS TATUS7 0x0370 ... 0x03FF Reserved 0x0400 0x0404 0x0408 0x040C 0x0410 0x0414 0x0418 Bit Pos. USBHS_HSTCTRL USBHS_HSTISR USBHS_HSTICR USBHS_HSTIFR USBHS_HSTIMR USBHS_HSTIDR USBHS_HSTIER 7 5 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 4 3 2 1 0 BUFF_ADD[7:0] BUFF_ADD[15:8] BUFF_ADD[23:16] BUFF_ADD[31:24] BURST_LCK DESC_LD_IT END_BUFFIT END_TR_IT END_B_EN END_TR_EN LDNXT_DSC CHANN_ENB BUFF_LENGTH[7:0] BUFF_LENGTH[15:8] DESC_LDST END_BF_ST END_TR_ST BUFF_COUNT[7:0] BUFF_COUNT[15:8] NXT_DSC_ADD[7:0] NXT_DSC_ADD[15:8] NXT_DSC_ADD[23:16] NXT_DSC_ADD[31:24] BUFF_ADD[7:0] BUFF_ADD[15:8] BUFF_ADD[23:16] BUFF_ADD[31:24] BURST_LCK DESC_LD_IT END_BUFFIT END_TR_IT END_B_EN CHANN_ACT CHANN_ENB END_TR_EN LDNXT_DSC CHANN_ENB BUFF_LENGTH[7:0] BUFF_LENGTH[15:8] DESC_LDST END_BF_ST END_TR_ST CHANN_ACT CHANN_ENB BUFF_COUNT[7:0] BUFF_COUNT[15:8] SPDCONF[1:0] RSMEDI PEP_3 RSTI PEP_2 DCONNI PEP_0 PEP_8 DCONNIC DCONNIS DMA_4 DMA_3 DMA_2 HSOFIC RXRSMIC RSMEDIC DMA_1 RSTIC HWUPIS HSOFIS RXRSMIS RSMEDIS RSTIS DDISCIS DMA_5 HWUPIE PEP_6 DMA_4 HSOFIE PEP_5 DMA_3 RXRSMIE PEP_4 DMA_2 RSMEDIE PEP_3 DMA_1 RSTIE PEP_2 DMA_5 HWUPIEC PEP_6 DMA_4 HSOFIEC PEP_5 DMA_3 RXRSMIEC PEP_4 DMA_2 RSMEDIEC PEP_3 DMA_1 RSTIEC PEP_2 PEP_7 DMA_5 HWUPIES PEP_6 DMA_4 HSOFIES PEP_5 DMA_3 RXRSMIES PEP_4 DMA_2 RSMEDIES PEP_3 DMA_1 RSTIES PEP_2 DMA_6 DMA_5 DMA_4 DMA_3 DMA_2 DMA_1 DMA_0 DDISCIE PEP_1 PEP_9 DMA_0 DDISCIEC PEP_1 PEP_9 DMA_0 DDISCIES PEP_1 PEP_9 DMA_0 PEP_7 DMA_6 PEP_7 DMA_6 RXRSMI PEP_4 SOFE DMA_5 DMA_6 HSOFI PEP_5 RESET HWUPIC DMA_6 HWUPI PEP_6 RESUME DDISCI PEP_1 PEP_9 DMA_0 DDISCIC PEP_7 © 2021 Microchip Technology Inc. and its subsidiaries 6 Complete Datasheet DCONNIE PEP_0 PEP_8 DCONNIEC PEP_0 PEP_8 DCONNIES PEP_0 PEP_8 DS60001527F-page 749 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x041C USBHS_HSTPIP 0x0420 USBHS_HSTFNUM 0x0424 USBHS_HSTADDR 1 0x0428 USBHS_HSTADDR 2 0x042C USBHS_HSTADDR 3 0x0430 ... 0x04FF Reserved 0x0500 USBHS_HSTPIPCF G0 0x0500 USBHS_HSTPIPCF G0 (HSBOHSCP) 0x0504 USBHS_HSTPIPCF G1 0x0504 USBHS_HSTPIPCF G1 (HSBOHSCP) 0x0508 USBHS_HSTPIPCF G2 0x0508 USBHS_HSTPIPCF G2 (HSBOHSCP) 0x050C USBHS_HSTPIPCF G3 0x050C USBHS_HSTPIPCF G3 (HSBOHSCP) 0x0510 USBHS_HSTPIPCF G4 Bit Pos. 7 6 5 4 3 2 1 0 7:0 15:8 PEN7 PEN6 PEN5 PEN4 PEN3 PEN2 PEN1 PEN0 PEN8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 PRST7 PRST6 PRST5 PRST4 PRST3 PRST2 PRST1 PRST0 PRST8 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 © 2021 Microchip Technology Inc. and its subsidiaries FNUM[4:0] MFNUM[2:0] FNUM[10:5] FLENHIGH[7:0] HSTADDRP0[6:0] HSTADDRP1[6:0] HSTADDRP2[6:0] HSTADDRP3[6:0] HSTADDRP4[6:0] HSTADDRP5[6:0] HSTADDRP6[6:0] HSTADDRP7[6:0] HSTADDRP8[6:0] HSTADDRP9[6:0] PSIZE[2:0] PTYPE[1:0] PBK[1:0] ALLOC AUTOSW PTOKEN[1:0] PEPNUM[3:0] INTFRQ[7:0] PSIZE[2:0] PBK[1:0] ALLOC PTYPE[1:0] AUTOSW PTOKEN[1:0] PINGEN PEPNUM[3:0] BINTERVAL[7:0] PSIZE[2:0] PBK[1:0] ALLOC PTYPE[1:0] AUTOSW PTOKEN[1:0] PEPNUM[3:0] INTFRQ[7:0] PSIZE[2:0] PBK[1:0] ALLOC PTYPE[1:0] AUTOSW PTOKEN[1:0] PINGEN PEPNUM[3:0] BINTERVAL[7:0] PSIZE[2:0] PBK[1:0] ALLOC PTYPE[1:0] AUTOSW PTOKEN[1:0] PEPNUM[3:0] INTFRQ[7:0] PSIZE[2:0] PBK[1:0] ALLOC PTYPE[1:0] AUTOSW PTOKEN[1:0] PINGEN PEPNUM[3:0] BINTERVAL[7:0] PSIZE[2:0] PBK[1:0] ALLOC PTYPE[1:0] AUTOSW PTOKEN[1:0] PEPNUM[3:0] INTFRQ[7:0] PSIZE[2:0] PBK[1:0] ALLOC PTYPE[1:0] AUTOSW PTOKEN[1:0] PINGEN PEPNUM[3:0] BINTERVAL[7:0] PSIZE[2:0] PBK[1:0] ALLOC PTYPE[1:0] AUTOSW PTOKEN[1:0] PEPNUM[3:0] INTFRQ[7:0] Complete Datasheet DS60001527F-page 750 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x0510 USBHS_HSTPIPCF G4 (HSBOHSCP) 0x0514 USBHS_HSTPIPCF G5 0x0514 USBHS_HSTPIPCF G5 (HSBOHSCP) 0x0518 USBHS_HSTPIPCF G6 0x0518 USBHS_HSTPIPCF G6 (HSBOHSCP) 0x051C USBHS_HSTPIPCF G7 0x051C USBHS_HSTPIPCF G7 (HSBOHSCP) 0x0520 USBHS_HSTPIPCF G8 0x0520 USBHS_HSTPIPCF G8 (HSBOHSCP) 0x0524 ... 0x052F Reserved Bit Pos. 7:0 15:8 0x0530 15:8 23:16 31:24 7:0 0x0530 USBHS_HSTPIPIS R0 (INTPIPES) 15:8 23:16 31:24 7:0 0x0530 USBHS_HSTPIPIS R0 (ISOPIPES) 15:8 23:16 31:24 7:0 0x0534 USBHS_HSTPIPIS R1 15:8 23:16 31:24 5 4 3 2 PBK[1:0] AUTOSW PINGEN BINTERVAL[7:0] PSIZE[2:0] PTYPE[1:0] 1 0 ALLOC PTOKEN[1:0] PEPNUM[3:0] PBK[1:0] ALLOC AUTOSW PTOKEN[1:0] PEPNUM[3:0] INTFRQ[7:0] PSIZE[2:0] PBK[1:0] ALLOC PTYPE[1:0] AUTOSW PTOKEN[1:0] PINGEN PEPNUM[3:0] BINTERVAL[7:0] PSIZE[2:0] PBK[1:0] ALLOC PTYPE[1:0] AUTOSW PTOKEN[1:0] PEPNUM[3:0] INTFRQ[7:0] PSIZE[2:0] PBK[1:0] ALLOC PTYPE[1:0] AUTOSW PTOKEN[1:0] PINGEN PEPNUM[3:0] BINTERVAL[7:0] PSIZE[2:0] PBK[1:0] ALLOC PTYPE[1:0] AUTOSW PTOKEN[1:0] PEPNUM[3:0] INTFRQ[7:0] PSIZE[2:0] PBK[1:0] ALLOC PTYPE[1:0] AUTOSW PTOKEN[1:0] PINGEN PEPNUM[3:0] BINTERVAL[7:0] PSIZE[2:0] PBK[1:0] ALLOC PTYPE[1:0] AUTOSW PTOKEN[1:0] PEPNUM[3:0] INTFRQ[7:0] PSIZE[2:0] PBK[1:0] ALLOC PTYPE[1:0] AUTOSW PTOKEN[1:0] PINGEN PEPNUM[3:0] BINTERVAL[7:0] SHORTPACK RXSTALLDI OVERFI NAKEDI ETI CURRBK[1:0] NBUSYBK[1:0] PBYCT[3:0] PERRI TXSTPI CFGOK TXOUTI RXINI DTSEQ[1:0] RWALL PBYCT[10:4] SHORTPACK RXSTALLDI OVERFI NAKEDI ETI CURRBK[1:0] NBUSYBK[1:0] PBYCT[3:0] PERRI UNDERFI CFGOK TXOUTI RXINI DTSEQ[1:0] RWALL PBYCT[10:4] SHORTPACK CRCERRI OVERFI NAKEDI ETI CURRBK[1:0] NBUSYBK[1:0] PBYCT[3:0] PERRI UNDERFI CFGOK TXOUTI RXINI DTSEQ[1:0] RWALL PBYCT[10:4] SHORTPACK RXSTALLDI OVERFI NAKEDI ETI CURRBK[1:0] NBUSYBK[1:0] PBYCT[3:0] © 2021 Microchip Technology Inc. and its subsidiaries 6 PSIZE[2:0] PTYPE[1:0] 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 USBHS_HSTPIPIS R0 7 PERRI TXSTPI CFGOK TXOUTI RXINI DTSEQ[1:0] RWALL PBYCT[10:4] Complete Datasheet DS60001527F-page 751 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x0534 USBHS_HSTPIPIS R1 (INTPIPES) Bit Pos. 7:0 0x0534 15:8 23:16 31:24 7:0 0x0538 USBHS_HSTPIPIS R2 15:8 23:16 31:24 7:0 0x0538 USBHS_HSTPIPIS R2 (INTPIPES) 15:8 23:16 31:24 7:0 0x0538 USBHS_HSTPIPIS R2 (ISOPIPES) 15:8 23:16 31:24 7:0 0x053C USBHS_HSTPIPIS R3 15:8 23:16 31:24 7:0 0x053C USBHS_HSTPIPIS R3 (INTPIPES) 15:8 23:16 31:24 7:0 0x053C USBHS_HSTPIPIS R3 (ISOPIPES) 15:8 23:16 31:24 7:0 0x0540 USBHS_HSTPIPIS R4 15:8 23:16 31:24 7:0 0x0540 USBHS_HSTPIPIS R4 (INTPIPES) 15:8 23:16 31:24 7:0 0x0540 USBHS_HSTPIPIS R4 (ISOPIPES) 15:8 23:16 31:24 5 4 3 2 1 0 OVERFI NAKEDI PERRI UNDERFI TXOUTI RXINI CURRBK[1:0] NBUSYBK[1:0] PBYCT[3:0] CFGOK DTSEQ[1:0] RWALL PBYCT[10:4] SHORTPACK CRCERRI OVERFI NAKEDI ETI CURRBK[1:0] NBUSYBK[1:0] PBYCT[3:0] PERRI UNDERFI CFGOK TXOUTI RXINI DTSEQ[1:0] RWALL PBYCT[10:4] SHORTPACK RXSTALLDI OVERFI NAKEDI ETI CURRBK[1:0] NBUSYBK[1:0] PBYCT[3:0] PERRI TXSTPI CFGOK TXOUTI RXINI DTSEQ[1:0] RWALL PBYCT[10:4] SHORTPACK RXSTALLDI OVERFI NAKEDI ETI CURRBK[1:0] NBUSYBK[1:0] PBYCT[3:0] PERRI UNDERFI CFGOK TXOUTI RXINI DTSEQ[1:0] RWALL PBYCT[10:4] SHORTPACK CRCERRI OVERFI NAKEDI ETI CURRBK[1:0] NBUSYBK[1:0] PBYCT[3:0] PERRI UNDERFI CFGOK TXOUTI RXINI DTSEQ[1:0] RWALL PBYCT[10:4] SHORTPACK RXSTALLDI OVERFI NAKEDI ETI CURRBK[1:0] NBUSYBK[1:0] PBYCT[3:0] PERRI TXSTPI CFGOK TXOUTI RXINI DTSEQ[1:0] RWALL PBYCT[10:4] SHORTPACK RXSTALLDI OVERFI NAKEDI ETI CURRBK[1:0] NBUSYBK[1:0] PBYCT[3:0] PERRI UNDERFI CFGOK TXOUTI RXINI DTSEQ[1:0] RWALL PBYCT[10:4] SHORTPACK CRCERRI OVERFI NAKEDI ETI CURRBK[1:0] NBUSYBK[1:0] PBYCT[3:0] PERRI UNDERFI CFGOK TXOUTI RXINI DTSEQ[1:0] RWALL PBYCT[10:4] SHORTPACK RXSTALLDI OVERFI NAKEDI ETI CURRBK[1:0] NBUSYBK[1:0] PBYCT[3:0] PERRI TXSTPI CFGOK TXOUTI RXINI DTSEQ[1:0] RWALL PBYCT[10:4] SHORTPACK RXSTALLDI OVERFI NAKEDI ETI CURRBK[1:0] NBUSYBK[1:0] PBYCT[3:0] PERRI UNDERFI CFGOK TXOUTI RXINI DTSEQ[1:0] RWALL PBYCT[10:4] SHORTPACK CRCERRI OVERFI NAKEDI ETI CURRBK[1:0] NBUSYBK[1:0] PBYCT[3:0] © 2021 Microchip Technology Inc. and its subsidiaries 6 SHORTPACK RXSTALLDI ETI 15:8 23:16 31:24 7:0 USBHS_HSTPIPIS R1 (ISOPIPES) 7 PERRI UNDERFI CFGOK TXOUTI RXINI DTSEQ[1:0] RWALL PBYCT[10:4] Complete Datasheet DS60001527F-page 752 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x0544 USBHS_HSTPIPIS R5 Bit Pos. 7:0 0x0544 15:8 23:16 31:24 7:0 0x0544 USBHS_HSTPIPIS R5 (ISOPIPES) 15:8 23:16 31:24 7:0 0x0548 USBHS_HSTPIPIS R6 15:8 23:16 31:24 7:0 0x0548 USBHS_HSTPIPIS R6 (INTPIPES) 15:8 23:16 31:24 7:0 0x0548 USBHS_HSTPIPIS R6 (ISOPIPES) 15:8 23:16 31:24 7:0 0x054C USBHS_HSTPIPIS R7 15:8 23:16 31:24 7:0 0x054C USBHS_HSTPIPIS R7 (INTPIPES) 15:8 23:16 31:24 7:0 0x054C USBHS_HSTPIPIS R7 (ISOPIPES) 15:8 23:16 31:24 7:0 0x0550 USBHS_HSTPIPIS R8 15:8 23:16 31:24 7:0 0x0550 USBHS_HSTPIPIS R8 (INTPIPES) 15:8 23:16 31:24 5 4 3 2 1 0 OVERFI NAKEDI PERRI TXSTPI TXOUTI RXINI CURRBK[1:0] NBUSYBK[1:0] PBYCT[3:0] CFGOK DTSEQ[1:0] RWALL PBYCT[10:4] SHORTPACK RXSTALLDI OVERFI NAKEDI ETI CURRBK[1:0] NBUSYBK[1:0] PBYCT[3:0] PERRI UNDERFI CFGOK TXOUTI RXINI DTSEQ[1:0] RWALL PBYCT[10:4] SHORTPACK CRCERRI OVERFI NAKEDI ETI CURRBK[1:0] NBUSYBK[1:0] PBYCT[3:0] PERRI UNDERFI CFGOK TXOUTI RXINI DTSEQ[1:0] RWALL PBYCT[10:4] SHORTPACK RXSTALLDI OVERFI NAKEDI ETI CURRBK[1:0] NBUSYBK[1:0] PBYCT[3:0] PERRI TXSTPI CFGOK TXOUTI RXINI DTSEQ[1:0] RWALL PBYCT[10:4] SHORTPACK RXSTALLDI OVERFI NAKEDI ETI CURRBK[1:0] NBUSYBK[1:0] PBYCT[3:0] PERRI UNDERFI CFGOK TXOUTI RXINI DTSEQ[1:0] RWALL PBYCT[10:4] SHORTPACK CRCERRI OVERFI NAKEDI ETI CURRBK[1:0] NBUSYBK[1:0] PBYCT[3:0] PERRI UNDERFI CFGOK TXOUTI RXINI DTSEQ[1:0] RWALL PBYCT[10:4] SHORTPACK RXSTALLDI OVERFI NAKEDI ETI CURRBK[1:0] NBUSYBK[1:0] PBYCT[3:0] PERRI TXSTPI CFGOK TXOUTI RXINI DTSEQ[1:0] RWALL PBYCT[10:4] SHORTPACK RXSTALLDI OVERFI NAKEDI ETI CURRBK[1:0] NBUSYBK[1:0] PBYCT[3:0] PERRI UNDERFI CFGOK TXOUTI RXINI DTSEQ[1:0] RWALL PBYCT[10:4] SHORTPACK CRCERRI OVERFI NAKEDI ETI CURRBK[1:0] NBUSYBK[1:0] PBYCT[3:0] PERRI UNDERFI CFGOK TXOUTI RXINI DTSEQ[1:0] RWALL PBYCT[10:4] SHORTPACK RXSTALLDI OVERFI NAKEDI ETI CURRBK[1:0] NBUSYBK[1:0] PBYCT[3:0] PERRI TXSTPI CFGOK TXOUTI RXINI DTSEQ[1:0] RWALL PBYCT[10:4] SHORTPACK RXSTALLDI OVERFI NAKEDI ETI CURRBK[1:0] NBUSYBK[1:0] PBYCT[3:0] © 2021 Microchip Technology Inc. and its subsidiaries 6 SHORTPACK RXSTALLDI ETI 15:8 23:16 31:24 7:0 USBHS_HSTPIPIS R5 (INTPIPES) 7 PERRI UNDERFI CFGOK TXOUTI RXINI DTSEQ[1:0] RWALL PBYCT[10:4] Complete Datasheet DS60001527F-page 753 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x0550 USBHS_HSTPIPIS R8 (ISOPIPES) 0x0554 ... 0x055F Bit Pos. 7 6 5 4 3 2 1 0 7:0 SHORTPACK ETI CRCERRI OVERFI NAKEDI PERRI UNDERFI TXOUTI RXINI 15:8 23:16 31:24 USBHS_HSTPIPIC R0 USBHS_HSTPIPIC R0 (INTPIPES) USBHS_HSTPIPIC R0 (ISOPIPES) USBHS_HSTPIPIC R1 USBHS_HSTPIPIC R1 (INTPIPES) 0x0564 0x0568 0x0568 0x0568 0x056C RXINIC SHORTPACK RXSTALLDIC ETIC OVERFIC NAKEDIC UNDERFIC TXOUTIC RXINIC SHORTPACK CRCERRIC ETIC OVERFIC NAKEDIC UNDERFIC TXOUTIC RXINIC SHORTPACK RXSTALLDIC ETIC OVERFIC NAKEDIC TXSTPIC TXOUTIC RXINIC SHORTPACK RXSTALLDIC ETIC OVERFIC NAKEDIC UNDERFIC TXOUTIC RXINIC SHORTPACK CRCERRIC ETIC OVERFIC NAKEDIC UNDERFIC TXOUTIC RXINIC SHORTPACK RXSTALLDIC ETIC OVERFIC NAKEDIC TXSTPIC TXOUTIC RXINIC SHORTPACK RXSTALLDIC ETIC OVERFIC NAKEDIC UNDERFIC TXOUTIC RXINIC SHORTPACK CRCERRIC ETIC OVERFIC NAKEDIC UNDERFIC TXOUTIC RXINIC SHORTPACK RXSTALLDIC ETIC OVERFIC NAKEDIC TXSTPIC TXOUTIC RXINIC 15:8 23:16 31:24 7:0 USBHS_HSTPIPIC R3 TXOUTIC 15:8 23:16 31:24 7:0 USBHS_HSTPIPIC R2 (ISOPIPES) TXSTPIC 15:8 23:16 31:24 7:0 USBHS_HSTPIPIC R2 (INTPIPES) NAKEDIC 15:8 23:16 31:24 7:0 USBHS_HSTPIPIC R2 OVERFIC 15:8 23:16 31:24 7:0 USBHS_HSTPIPIC R1 (ISOPIPES) SHORTPACK RXSTALLDIC ETIC 15:8 23:16 31:24 7:0 0x0564 PBYCT[10:4] 15:8 23:16 31:24 7:0 0x0564 DTSEQ[1:0] RWALL 15:8 23:16 31:24 7:0 0x0560 CFGOK 15:8 23:16 31:24 7:0 0x0560 NBUSYBK[1:0] PBYCT[3:0] Reserved 7:0 0x0560 CURRBK[1:0] 15:8 23:16 31:24 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 754 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x056C USBHS_HSTPIPIC R3 (INTPIPES) 0x056C USBHS_HSTPIPIC R3 (ISOPIPES) 0x0570 USBHS_HSTPIPIC R4 0x0570 USBHS_HSTPIPIC R4 (INTPIPES) 0x0570 USBHS_HSTPIPIC R4 (ISOPIPES) 0x0574 USBHS_HSTPIPIC R5 0x0574 USBHS_HSTPIPIC R5 (INTPIPES) 0x0574 USBHS_HSTPIPIC R5 (ISOPIPES) 0x0578 USBHS_HSTPIPIC R6 0x0578 USBHS_HSTPIPIC R6 (INTPIPES) 0x0578 USBHS_HSTPIPIC R6 (ISOPIPES) Bit Pos. 7:0 7 5 4 SHORTPACK RXSTALLDIC ETIC OVERFIC SHORTPACK CRCERRIC ETIC 3 2 1 0 NAKEDIC UNDERFIC TXOUTIC RXINIC OVERFIC NAKEDIC UNDERFIC TXOUTIC RXINIC SHORTPACK RXSTALLDIC ETIC OVERFIC NAKEDIC TXSTPIC TXOUTIC RXINIC SHORTPACK RXSTALLDIC ETIC OVERFIC NAKEDIC UNDERFIC TXOUTIC RXINIC SHORTPACK CRCERRIC ETIC OVERFIC NAKEDIC UNDERFIC TXOUTIC RXINIC SHORTPACK RXSTALLDIC ETIC OVERFIC NAKEDIC TXSTPIC TXOUTIC RXINIC SHORTPACK RXSTALLDIC ETIC OVERFIC NAKEDIC UNDERFIC TXOUTIC RXINIC SHORTPACK CRCERRIC ETIC OVERFIC NAKEDIC UNDERFIC TXOUTIC RXINIC SHORTPACK RXSTALLDIC ETIC OVERFIC NAKEDIC TXSTPIC TXOUTIC RXINIC SHORTPACK RXSTALLDIC ETIC OVERFIC NAKEDIC UNDERFIC TXOUTIC RXINIC SHORTPACK CRCERRIC ETIC OVERFIC NAKEDIC UNDERFIC TXOUTIC RXINIC 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 © 2021 Microchip Technology Inc. and its subsidiaries 6 Complete Datasheet DS60001527F-page 755 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x057C USBHS_HSTPIPIC R7 0x057C USBHS_HSTPIPIC R7 (INTPIPES) 0x057C USBHS_HSTPIPIC R7 (ISOPIPES) 0x0580 USBHS_HSTPIPIC R8 0x0580 USBHS_HSTPIPIC R8 (INTPIPES) 0x0580 USBHS_HSTPIPIC R8 (ISOPIPES) 0x0584 ... 0x058F Reserved Bit Pos. 7:0 TXSTPIC TXOUTIC RXINIC SHORTPACK RXSTALLDIC ETIC OVERFIC NAKEDIC UNDERFIC TXOUTIC RXINIC SHORTPACK CRCERRIC ETIC OVERFIC NAKEDIC UNDERFIC TXOUTIC RXINIC SHORTPACK RXSTALLDIC ETIC OVERFIC NAKEDIC TXSTPIC TXOUTIC RXINIC SHORTPACK RXSTALLDIC ETIC OVERFIC NAKEDIC UNDERFIC TXOUTIC RXINIC SHORTPACK CRCERRIC ETIC OVERFIC NAKEDIC UNDERFIC TXOUTIC RXINIC SHORTPACK RXSTALLDIS ETIS OVERFIS NAKEDIS PERRIS TXSTPIS TXOUTIS RXINIS PERRIS UNDERFIS TXOUTIS RXINIS PERRIS UNDERFIS TXOUTIS RXINIS PERRIS UNDERFIS TXOUTIS RXINIS PERRIS UNDERFIS TXOUTIS RXINIS NBUSYBKS SHORTPACK RXSTALLDIS ETIS NAKEDIS NBUSYBKS SHORTPACK CRCERRIS ETIS OVERFIS NAKEDIS NBUSYBKS SHORTPACK RXSTALLDIS ETIS OVERFIS NAKEDIS NBUSYBKS SHORTPACK CRCERRIS ETIS 15:8 23:16 31:24 © 2021 Microchip Technology Inc. and its subsidiaries OVERFIS 15:8 23:16 31:24 7:0 0x0594 NAKEDIC 15:8 23:16 31:24 7:0 USBHS_HSTPIPIF R1 (ISOPIPES) OVERFIC 15:8 23:16 31:24 7:0 0x0594 0 15:8 23:16 31:24 7:0 USBHS_HSTPIPIF R1 (INTPIPES) 1 15:8 23:16 31:24 7:0 0x0590 2 15:8 23:16 31:24 7:0 USBHS_HSTPIPIF R0 (ISOPIPES) SHORTPACK RXSTALLDIC ETIC 3 15:8 23:16 31:24 7:0 0x0590 4 15:8 23:16 31:24 7:0 USBHS_HSTPIPIF R0 (INTPIPES) 5 15:8 23:16 31:24 7:0 0x0590 6 15:8 23:16 31:24 7:0 USBHS_HSTPIPIF Rx 7 OVERFIS NAKEDIS NBUSYBKS Complete Datasheet DS60001527F-page 756 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x0598 USBHS_HSTPIPIF R2 (INTPIPES) 0x0598 USBHS_HSTPIPIF R2 (ISOPIPES) 0x059C USBHS_HSTPIPIF R3 (INTPIPES) 0x059C USBHS_HSTPIPIF R3 (ISOPIPES) 0x05A0 USBHS_HSTPIPIF R4 (INTPIPES) 0x05A0 USBHS_HSTPIPIF R4 (ISOPIPES) 0x05A4 USBHS_HSTPIPIF R5 (INTPIPES) 0x05A4 USBHS_HSTPIPIF R5 (ISOPIPES) 0x05A8 USBHS_HSTPIPIF R6 (INTPIPES) 0x05A8 USBHS_HSTPIPIF R6 (ISOPIPES) 0x05AC USBHS_HSTPIPIF R7 (INTPIPES) Bit Pos. 7:0 7 SHORTPACK RXSTALLDIS ETIS 5 4 3 2 1 0 OVERFIS NAKEDIS PERRIS UNDERFIS TXOUTIS RXINIS PERRIS UNDERFIS TXOUTIS RXINIS PERRIS UNDERFIS TXOUTIS RXINIS PERRIS UNDERFIS TXOUTIS RXINIS PERRIS UNDERFIS TXOUTIS RXINIS PERRIS UNDERFIS TXOUTIS RXINIS PERRIS UNDERFIS TXOUTIS RXINIS PERRIS UNDERFIS TXOUTIS RXINIS PERRIS UNDERFIS TXOUTIS RXINIS PERRIS UNDERFIS TXOUTIS RXINIS PERRIS UNDERFIS TXOUTIS RXINIS 15:8 23:16 31:24 7:0 NBUSYBKS SHORTPACK CRCERRIS ETIS OVERFIS 15:8 23:16 31:24 7:0 SHORTPACK RXSTALLDIS ETIS OVERFIS SHORTPACK CRCERRIS ETIS OVERFIS SHORTPACK RXSTALLDIS ETIS OVERFIS SHORTPACK CRCERRIS ETIS OVERFIS SHORTPACK RXSTALLDIS ETIS OVERFIS SHORTPACK CRCERRIS ETIS OVERFIS SHORTPACK RXSTALLDIS ETIS OVERFIS NAKEDIS NBUSYBKS SHORTPACK CRCERRIS ETIS OVERFIS 15:8 23:16 31:24 7:0 NAKEDIS NBUSYBKS 15:8 23:16 31:24 7:0 NAKEDIS NBUSYBKS 15:8 23:16 31:24 7:0 NAKEDIS NBUSYBKS 15:8 23:16 31:24 7:0 NAKEDIS NBUSYBKS 15:8 23:16 31:24 7:0 NAKEDIS NBUSYBKS 15:8 23:16 31:24 7:0 NAKEDIS NBUSYBKS 15:8 23:16 31:24 7:0 NAKEDIS NBUSYBKS 15:8 23:16 31:24 7:0 NAKEDIS NBUSYBKS SHORTPACK RXSTALLDIS ETIS 15:8 23:16 31:24 © 2021 Microchip Technology Inc. and its subsidiaries 6 OVERFIS NAKEDIS NBUSYBKS Complete Datasheet DS60001527F-page 757 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x05AC USBHS_HSTPIPIF R7 (ISOPIPES) 0x05B0 USBHS_HSTPIPIF R8 (INTPIPES) 0x05B0 USBHS_HSTPIPIF R8 (ISOPIPES) 0x05B4 ... 0x05BF Reserved Bit Pos. 7:0 15:8 23:16 31:24 7:0 0x05C0 15:8 23:16 31:24 7:0 0x05C0 USBHS_HSTPIPIM R0 (ISOPIPES) 0x05C4 15:8 23:16 31:24 7:0 0x05C4 USBHS_HSTPIPIM R1 (INTPIPES) 15:8 23:16 31:24 7:0 0x05C4 USBHS_HSTPIPIM R1 (ISOPIPES) 0x05C8 15:8 23:16 31:24 7:0 0x05C8 USBHS_HSTPIPIM R2 (INTPIPES) SHORTPACK RXSTALLDIS ETIS SHORTPACK CRCERRIS ETIS 1 0 OVERFIS NAKEDIS PERRIS UNDERFIS TXOUTIS RXINIS PERRIS UNDERFIS TXOUTIS RXINIS PERRIS UNDERFIS TXOUTIS RXINIS PERRE TXSTPE TXOUTE RXINE RSTDT PFREEZE PDISHDMA UNDERFIE TXOUTE RXINE RSTDT PFREEZE PDISHDMA UNDERFIE TXOUTE RXINE RSTDT PFREEZE PDISHDMA TXSTPE TXOUTE RXINE RSTDT PFREEZE PDISHDMA UNDERFIE TXOUTE RXINE RSTDT PFREEZE PDISHDMA UNDERFIE TXOUTE RXINE RSTDT PFREEZE PDISHDMA TXSTPE TXOUTE RXINE RSTDT PFREEZE PDISHDMA UNDERFIE TXOUTE RXINE RSTDT PFREEZE PDISHDMA OVERFIS 15:8 23:16 31:24 NAKEDIS OVERFIS NAKEDIS NBUSYBKS SHORTPACK RXSTALLDE ETIE FIFOCON SHORTPACK RXSTALLDE ETIE FIFOCON SHORTPACK ETIE CRCERRE OVERFIE SHORTPACK RXSTALLDE ETIE FIFOCON SHORTPACK RXSTALLDE ETIE FIFOCON SHORTPACK ETIE CRCERRE OVERFIE SHORTPACK RXSTALLDE ETIE FIFOCON NAKEDE PERRE NBUSYBKE OVERFIE NAKEDE PERRE NBUSYBKE OVERFIE NAKEDE PERRE NBUSYBKE OVERFIE NAKEDE PERRE NBUSYBKE OVERFIE FIFOCON SHORTPACK RXSTALLDE ETIE FIFOCON NAKEDE NBUSYBKE FIFOCON © 2021 Microchip Technology Inc. and its subsidiaries 2 NBUSYBKS 15:8 23:16 31:24 7:0 USBHS_HSTPIPIM R2 3 NBUSYBKS 15:8 23:16 31:24 7:0 USBHS_HSTPIPIM R1 4 15:8 23:16 31:24 7:0 USBHS_HSTPIPIM R0 (INTPIPES) SHORTPACK CRCERRIS ETIS 5 15:8 23:16 31:24 7:0 0x05C0 6 15:8 23:16 31:24 7:0 USBHS_HSTPIPIM R0 7 NAKEDE PERRE NBUSYBKE OVERFIE NAKEDE PERRE NBUSYBKE OVERFIE NAKEDE PERRE NBUSYBKE Complete Datasheet DS60001527F-page 758 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x05C8 USBHS_HSTPIPIM R2 (ISOPIPES) Bit Pos. 7 6 5 4 3 2 1 0 7:0 SHORTPACK ETIE CRCERRE OVERFIE NAKEDE PERRE UNDERFIE TXOUTE RXINE RSTDT PFREEZE PDISHDMA TXSTPE TXOUTE RXINE RSTDT PFREEZE PDISHDMA UNDERFIE TXOUTE RXINE RSTDT PFREEZE PDISHDMA UNDERFIE TXOUTE RXINE RSTDT PFREEZE PDISHDMA TXSTPE TXOUTE RXINE RSTDT PFREEZE PDISHDMA UNDERFIE TXOUTE RXINE RSTDT PFREEZE PDISHDMA UNDERFIE TXOUTE RXINE RSTDT PFREEZE PDISHDMA TXSTPE TXOUTE RXINE RSTDT PFREEZE PDISHDMA UNDERFIE TXOUTE RXINE RSTDT PFREEZE PDISHDMA UNDERFIE TXOUTE RXINE RSTDT PFREEZE PDISHDMA TXSTPE TXOUTE RXINE RSTDT PFREEZE PDISHDMA 15:8 23:16 31:24 7:0 0x05CC USBHS_HSTPIPIM R3 15:8 23:16 31:24 7:0 0x05CC USBHS_HSTPIPIM R3 (INTPIPES) 15:8 23:16 31:24 7:0 0x05CC USBHS_HSTPIPIM R3 (ISOPIPES) 0x05D0 15:8 23:16 31:24 7:0 0x05D0 USBHS_HSTPIPIM R4 (INTPIPES) 15:8 23:16 31:24 7:0 0x05D0 USBHS_HSTPIPIM R4 (ISOPIPES) 0x05D4 15:8 23:16 31:24 7:0 0x05D4 USBHS_HSTPIPIM R5 (INTPIPES) 15:8 23:16 31:24 7:0 0x05D4 USBHS_HSTPIPIM R5 (ISOPIPES) 0x05D8 SHORTPACK ETIE 15:8 23:16 31:24 SHORTPACK RXSTALLDE ETIE FIFOCON SHORTPACK RXSTALLDE ETIE FIFOCON SHORTPACK ETIE OVERFIE CRCERRE SHORTPACK RXSTALLDE ETIE FIFOCON SHORTPACK RXSTALLDE ETIE FIFOCON SHORTPACK ETIE CRCERRE OVERFIE PERRE NAKEDE PERRE NBUSYBKE OVERFIE NAKEDE PERRE NBUSYBKE OVERFIE NAKEDE PERRE NBUSYBKE OVERFIE NAKEDE PERRE NBUSYBKE OVERFIE NAKEDE PERRE NBUSYBKE OVERFIE NAKEDE PERRE NBUSYBKE OVERFIE NAKEDE PERRE NBUSYBKE OVERFIE FIFOCON SHORTPACK RXSTALLDE ETIE FIFOCON NAKEDE NBUSYBKE FIFOCON © 2021 Microchip Technology Inc. and its subsidiaries CRCERRE NBUSYBKE FIFOCON 15:8 23:16 31:24 7:0 USBHS_HSTPIPIM R6 SHORTPACK RXSTALLDE ETIE FIFOCON 15:8 23:16 31:24 7:0 USBHS_HSTPIPIM R5 SHORTPACK RXSTALLDE ETIE FIFOCON 15:8 23:16 31:24 7:0 USBHS_HSTPIPIM R4 FIFOCON NAKEDE PERRE NBUSYBKE OVERFIE NAKEDE PERRE NBUSYBKE Complete Datasheet DS60001527F-page 759 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x05D8 USBHS_HSTPIPIM R6 (INTPIPES) Bit Pos. 7:0 0x05D8 0x05DC 15:8 23:16 31:24 7:0 0x05DC USBHS_HSTPIPIM R7 (INTPIPES) 15:8 23:16 31:24 7:0 0x05DC USBHS_HSTPIPIM R7 (ISOPIPES) 0x05E0 15:8 23:16 31:24 7:0 0x05E0 USBHS_HSTPIPIM R8 (INTPIPES) 15:8 23:16 31:24 7:0 0x05E0 0x05E4 ... 0x05EF USBHS_HSTPIPIM R8 (ISOPIPES) USBHS_HSTPIPIE R0 2 1 0 OVERFIE NAKEDE PERRE UNDERFIE TXOUTE RXINE RSTDT PFREEZE PDISHDMA CRCERRE UNDERFIE TXOUTE RXINE RSTDT PFREEZE PDISHDMA TXSTPE TXOUTE RXINE RSTDT PFREEZE PDISHDMA UNDERFIE TXOUTE RXINE RSTDT PFREEZE PDISHDMA UNDERFIE TXOUTE RXINE RSTDT PFREEZE PDISHDMA TXSTPE TXOUTE RXINE RSTDT PFREEZE PDISHDMA UNDERFIE TXOUTE RXINE RSTDT PFREEZE PDISHDMA UNDERFIE TXOUTE RXINE RSTDT PFREEZE PDISHDMA TXSTPES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS UNDERFIES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS UNDERFIES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS NBUSYBKE OVERFIE SHORTPACK RXSTALLDE ETIE FIFOCON SHORTPACK RXSTALLDE ETIE FIFOCON SHORTPACK ETIE CRCERRE OVERFIE SHORTPACK RXSTALLDE ETIE FIFOCON SHORTPACK ETIE CRCERRE PERRE NAKEDE PERRE NBUSYBKE OVERFIE NAKEDE PERRE NBUSYBKE OVERFIE FIFOCON SHORTPACK RXSTALLDE ETIE FIFOCON NAKEDE NBUSYBKE NAKEDE PERRE NBUSYBKE OVERFIE NAKEDE PERRE NBUSYBKE OVERFIE NAKEDE PERRE NBUSYBKE OVERFIE FIFOCON NAKEDE PERRE NBUSYBKE USBHS_HSTPIPIE R0 (INTPIPES) USBHS_HSTPIPIE R0 (ISOPIPES) SHORTPACK RXSTALLDES OVERFIES ETIES 15:8 23:16 31:24 SHORTPACK RXSTALLDES OVERFIES ETIES PERRES NAKEDES PERRES NBUSYBKES SHORTPACK CRCERRES ETIES 15:8 23:16 31:24 © 2021 Microchip Technology Inc. and its subsidiaries NAKEDES NBUSYBKES 15:8 23:16 31:24 7:0 0x05F0 3 Reserved 7:0 0x05F0 4 FIFOCON 15:8 23:16 31:24 7:0 0x05F0 SHORTPACK ETIE 15:8 23:16 31:24 7:0 USBHS_HSTPIPIM R8 5 FIFOCON 15:8 23:16 31:24 7:0 USBHS_HSTPIPIM R7 6 SHORTPACK RXSTALLDE ETIE 15:8 23:16 31:24 7:0 USBHS_HSTPIPIM R6 (ISOPIPES) 7 OVERFIES NAKEDES PERRES NBUSYBKES Complete Datasheet DS60001527F-page 760 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x05F4 USBHS_HSTPIPIE R1 Bit Pos. 7:0 0x05F4 0x05F4 0x05F8 0x05F8 0x05F8 0x05FC 0x05FC 0x05FC 0x0600 0x0600 SHORTPACK RXSTALLDES OVERFIES ETIES SHORTPACK RXSTALLDES OVERFIES ETIES 0 NAKEDES PERRES TXSTPES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS UNDERFIES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS UNDERFIES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS TXSTPES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS UNDERFIES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS UNDERFIES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS TXSTPES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS UNDERFIES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS UNDERFIES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS TXSTPES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS UNDERFIES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS NAKEDES PERRES NAKEDES PERRES NAKEDES PERRES NAKEDES PERRES NBUSYBKES SHORTPACK CRCERRES ETIES OVERFIES NAKEDES PERRES NBUSYBKES SHORTPACK RXSTALLDES OVERFIES ETIES NAKEDES PERRES NBUSYBKES SHORTPACK RXSTALLDES OVERFIES ETIES NAKEDES PERRES NBUSYBKES SHORTPACK CRCERRES ETIES OVERFIES NAKEDES PERRES NBUSYBKES SHORTPACK RXSTALLDES OVERFIES ETIES NAKEDES PERRES NBUSYBKES SHORTPACK RXSTALLDES OVERFIES ETIES 15:8 23:16 31:24 © 2021 Microchip Technology Inc. and its subsidiaries 1 NBUSYBKES 15:8 23:16 31:24 7:0 USBHS_HSTPIPIE R4 (INTPIPES) 2 NBUSYBKES 15:8 23:16 31:24 7:0 USBHS_HSTPIPIE R4 OVERFIES 15:8 23:16 31:24 7:0 USBHS_HSTPIPIE R3 (ISOPIPES) SHORTPACK CRCERRES ETIES 15:8 23:16 31:24 7:0 USBHS_HSTPIPIE R3 (INTPIPES) 3 NBUSYBKES 15:8 23:16 31:24 7:0 USBHS_HSTPIPIE R3 SHORTPACK RXSTALLDES OVERFIES ETIES 15:8 23:16 31:24 7:0 USBHS_HSTPIPIE R2 (ISOPIPES) 4 NBUSYBKES 15:8 23:16 31:24 7:0 USBHS_HSTPIPIE R2 (INTPIPES) SHORTPACK RXSTALLDES OVERFIES ETIES 15:8 23:16 31:24 7:0 USBHS_HSTPIPIE R2 5 15:8 23:16 31:24 7:0 USBHS_HSTPIPIE R1 (ISOPIPES) 6 15:8 23:16 31:24 7:0 USBHS_HSTPIPIE R1 (INTPIPES) 7 NAKEDES PERRES NBUSYBKES Complete Datasheet DS60001527F-page 761 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x0600 USBHS_HSTPIPIE R4 (ISOPIPES) Bit Pos. 7:0 0x0604 0x0604 0x0604 0x0608 0x0608 0x0608 0x060C 0x060C 0x060C 0x0610 UNDERFIES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS TXSTPES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS UNDERFIES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS UNDERFIES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS TXSTPES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS UNDERFIES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS UNDERFIES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS TXSTPES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS UNDERFIES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS UNDERFIES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS TXSTPES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS NBUSYBKES SHORTPACK RXSTALLDES OVERFIES ETIES NAKEDES PERRES NBUSYBKES SHORTPACK RXSTALLDES OVERFIES ETIES NAKEDES PERRES NBUSYBKES SHORTPACK CRCERRES ETIES OVERFIES NAKEDES PERRES NBUSYBKES SHORTPACK RXSTALLDES OVERFIES ETIES NAKEDES PERRES NBUSYBKES SHORTPACK RXSTALLDES OVERFIES ETIES NAKEDES PERRES NBUSYBKES SHORTPACK CRCERRES ETIES OVERFIES NAKEDES PERRES NBUSYBKES SHORTPACK RXSTALLDES OVERFIES ETIES NAKEDES PERRES NBUSYBKES SHORTPACK RXSTALLDES OVERFIES ETIES NAKEDES PERRES NBUSYBKES SHORTPACK CRCERRES ETIES OVERFIES NAKEDES PERRES NBUSYBKES SHORTPACK RXSTALLDES OVERFIES ETIES 15:8 23:16 31:24 © 2021 Microchip Technology Inc. and its subsidiaries PERRES 15:8 23:16 31:24 7:0 USBHS_HSTPIPIE R8 NAKEDES 15:8 23:16 31:24 7:0 USBHS_HSTPIPIE R7 (ISOPIPES) OVERFIES 15:8 23:16 31:24 7:0 USBHS_HSTPIPIE R7 (INTPIPES) 0 15:8 23:16 31:24 7:0 USBHS_HSTPIPIE R7 1 15:8 23:16 31:24 7:0 USBHS_HSTPIPIE R6 (ISOPIPES) 2 15:8 23:16 31:24 7:0 USBHS_HSTPIPIE R6 (INTPIPES) 3 15:8 23:16 31:24 7:0 USBHS_HSTPIPIE R6 4 15:8 23:16 31:24 7:0 USBHS_HSTPIPIE R5 (ISOPIPES) SHORTPACK CRCERRES ETIES 5 15:8 23:16 31:24 7:0 USBHS_HSTPIPIE R5 (INTPIPES) 6 15:8 23:16 31:24 7:0 USBHS_HSTPIPIE R5 7 NAKEDES PERRES NBUSYBKES Complete Datasheet DS60001527F-page 762 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x0610 USBHS_HSTPIPIE R8 (INTPIPES) Bit Pos. 7:0 0x0610 0x0614 ... 0x061F USBHS_HSTPIPID R0 15:8 23:16 31:24 USBHS_HSTPIPID R0 (INTPIPES) 15:8 23:16 31:24 7:0 0x0620 USBHS_HSTPIPID R0 (ISOPIPES) 15:8 23:16 31:24 7:0 0x0624 USBHS_HSTPIPID R1 15:8 23:16 31:24 7:0 0x0624 USBHS_HSTPIPID R1 (INTPIPES) 15:8 23:16 31:24 7:0 0x0624 USBHS_HSTPIPID R1 (ISOPIPES) 15:8 23:16 31:24 7:0 0x0628 USBHS_HSTPIPID R2 15:8 23:16 31:24 7:0 0x0628 USBHS_HSTPIPID R2 (INTPIPES) 15:8 23:16 31:24 7:0 0x0628 SHORTPACK RXSTALLDES OVERFIES ETIES 4 3 2 1 0 NAKEDES PERRES UNDERFIES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS UNDERFIES TXOUTES RXINES RSTDTS PFREEZES PDISHDMAS TXSTPEC TXOUTEC RXINEC NBUSYBKES SHORTPACK CRCERRES ETIES OVERFIES NAKEDES PERRES NBUSYBKES Reserved 7:0 0x0620 5 15:8 23:16 31:24 7:0 0x0620 6 15:8 23:16 31:24 7:0 USBHS_HSTPIPIE R8 (ISOPIPES) 7 USBHS_HSTPIPID R2 (ISOPIPES) 15:8 23:16 31:24 SHORTPACK RXSTALLDEC OVERFIEC NAKEDEC ETIEC FIFOCONC NBUSYBKEC PFREEZEC PDISHDMAC SHORTPACK RXSTALLDEC OVERFIEC NAKEDEC ETIEC FIFOCONC NBUSYBKEC PERREC UNDERFIEC TXOUTEC RXINEC PFREEZEC PDISHDMAC SHORTPACK CRCERREC ETIEC FIFOCONC OVERFIEC NAKEDEC PERREC UNDERFIEC TXOUTEC RXINEC NBUSYBKEC PFREEZEC PDISHDMAC SHORTPACK RXSTALLDEC OVERFIEC NAKEDEC ETIEC FIFOCONC NBUSYBKEC PERREC TXSTPEC TXOUTEC RXINEC PFREEZEC PDISHDMAC SHORTPACK RXSTALLDEC OVERFIEC NAKEDEC ETIEC FIFOCONC NBUSYBKEC PERREC UNDERFIEC TXOUTEC RXINEC PFREEZEC PDISHDMAC SHORTPACK CRCERREC ETIEC FIFOCONC OVERFIEC NAKEDEC PERREC UNDERFIEC TXOUTEC RXINEC NBUSYBKEC PFREEZEC PDISHDMAC SHORTPACK RXSTALLDEC OVERFIEC NAKEDEC ETIEC FIFOCONC NBUSYBKEC PERREC TXSTPEC TXOUTEC RXINEC PFREEZEC PDISHDMAC SHORTPACK RXSTALLDEC OVERFIEC NAKEDEC ETIEC FIFOCONC NBUSYBKEC PERREC UNDERFIEC TXOUTEC RXINEC PFREEZEC PDISHDMAC SHORTPACK CRCERREC ETIEC FIFOCONC © 2021 Microchip Technology Inc. and its subsidiaries PERREC OVERFIEC NAKEDEC PERREC UNDERFIEC TXOUTEC RXINEC NBUSYBKEC PFREEZEC PDISHDMAC Complete Datasheet DS60001527F-page 763 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x062C USBHS_HSTPIPID R3 Bit Pos. 7:0 0x062C 15:8 23:16 31:24 7:0 0x062C USBHS_HSTPIPID R3 (ISOPIPES) 15:8 23:16 31:24 7:0 0x0630 USBHS_HSTPIPID R4 15:8 23:16 31:24 7:0 0x0630 USBHS_HSTPIPID R4 (INTPIPES) 15:8 23:16 31:24 7:0 0x0630 USBHS_HSTPIPID R4 (ISOPIPES) 15:8 23:16 31:24 7:0 0x0634 USBHS_HSTPIPID R5 15:8 23:16 31:24 7:0 0x0634 USBHS_HSTPIPID R5 (INTPIPES) 15:8 23:16 31:24 7:0 0x0634 USBHS_HSTPIPID R5 (ISOPIPES) 15:8 23:16 31:24 7:0 0x0638 USBHS_HSTPIPID R6 15:8 23:16 31:24 7:0 0x0638 USBHS_HSTPIPID R6 (INTPIPES) 4 3 2 1 0 SHORTPACK RXSTALLDEC OVERFIEC ETIEC NAKEDEC PERREC TXSTPEC TXOUTEC RXINEC FIFOCONC NBUSYBKEC 15:8 23:16 31:24 7:0 USBHS_HSTPIPID R3 (INTPIPES) 7 15:8 23:16 31:24 5 PFREEZEC PDISHDMAC SHORTPACK RXSTALLDEC OVERFIEC NAKEDEC ETIEC FIFOCONC NBUSYBKEC PERREC UNDERFIEC TXOUTEC RXINEC PFREEZEC PDISHDMAC SHORTPACK CRCERREC ETIEC FIFOCONC OVERFIEC NAKEDEC PERREC UNDERFIEC TXOUTEC RXINEC NBUSYBKEC PFREEZEC PDISHDMAC SHORTPACK RXSTALLDEC OVERFIEC NAKEDEC ETIEC FIFOCONC NBUSYBKEC PERREC TXSTPEC TXOUTEC RXINEC PFREEZEC PDISHDMAC SHORTPACK RXSTALLDEC OVERFIEC NAKEDEC ETIEC FIFOCONC NBUSYBKEC PERREC UNDERFIEC TXOUTEC RXINEC PFREEZEC PDISHDMAC SHORTPACK CRCERREC ETIEC FIFOCONC OVERFIEC NAKEDEC PERREC UNDERFIEC TXOUTEC RXINEC NBUSYBKEC PFREEZEC PDISHDMAC SHORTPACK RXSTALLDEC OVERFIEC NAKEDEC ETIEC FIFOCONC NBUSYBKEC PERREC TXSTPEC TXOUTEC RXINEC PFREEZEC PDISHDMAC SHORTPACK RXSTALLDEC OVERFIEC NAKEDEC ETIEC FIFOCONC NBUSYBKEC PERREC UNDERFIEC TXOUTEC RXINEC PFREEZEC PDISHDMAC SHORTPACK CRCERREC ETIEC FIFOCONC OVERFIEC NAKEDEC PERREC UNDERFIEC TXOUTEC RXINEC NBUSYBKEC PFREEZEC PDISHDMAC SHORTPACK RXSTALLDEC OVERFIEC NAKEDEC ETIEC FIFOCONC NBUSYBKEC PERREC TXSTPEC TXOUTEC RXINEC PFREEZEC PDISHDMAC SHORTPACK RXSTALLDEC OVERFIEC NAKEDEC ETIEC FIFOCONC NBUSYBKEC © 2021 Microchip Technology Inc. and its subsidiaries 6 PERREC UNDERFIEC TXOUTEC RXINEC PFREEZEC PDISHDMAC Complete Datasheet DS60001527F-page 764 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x0638 USBHS_HSTPIPID R6 (ISOPIPES) Bit Pos. 7:0 0x063C 15:8 23:16 31:24 7:0 0x063C USBHS_HSTPIPID R7 (INTPIPES) 15:8 23:16 31:24 7:0 0x063C USBHS_HSTPIPID R7 (ISOPIPES) 15:8 23:16 31:24 7:0 0x0640 USBHS_HSTPIPID R8 15:8 23:16 31:24 7:0 0x0640 USBHS_HSTPIPID R8 (INTPIPES) 15:8 23:16 31:24 7:0 0x0640 USBHS_HSTPIPID R8 (ISOPIPES) 0x0644 ... 0x064F Reserved 0x0650 USBHS_HSTPIPIN RQ0 0x0654 USBHS_HSTPIPIN RQ1 0x0658 USBHS_HSTPIPIN RQ2 0x065C USBHS_HSTPIPIN RQ3 0x0660 USBHS_HSTPIPIN RQ4 15:8 23:16 31:24 5 4 3 2 1 0 OVERFIEC NAKEDEC PERREC UNDERFIEC TXOUTEC RXINEC FIFOCONC NBUSYBKEC PFREEZEC PDISHDMAC SHORTPACK RXSTALLDEC OVERFIEC NAKEDEC ETIEC FIFOCONC NBUSYBKEC PERREC TXSTPEC TXOUTEC RXINEC PFREEZEC PDISHDMAC SHORTPACK RXSTALLDEC OVERFIEC NAKEDEC ETIEC FIFOCONC NBUSYBKEC PERREC UNDERFIEC TXOUTEC RXINEC PFREEZEC PDISHDMAC SHORTPACK CRCERREC ETIEC FIFOCONC OVERFIEC NAKEDEC PERREC UNDERFIEC TXOUTEC RXINEC NBUSYBKEC PFREEZEC PDISHDMAC SHORTPACK RXSTALLDEC OVERFIEC NAKEDEC ETIEC FIFOCONC NBUSYBKEC PERREC TXSTPEC TXOUTEC RXINEC PFREEZEC PDISHDMAC SHORTPACK RXSTALLDEC OVERFIEC NAKEDEC ETIEC FIFOCONC NBUSYBKEC PERREC UNDERFIEC TXOUTEC RXINEC PFREEZEC PDISHDMAC SHORTPACK CRCERREC ETIEC FIFOCONC 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 © 2021 Microchip Technology Inc. and its subsidiaries 6 SHORTPACK CRCERREC ETIEC 15:8 23:16 31:24 7:0 USBHS_HSTPIPID R7 7 OVERFIEC NAKEDEC PERREC UNDERFIEC TXOUTEC RXINEC NBUSYBKEC PFREEZEC PDISHDMAC INRQ[7:0] INMODE INRQ[7:0] INMODE INRQ[7:0] INMODE INRQ[7:0] INMODE INRQ[7:0] INMODE Complete Datasheet DS60001527F-page 765 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x0664 USBHS_HSTPIPIN RQ5 0x0668 USBHS_HSTPIPIN RQ6 0x066C USBHS_HSTPIPIN RQ7 0x0670 USBHS_HSTPIPIN RQ8 0x0674 ... 0x067F Reserved 0x0680 USBHS_HSTPIPER R0 0x0684 USBHS_HSTPIPER R1 0x0688 USBHS_HSTPIPER R2 0x068C USBHS_HSTPIPER R3 0x0690 USBHS_HSTPIPER R4 0x0694 USBHS_HSTPIPER R5 0x0698 USBHS_HSTPIPER R6 0x069C USBHS_HSTPIPER R7 0x06A0 USBHS_HSTPIPER R8 0x06A4 ... 0x06FF Reserved Bit Pos. 7 5 7:0 15:8 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 4 3 2 1 0 INRQ[7:0] INMODE 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 © 2021 Microchip Technology Inc. and its subsidiaries 6 INRQ[7:0] INMODE INRQ[7:0] INMODE INRQ[7:0] INMODE COUNTER[1:0] CRC16 TIMEOUT PID DATAPID DATATGL COUNTER[1:0] CRC16 TIMEOUT PID DATAPID DATATGL COUNTER[1:0] CRC16 TIMEOUT PID DATAPID DATATGL COUNTER[1:0] CRC16 TIMEOUT PID DATAPID DATATGL COUNTER[1:0] CRC16 TIMEOUT PID DATAPID DATATGL COUNTER[1:0] CRC16 TIMEOUT PID DATAPID DATATGL COUNTER[1:0] CRC16 TIMEOUT PID DATAPID DATATGL COUNTER[1:0] CRC16 TIMEOUT PID DATAPID DATATGL COUNTER[1:0] CRC16 TIMEOUT PID DATAPID DATATGL Complete Datasheet DS60001527F-page 766 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x0700 USBHS_HSTDMAN XTDSC1 0x0704 USBHS_HSTDMAA DDRESSx 0x0708 USBHS_HSTDMAC ONTROLx 0x070C USBHS_HSTDMAS TATUSx 0x0710 USBHS_HSTDMAN XTDSC2 0x0714 USBHS_HSTDMAA DDRESSx 0x0718 USBHS_HSTDMAC ONTROLx 0x071C USBHS_HSTDMAS TATUSx 0x0720 USBHS_HSTDMAN XTDSC3 0x0724 USBHS_HSTDMAA DDRESSx 0x0728 USBHS_HSTDMAC ONTROLx 0x072C USBHS_HSTDMAS TATUSx 0x0730 USBHS_HSTDMAN XTDSC4 0x0734 USBHS_HSTDMAA DDRESSx Bit Pos. 7 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 5 4 3 2 1 0 NXT_DSC_ADD[7:0] NXT_DSC_ADD[15:8] NXT_DSC_ADD[23:16] NXT_DSC_ADD[31:24] BUFF_ADD[7:0] BUFF_ADD[15:8] BUFF_ADD[23:16] BUFF_ADD[31:24] BURST_LCK DESC_LD_IT END_BUFFIT END_TR_IT END_B_EN BUFF_LENGTH[7:0] BUFF_LENGTH[15:8] DESC_LDST END_BF_ST END_TR_ST BUFF_COUNT[7:0] BUFF_COUNT[15:8] NXT_DSC_ADD[7:0] NXT_DSC_ADD[15:8] NXT_DSC_ADD[23:16] NXT_DSC_ADD[31:24] BUFF_ADD[7:0] BUFF_ADD[15:8] BUFF_ADD[23:16] BUFF_ADD[31:24] BURST_LCK DESC_LD_IT END_BUFFIT END_TR_IT END_B_EN BUFF_LENGTH[7:0] BUFF_LENGTH[15:8] DESC_LDST END_BF_ST END_TR_ST BUFF_COUNT[7:0] BUFF_COUNT[15:8] NXT_DSC_ADD[7:0] NXT_DSC_ADD[15:8] NXT_DSC_ADD[23:16] NXT_DSC_ADD[31:24] BUFF_ADD[7:0] BUFF_ADD[15:8] BUFF_ADD[23:16] BUFF_ADD[31:24] BURST_LCK DESC_LD_IT END_BUFFIT END_TR_IT END_B_EN © 2021 Microchip Technology Inc. and its subsidiaries 6 BUFF_LENGTH[7:0] BUFF_LENGTH[15:8] DESC_LDST END_BF_ST END_TR_ST END_TR_EN LDNXT_DSC CHANN_ENB CHANN_ACT CHANN_ENB END_TR_EN LDNXT_DSC CHANN_ENB CHANN_ACT CHANN_ENB END_TR_EN LDNXT_DSC CHANN_ENB CHANN_ACT CHANN_ENB BUFF_COUNT[7:0] BUFF_COUNT[15:8] NXT_DSC_ADD[7:0] NXT_DSC_ADD[15:8] NXT_DSC_ADD[23:16] NXT_DSC_ADD[31:24] BUFF_ADD[7:0] BUFF_ADD[15:8] BUFF_ADD[23:16] BUFF_ADD[31:24] Complete Datasheet DS60001527F-page 767 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x0738 USBHS_HSTDMAC ONTROLx 0x073C USBHS_HSTDMAS TATUSx 0x0740 USBHS_HSTDMAN XTDSC5 0x0744 USBHS_HSTDMAA DDRESSx 0x0748 USBHS_HSTDMAC ONTROLx 0x074C USBHS_HSTDMAS TATUSx 0x0750 USBHS_HSTDMAN XTDSC6 0x0754 USBHS_HSTDMAA DDRESSx 0x0758 USBHS_HSTDMAC ONTROLx 0x075C USBHS_HSTDMAS TATUSx 0x0760 USBHS_HSTDMAN XTDSC7 0x0764 USBHS_HSTDMAA DDRESSx 0x0768 USBHS_HSTDMAC ONTROLx 0x076C USBHS_HSTDMAS TATUSx 0x0770 ... 0x07FF Reserved Bit Pos. 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7 5 4 BURST_LCK DESC_LD_IT END_BUFFIT END_TR_IT 3 END_B_EN BUFF_LENGTH[7:0] BUFF_LENGTH[15:8] DESC_LDST END_BF_ST END_TR_ST BUFF_COUNT[7:0] BUFF_COUNT[15:8] NXT_DSC_ADD[7:0] NXT_DSC_ADD[15:8] NXT_DSC_ADD[23:16] NXT_DSC_ADD[31:24] BUFF_ADD[7:0] BUFF_ADD[15:8] BUFF_ADD[23:16] BUFF_ADD[31:24] BURST_LCK DESC_LD_IT END_BUFFIT END_TR_IT END_B_EN BUFF_LENGTH[7:0] BUFF_LENGTH[15:8] DESC_LDST END_BF_ST END_TR_ST BUFF_COUNT[7:0] BUFF_COUNT[15:8] NXT_DSC_ADD[7:0] NXT_DSC_ADD[15:8] NXT_DSC_ADD[23:16] NXT_DSC_ADD[31:24] BUFF_ADD[7:0] BUFF_ADD[15:8] BUFF_ADD[23:16] BUFF_ADD[31:24] BURST_LCK DESC_LD_IT END_BUFFIT END_TR_IT END_B_EN BUFF_LENGTH[7:0] BUFF_LENGTH[15:8] DESC_LDST END_BF_ST END_TR_ST 2 1 0 END_TR_EN LDNXT_DSC CHANN_ENB CHANN_ACT CHANN_ENB END_TR_EN LDNXT_DSC CHANN_ENB CHANN_ACT CHANN_ENB END_TR_EN LDNXT_DSC CHANN_ENB CHANN_ACT CHANN_ENB BUFF_COUNT[7:0] BUFF_COUNT[15:8] NXT_DSC_ADD[7:0] NXT_DSC_ADD[15:8] NXT_DSC_ADD[23:16] NXT_DSC_ADD[31:24] BUFF_ADD[7:0] BUFF_ADD[15:8] BUFF_ADD[23:16] BUFF_ADD[31:24] BURST_LCK DESC_LD_IT END_BUFFIT END_TR_IT END_B_EN © 2021 Microchip Technology Inc. and its subsidiaries 6 BUFF_LENGTH[7:0] BUFF_LENGTH[15:8] DESC_LDST END_BF_ST END_TR_ST END_TR_EN LDNXT_DSC CHANN_ENB CHANN_ACT CHANN_ENB BUFF_COUNT[7:0] BUFF_COUNT[15:8] Complete Datasheet DS60001527F-page 768 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) ...........continued Offset Name 0x0800 USBHS_CTRL 0x0804 USBHS_SR 0x0808 USBHS_SCR 0x080C Bit Pos. 7 6 7:0 15:8 USBE FRZCLK USBHS_SFR 4 3 2 1 0 RDERRE 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 © 2021 Microchip Technology Inc. and its subsidiaries 5 VBUSHWC UIMOD CLKUSABLE UID RDERRI SPEED[1:0] RDERRIC RDERRIS VBUSRQS Complete Datasheet DS60001527F-page 769 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.1 General Control Register Name:  Offset:  Reset:  Property:  Bit USBHS_CTRL 0x0800 0x03004000 Read/Write 31 30 29 28 27 26 Access Reset Bit 25 UIMOD 24 UID 1 1 23 22 21 20 19 18 17 16 15 USBE 14 FRZCLK 13 12 11 10 9 8 VBUSHWC Access Reset 0 1 Bit 7 6 Access Reset Bit 0 5 Access Reset 4 RDERRE 3 2 1 0 0 Bit 25 – UIMOD USBHS Mode 0 (HOST): The module is in USB Host mode. 1 (DEVICE): The module is in USB Device mode. This bit can be written even if USBE = 0 or FRZCLK = 1. Disabling the USBHS (by writing a zero to the USBE bit) does not reset this bit. Bit 24 – UID UID Pin Enable Must be set to ‘0’. Bit 15 – USBE USBHS Enable Writing a zero to this bit resets the USBHS, disables the USB transceiver, and disables the USBHS clock inputs. Unless explicitly stated, all registers then become read-only and are reset. This bit can be written even if FRZCLK = 1 Value Description 0 The USBHS is disabled. 1 The USBHS is enabled. Bit 14 – FRZCLK Freeze USB Clock This bit can be written even if USBE = 0. Disabling the USBHS (by writing a zero to the USBE bit) does not reset this bit, but it freezes the clock inputs whatever its value. Value Description 0 The clock inputs are enabled. 1 The clock inputs are disabled (the resume detection is still active). This reduces the power consumption. Unless explicitly stated, all registers then become read-only. Bit 8 – VBUSHWC VBUS Hardware Control Must be set to ‘1’. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 770 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Value 0 1 0 1 Description The hardware control over the VBOF output pin is enabled. The USBHS resets the VBOF output pin when a VBUS problem occurs. The hardware control over the VBOF output pin is disabled. The hardware control over the PIO line is enabled. The USBHS resets the PIO output pin when a VBUS problem occurs. The hardware control over the PIO line is disabled. Bit 4 – RDERRE Remote Device Connection Error Interrupt Enable Value Description 0 The Remote Device Connection Error Interrupt (USBHS_SR.RDERRI) is disabled. 1 The Remote Device Connection Error Interrupt (USBHS_SR.RDERRI) is enabled. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 771 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.2 General Status Register Name:  Offset:  Reset:  Property:  Bit USBHS_SR 0x0804 0x00000400 Read-only 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 CLKUSABLE 13 12 11 10 9 8 0 0 0 6 5 4 RDERRI 3 2 1 0 Access Reset Bit Access Reset Bit Access Reset Bit 7 Access Reset SPEED[1:0] 0 Bit 14 – CLKUSABLE UTMI Clock Usable Value Description 0 Cleared when the UTMI 30  MHz is not usable. 1 Set when the UTMI 30  MHz is usable. Bits 13:12 – SPEED[1:0] Remote Device Speed Status This field is set according to the connected device speed mode. Value Name Description 0 FULL_SPEED Full-Speed mode 1 HIGH_SPEED High-Speed mode 2 LOW_SPEED Low-Speed mode 3 Reserved Bit 4 – RDERRI Remote Device Connection Error Interrupt (Host mode only) Value Description 0 Cleared when USBHS_SCR.RDERRIC = 1. 1 Set when an error occurs during the remote device connection. This triggers a USB interrupt if USBHS_CTRL.RDERRE = 1. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 772 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.3 General Status Clear Register Name:  Offset:  Property:  USBHS_SCR 0x0808 Write-only This register always reads as zero. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 RDERRIC 3 2 1 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bit 4 – RDERRIC Remote Device Connection Error Interrupt Clear Value Description 0 No effect. 1 Clears the RDERRI bit in USBHS_SR. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 773 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.4 General Status Set Register Name:  Offset:  Property:  USBHS_SFR 0x080C Write-only This register always reads as zero. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 VBUSRQS 8 7 6 5 4 RDERRIS 3 2 1 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bit 9 – VBUSRQS VBUS Request Set Must be set to ‘1’. Value Description 0 No effect. 1 Sets the VBUSRQ bit in USBHS_SR. Bit 4 – RDERRIS Remote Device Connection Error Interrupt Set Value Description 0 No effect. 1 Sets the RDERRI bit in USBHS_SR, which may be useful for test or debug purposes. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 774 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.5 Device General Control Register Name:  Offset:  Reset:  Property:  Bit USBHS_DEVCTRL 0x0000 0x00000100 Read/Write 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 OPMODE2 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset 0 15 TSTPCKT 14 TSTK 13 TSTJ 12 LS 11 10 SPDCONF[1:0] 9 RMWKUP 8 DETACH 0 0 0 0 0 0 0 1 7 ADDEN 6 5 4 3 UADD[6:0] 2 1 0 0 0 0 0 0 0 0 0 Bit 16 – OPMODE2 Specific Operational mode Value Description 0 The UTMI transceiver is in Normal operating mode. 1 The UTMI transceiver is in the “Disable bit stuffing and NRZI encoding” operational mode for test purposes. Bit 15 – TSTPCKT Test packet mode Value Description 0 The UTMI transceiver is in Normal operating mode. 1 The UTMI transceiver generates test packets for test purposes. Bit 14 – TSTK Test mode K Value Description 0 The UTMI transceiver is in Normal operating mode. 1 The UTMI transceiver generates high-speed K state for test purposes. Bit 13 – TSTJ Test mode J Value Description 0 The UTMI transceiver is in Normal operating mode. 1 The UTMI transceiver generates high-speed J state for test purposes. Bit 12 – LS Low-Speed Mode Force This bit can be written even if USBHS_CTRL.USBE = 0 or USBHS_CTRL.FRZCLK = 1. Disabling the USBHS (by writing a zero to the USBHS_CTRL.USBE bit) does not reset this bit. Value Description 0 The Full-speed mode is active. 1 The Low-speed mode is active. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 775 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Bits 11:10 – SPDCONF[1:0] Mode Configuration This field contains the peripheral speed: Value Name Description 0 NORMAL The peripheral starts in Full-speed mode and performs a high-speed reset to switch to High-speed mode if the host is high-speed-capable. 1 LOW_POWER For a better consumption, if high speed is not needed. 2 HIGH_SPEED Forced high speed. 3 FORCED_FS The peripheral remains in Full-speed mode whatever the host speed capability. Bit 9 – RMWKUP Remote Wakeup This bit is cleared when the USBHS receives a USB reset or once the upstream resume has been sent. Value Description 0 No effect. 1 Sends an upstream resume to the host for a remote wakeup. Bit 8 – DETACH Detach Value Description 0 Reconnects the device. 1 Physically detaches the device (disconnects the internal pull-up resistor from D+ and D-). Bit 7 – ADDEN Address Enable This bit is cleared when a USB reset is received. Value Description 0 No effect. 1 Activates the UADD field (USB address). Bits 6:0 – UADD[6:0] USB Address This field contains the device address. This field is cleared when a USB reset is received. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 776 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.6 Device Global Interrupt Status Register Name:  Offset:  Reset:  Property:  Bit USBHS_DEVISR 0x0004 0x00000000 Read-only 31 DMA_6 30 DMA_5 29 DMA_4 28 DMA_3 27 DMA_2 26 DMA_1 25 DMA_0 Access Reset 0 0 0 0 0 0 0 Bit 23 22 21 PEP_9 20 PEP_8 19 PEP_7 18 PEP_6 17 PEP_5 16 PEP_4 0 0 0 0 0 0 11 10 9 8 Access Reset Bit 24 15 PEP_3 14 PEP_2 13 PEP_1 12 PEP_0 Access Reset 0 0 0 0 Bit 7 6 UPRSM 5 EORSM 4 WAKEUP 3 EORST 2 SOF 1 MSOF 0 SUSP 0 0 0 0 0 0 0 Access Reset Bits 25, 26, 27, 28, 29, 30, 31 – DMA_ DMA Channel x Interrupt Value Description 0 Cleared when the USBHS_DEVDMASTATUSx interrupt source is cleared. 1 Set when an interrupt is triggered by the DMA channel x. This triggers a USB interrupt if DMA_x = 1. Bits 12, 13, 14, 15, 16, 17, 18, 19, 20, 21 – PEP_ Endpoint x Interrupt Value Description 0 Cleared when the interrupt source is serviced. 1 Set when an interrupt is triggered by endpoint x (USBHS_DEVEPTISRx, USBHS_DEVEPTIMRx). This triggers a USB interrupt if USBHS_DEVIMR.PEP_x = 1. Bit 6 – UPRSM Upstream Resume Interrupt Value Description 0 Cleared when the USBHS_DEVICR.UPRSMC bit is written to one to acknowledge the interrupt (USB clock inputs must be enabled before). 1 Set when the USBHS sends a resume signal called “Upstream Resume”. This triggers a USB interrupt if USBHS_DEVIMR.UPRSME = 1. Bit 5 – EORSM End of Resume Interrupt Value Description 0 Cleared when the USBHS_DEVICR.EORSMC bit is written to one to acknowledge the interrupt. 1 Set when the USBHS detects a valid “End of Resume” signal initiated by the host. This triggers a USB interrupt if USBHS_DEVIMR.EORSME = 1. Bit 4 – WAKEUP Wakeup Interrupt This interrupt is generated even if the clock is frozen by the USBHS_CTRL.FRZCLK bit. Value Description 0 Cleared when the USBHS_DEVICR.WAKEUPC bit is written to one to acknowledge the interrupt (USB clock inputs must be enabled before), or when the Suspend (SUSP) interrupt bit is set. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 777 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Value 1 Description Set when the USBHS is reactivated by a filtered non-idle signal from the lines (not by an upstream resume). This triggers an interrupt if USBHS_DEVIMR.WAKEUPE = 1. Bit 3 – EORST End of Reset Interrupt Value Description 0 Cleared when the USBHS_DEVICR.EORSTC bit is written to one to acknowledge the interrupt. 1 Set when a USB “End of Reset” has been detected. This triggers a USB interrupt if USBHS_DEVIMR.EORSTE = 1. Bit 2 – SOF Start of Frame Interrupt Value Description 0 Cleared when the USBHS_DEVICR.SOFC bit is written to one to acknowledge the interrupt. 1 Set when a USB “Start of Frame” PID (SOF) has been detected (every 1 ms). This triggers a USB interrupt if SOFE = 1. The FNUM field is updated. In High-speed mode, the MFNUM field is cleared. Bit 1 – MSOF Micro Start of Frame Interrupt Value Description 0 Cleared when the USBHS_DEVICR.MSOFC bit is written to one to acknowledge the interrupt. 1 Set in High-speed mode when a USB “Micro Start of Frame” PID (SOF) has been detected (every 125 μs). This triggers a USB interrupt if MSOFE = 1. The MFNUM field is updated. The FNUM field is unchanged. Bit 0 – SUSP Suspend Interrupt Value Description 0 Cleared when the USBHS_DEVICR.SUSPC bit is written to one to acknowledge the interrupt, or when the Wakeup (WAKEUP) interrupt bit is set. 1 Set when a USB “Suspend” idle bus state has been detected for 3 frame periods (J state for 3 ms). This triggers a USB interrupt if USBHS_DEVIMR.SUSPE = 1. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 778 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.7 Device Global Interrupt Clear Register Name:  Offset:  Property:  USBHS_DEVICR 0x0008 Write-only This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Clears the corresponding bit in USBHS_DEVISR. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 UPRSMC 5 EORSMC 4 WAKEUPC 3 EORSTC 2 SOFC 1 MSOFC 0 SUSPC Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bit 6 – UPRSMC Upstream Resume Interrupt Clear Bit 5 – EORSMC End of Resume Interrupt Clear Bit 4 – WAKEUPC Wakeup Interrupt Clear Bit 3 – EORSTC End of Reset Interrupt Clear Bit 2 – SOFC Start of Frame Interrupt Clear Bit 1 – MSOFC Micro Start of Frame Interrupt Clear Bit 0 – SUSPC Suspend Interrupt Clear © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 779 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.8 Device Global Interrupt Set Register Name:  Offset:  Property:  USBHS_DEVIFR 0x000C Write-only This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Sets the corresponding bit in USBHS_DEVISR. Bit 31 DMA_6 30 DMA_5 29 DMA_4 28 DMA_3 27 DMA_2 26 DMA_1 25 DMA_0 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 UPRSMS 5 EORSMS 4 WAKEUPS 3 EORSTS 2 SOFS 1 MSOFS 0 SUSPS Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bits 25, 26, 27, 28, 29, 30, 31 – DMA_ DMA Channel x Interrupt Set Bit 6 – UPRSMS Upstream Resume Interrupt Set Bit 5 – EORSMS End of Resume Interrupt Set Bit 4 – WAKEUPS Wakeup Interrupt Set Bit 3 – EORSTS End of Reset Interrupt Set Bit 2 – SOFS Start of Frame Interrupt Set Bit 1 – MSOFS Micro Start of Frame Interrupt Set Bit 0 – SUSPS Suspend Interrupt Set © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 780 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.9 Device Global Interrupt Mask Register Name:  Offset:  Reset:  Property:  USBHS_DEVIMR 0x0010 0x00000000 Read-only The following configuration values are valid for all listed bit names of this register: 0: The corresponding interrupt is not enabled. 1: The corresponding interrupt is enabled. Bit 31 DMA_6 30 DMA_5 29 DMA_4 28 DMA_3 27 DMA_2 26 DMA_1 25 DMA_0 Access Reset 0 0 0 0 0 0 0 Bit 23 22 21 PEP_9 20 PEP_8 19 PEP_7 18 PEP_6 17 PEP_5 16 PEP_4 0 0 0 0 0 0 11 10 9 8 Access Reset Bit 24 15 PEP_3 14 PEP_2 13 PEP_1 12 PEP_0 Access Reset 0 0 0 0 Bit 7 6 UPRSME 5 EORSME 4 WAKEUPE 3 EORSTE 2 SOFE 1 MSOFE 0 SUSPE 0 0 0 0 0 0 0 Access Reset Bits 25, 26, 27, 28, 29, 30, 31 – DMA_ DMA Channel x Interrupt Mask Bits 12, 13, 14, 15, 16, 17, 18, 19, 20, 21 – PEP_ Endpoint x Interrupt Mask Bit 6 – UPRSME Upstream Resume Interrupt Mask Bit 5 – EORSME End of Resume Interrupt Mask Bit 4 – WAKEUPE Wakeup Interrupt Mask Bit 3 – EORSTE End of Reset Interrupt Mask Bit 2 – SOFE Start of Frame Interrupt Mask Bit 1 – MSOFE Micro Start of Frame Interrupt Mask Bit 0 – SUSPE Suspend Interrupt Mask © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 781 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.10 Device Global Interrupt Disable Register Name:  Offset:  Property:  USBHS_DEVIDR 0x0014 Write-only This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Clears the corresponding bit in USBHS_DEVIMR. Bit 31 DMA_6 30 DMA_5 29 DMA_4 28 DMA_3 27 DMA_2 26 DMA_1 25 DMA_0 24 23 22 21 PEP_9 20 PEP_8 19 PEP_7 18 PEP_6 17 PEP_5 16 PEP_4 15 PEP_3 14 PEP_2 13 PEP_1 12 PEP_0 11 10 9 8 7 6 UPRSMEC 5 EORSMEC 4 WAKEUPEC 3 EORSTEC 2 SOFEC 1 MSOFEC 0 SUSPEC Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bits 25, 26, 27, 28, 29, 30, 31 – DMA_ DMA Channel x Interrupt Disable Bits 12, 13, 14, 15, 16, 17, 18, 19, 20, 21 – PEP_ Endpoint x Interrupt Disable Bit 6 – UPRSMEC Upstream Resume Interrupt Disable Bit 5 – EORSMEC End of Resume Interrupt Disable Bit 4 – WAKEUPEC Wakeup Interrupt Disable Bit 3 – EORSTEC End of Reset Interrupt Disable Bit 2 – SOFEC Start of Frame Interrupt Disable Bit 1 – MSOFEC Micro Start of Frame Interrupt Disable Bit 0 – SUSPEC Suspend Interrupt Disable © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 782 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.11 Device Global Interrupt Enable Register Name:  Offset:  Property:  USBHS_DEVIER 0x0018 Write-only This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Sets the corresponding bit in USBHS_DEVIMR. Bit 31 DMA_6 30 DMA_5 29 DMA_4 28 DMA_3 27 DMA_2 26 DMA_1 25 DMA_0 24 23 22 21 PEP_9 20 PEP_8 19 PEP_7 18 PEP_6 17 PEP_5 16 PEP_4 15 PEP_3 14 PEP_2 13 PEP_1 12 PEP_0 11 10 9 8 7 6 UPRSMES 5 EORSMES 4 WAKEUPES 3 EORSTES 2 SOFES 1 MSOFES 0 SUSPES Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bits 25, 26, 27, 28, 29, 30, 31 – DMA_ DMA Channel x Interrupt Enable Bits 12, 13, 14, 15, 16, 17, 18, 19, 20, 21 – PEP_ Endpoint x Interrupt Enable Bit 6 – UPRSMES Upstream Resume Interrupt Enable Bit 5 – EORSMES End of Resume Interrupt Enable Bit 4 – WAKEUPES Wakeup Interrupt Enable Bit 3 – EORSTES End of Reset Interrupt Enable Bit 2 – SOFES Start of Frame Interrupt Enable Bit 1 – MSOFES Micro Start of Frame Interrupt Enable Bit 0 – SUSPES Suspend Interrupt Enable © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 783 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.12 Device Endpoint Register Name:  Offset:  Reset:  Property:  Bit USBHS_DEVEPT 0x001C 0x00000000 Read/Write 31 30 29 28 27 26 Access Reset Bit 25 EPRST9 24 EPRST8 0 0 23 EPRST7 22 EPRST6 21 EPRST5 20 EPRST4 19 EPRST3 18 EPRST2 17 EPRST1 16 EPRST0 Access Reset 0 0 0 0 0 0 0 0 Bit 15 14 13 12 11 10 9 EPEN9 8 EPEN8 0 0 Access Reset Bit Access Reset 7 EPEN7 6 EPEN6 5 EPEN5 4 EPEN4 3 EPEN3 2 EPEN2 1 EPEN1 0 EPEN0 0 0 0 0 0 0 0 0 Bits 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 – EPRST Endpoint x Reset The whole endpoint mechanism (FIFO counter, reception, transmission, etc.) is reset apart from the Data Toggle Sequence field (USBHS_DEVEPTISRx.DTSEQ), which can be cleared by setting the USBHS_DEVEPTIMRx.RSTDT bit (by writing a one to the USBHS_DEVEPTIERx.RSTDTS bit). The endpoint configuration remains active and the endpoint is still enabled. This bit is cleared upon receiving a USB reset. Value Description 0 Completes the reset operation and starts using the FIFO. 1 Resets the endpoint x FIFO prior to any other operation, upon hardware reset or when a USB bus reset has been received. This resets the endpoint x registers (USBHS_DEVEPTCFGx, USBHS_DEVEPTISRx, USBHS_DEVEPTIMRx) but not the endpoint configuration (USBHS_DEVEPTCFGx.ALLOC, USBHS_DEVEPTCFGx.EPBK, USBHS_DEVEPTCFGx.EPSIZE, USBHS_DEVEPTCFGx.EPDIR, USBHS_DEVEPTCFGx.EPTYPE). Bits 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 – EPEN Endpoint x Enable Value Description 0 Endpoint x is disabled, forcing the endpoint x state to inactive (no answer to USB requests) and resetting the endpoint x registers (USBHS_DEVEPTCFGx, USBHS_DEVEPTISRx, USBHS_DEVEPTIMRx) but not the endpoint configuration (USBHS_DEVEPTCFGx.ALLOC, USBHS_DEVEPTCFGx.EPBK, USBHS_DEVEPTCFGx.EPSIZE, USBHS_DEVEPTCFGx.EPDIR, USBHS_DEVEPTCFGx.EPTYPE). 1 Endpoint x is enabled. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 784 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.13 Device Frame Number Register Name:  Offset:  Reset:  Property:  Bit USBHS_DEVFNUM 0x0020 0x00000000 Read-only 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 FNCERR 14 13 12 11 10 9 8 Access Reset Bit Access Reset Bit Access Reset 0 Bit 7 Access Reset 0 FNUM[10:5] 0 0 0 0 0 0 6 5 FNUM[4:0] 4 3 2 1 MFNUM[2:0] 0 0 0 0 0 0 0 0 Bit 15 – FNCERR Frame Number CRC Error Value Description 0 Cleared upon receiving a USB reset. 1 Set when a corrupted frame number (or microframe number) is received. This bit and the SOF (or MSOF) interrupt bit are updated at the same time. Bits 13:3 – FNUM[10:0] Frame Number This field contains the 11-bit frame number information. It is provided in the last received SOF packet. This field is cleared upon receiving a USB reset. FNUM is updated even if a corrupted SOF is received. Bits 2:0 – MFNUM[2:0] Micro Frame Number This field contains the 3-bit micro frame number information. It is provided in the last received MSOF packet. This field is cleared at the beginning of each start of frame (SOF interrupt) or upon receiving a USB reset. MFNUM is updated even if a corrupted MSOF is received. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 785 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.14 Device Endpoint x Configuration Register Name:  Offset:  Reset:  Property:  Bit USBHS_DEVEPTCFGx 0x0100 + x*0x04 [x=0..8] 0 Read/Write 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 NBTRANS[1:0] 12 11 10 9 AUTOSW 8 EPDIR 0 0 0 0 0 0 6 5 EPSIZE[2:0] 4 3 2 1 ALLOC 0 0 0 0 0 Access Reset Bit Access Reset Bit Access Reset Bit 7 Access Reset 0 EPTYPE[1:0] EPBK[1:0] 0 Bits 14:13 – NBTRANS[1:0] Number of transactions per microframe for isochronous endpoint This field should be written with the number of transactions per microframe to perform high-bandwidth isochronous transfer. It can be written only for endpoints that have this capability (see USBHS_FEATURES.ENHBISOx bit). Otherwise, this field is 0. This field is irrelevant for non-isochronous endpoints. Value Name Description 0 0_TRANS Reserved to endpoint that does not have the high-bandwidth isochronous capability. 1 1_TRANS Default value: one transaction per microframe. 2 2_TRANS Two transactions per microframe. This endpoint should be configured as double-bank. 3 3_TRANS Three transactions per microframe. This endpoint should be configured as triple-bank. Bits 12:11 – EPTYPE[1:0] Endpoint Type This field should be written to select the endpoint type: This field is cleared upon receiving a USB reset. Value Name 0 CTRL 1 ISO 2 BLK 3 INTRPT Description Control Isochronous Bulk Interrupt Bit 9 – AUTOSW Automatic Switch This bit is cleared upon receiving a USB reset. Value Description 0 The automatic bank switching is disabled. 1 The automatic bank switching is enabled. Bit 8 – EPDIR Endpoint Direction This bit is cleared upon receiving a USB reset. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 786 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 0 (OUT): The endpoint direction is OUT. 1 (IN): The endpoint direction is IN (nor for control endpoints). Bits 6:4 – EPSIZE[2:0] Endpoint Size This field should be written to select the size of each endpoint bank: This field is cleared upon receiving a USB reset (except for endpoint 0). Value Name Description 0 8_BYTE 8 bytes 1 16_BYTE 16 bytes 2 32_BYTE 32 bytes 3 64_BYTE 64 bytes 4 128_BYTE 128 bytes 5 256_BYTE 256 bytes 6 512_BYTE 512 bytes 7 1024_BYTE 1024 bytes Bits 3:2 – EPBK[1:0] Endpoint Banks This field should be written to select the number of banks for the endpoint: For control endpoints, a single-bank endpoint (0b00) should be selected. This field is cleared upon receiving a USB reset (except for endpoint 0). Value Name Description 0 1_BANK Single-bank endpoint 1 2_BANK Double-bank endpoint 2 3_BANK Triple-bank endpoint 3 Reserved Bit 1 – ALLOC Endpoint Memory Allocate This bit is cleared upon receiving a USB reset (except for endpoint 0). Value Description 0 Frees the endpoint memory. 1 Allocates the endpoint memory. The user should check the USBHS_DEVEPTISRx.CFGOK bit to know whether the allocation of this endpoint is correct. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 787 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.15 Device Endpoint Interrupt Status Register (Control, Bulk, Interrupt Endpoints) Name:  Offset:  Reset:  Property:  USBHS_DEVEPTISRx 0x0130 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if EPTYPE = 0x0, 0x2, or 0x3 in the ”Device Endpoint x Configuration Register”. Bit 31 Access Reset Bit 23 30 29 28 27 BYCT[10:4] 26 25 24 0 0 0 0 0 0 0 21 20 19 18 CFGOK 17 CTRLDIR 16 RWALL 0 0 0 0 0 0 14 13 12 NBUSYBK[1:0] 10 9 22 BYCT[3:0] Access Reset 0 Bit 15 CURRBK[1:0] Access Reset Bit Access Reset 11 8 DTSEQ[1:0] 0 0 0 0 7 SHORTPACKE T 6 STALLEDI 5 OVERFI 4 NAKINI 3 NAKOUTI 0 0 0 0 0 0 0 2 RXSTPI 1 RXOUTI 0 TXINI 0 0 0 Bits 30:20 – BYCT[10:0] Byte Count This field is set with the byte count of the FIFO. For IN endpoints, the field is incremented after each byte written by the software into the endpoint and decremented after each byte sent to the host. For OUT endpoints, the field is incremented after each byte received from the host and decremented after each byte read by the software from the endpoint. This field may be updated one clock cycle after the RWALL bit changes, so the user should not poll this field as an interrupt bit. Bit 18 – CFGOK Configuration OK Status This bit is updated when USBHS_DEVEPTCFGx.ALLOC = 1. This bit is set if the endpoint x number of banks (USBHS_DEVEPTCFGx.EPBK) and size (USBHS_DEVEPTCFGx.EPSIZE) are correct compared to the maximal allowed number of banks and size for this endpoint and to the maximal FIFO size (i.e., the DPRAM size). If this bit is cleared, the user should rewrite correct values to the USBHS_DEVEPTCFGx.EPBK and USBHS_DEVEPTCFGx.EPSIZE fields. Bit 17 – CTRLDIR Control Direction Value Description 0 Cleared after a SETUP packet to indicate that the following packet is an OUT packet. 1 Set after a SETUP packet to indicate that the following packet is an IN packet. Bit 16 – RWALL Read/Write Allowed This bit is set for IN endpoints when the current bank is not full, i.e., the user can write further data into the FIFO. This bit is set for OUT endpoints when the current bank is not empty, i.e., the user can read further data from the FIFO. This bit is never set if USBHS_DEVEPTIMRx.STALLRQ = 1 or in case of error. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 788 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) This bit is cleared otherwise. This bit should not be used for control endpoints. Bits 15:14 – CURRBK[1:0] Current Bank This bit is set for non-control endpoints, to indicate the current bank: This field may be updated one clock cycle after the RWALL bit changes, so the user should not poll this field as an interrupt bit. Value Name Description 0 BANK0 Current bank is bank0 1 BANK1 Current bank is bank1 2 BANK2 Current bank is bank2 3 Reserved Bits 13:12 – NBUSYBK[1:0] Number of Busy Banks This field is set to indicate the number of busy banks: For IN endpoints, it indicates the number of banks filled by the user and ready for IN transfer. When all banks are free, this triggers a PEP_x interrupt if NBUSYBKE = 1. For OUT endpoints, it indicates the number of banks filled by OUT transactions from the host. When all banks are busy, this triggers a PEP_x interrupt if NBUSYBKE = 1. When the USBHS_DEVEPTIMRx.FIFOCON bit is cleared (by writing a one to the USBHS_DEVEPTIMRx.FIFOCONC bit) to validate a new bank, this field is updated two or three clock cycles later to calculate the address of the next bank. A PEP_x interrupt is triggered if: Value Name Description 0 0_BUSY 0 busy bank (all banks free) 1 1_BUSY 1 busy bank 2 2_BUSY 2 busy banks 3 3_BUSY 3 busy banks • for IN endpoint, USBHS_DEVEPTIMRx.NBUSYBKE = 1 and all the banks are free; • for OUT endpoint, USBHS_DEVEPTIMRx.NBUSYBKE = 1 and all the banks are busy. Bits 9:8 – DTSEQ[1:0] Data Toggle Sequence This field is set to indicate the PID of the current bank: For IN transfers, it indicates the data toggle sequence that should be used for the next packet to be sent. This is not relative to the current bank. For OUT transfers, this value indicates the last data toggle sequence received on the current bank. By default, DTSEQ is 0b01, as if the last data toggle sequence was Data1, so the next sent or expected data toggle sequence should be Data0. Value Name Description 0 DATA0 Data0 toggle sequence 1 DATA1 Data1 toggle sequence 2 DATA2 Reserved for high-bandwidth isochronous endpoint 3 MDATA Reserved for high-bandwidth isochronous endpoint Bit 7 – SHORTPACKET Short Packet Interrupt Value Description 0 Cleared when SHORTPACKETC = 1. This acknowledges the interrupt. 1 Set for non-control OUT endpoints, when a short packet has been received. This triggers a PEP_x interrupt if USBHS_DEVEPTIMRx.SHORTPACKETE = 1. Bit 6 – STALLEDI STALLed Interrupt Value Description 0 Cleared when STALLEDIC = 1. This acknowledges the interrupt. 1 Set to signal that a STALL handshake has been sent. To do that, the software has to set the STALLRQ bit (by writing a one to the STALLRQS bit). This triggers a PEP_x interrupt if STALLEDE = 1. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 789 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Bit 5 – OVERFI Overflow Interrupt For all endpoint types, an overflow can occur during the OUT stage if the host attempts to write into a bank that is too small for the packet. The packet is acknowledged and the USBHS_DEVEPTISRx.RXOUTI bit is set as if no overflow had occurred. The bank is filled with all the first bytes of the packet that fit in. Value Description 0 Cleared when the OVERFIC bit is written to one. This acknowledges the interrupt. 1 Set when an overflow error occurs. This triggers a PEP_x interrupt if OVERFE = 1. Bit 4 – NAKINI NAKed IN Interrupt Value Description 0 Cleared when NAKINIC = 1. This acknowledges the interrupt. 1 Set when a NAK handshake has been sent in response to an IN request from the host. This triggers a PEP_x interrupt if NAKINE = 1. Bit 3 – NAKOUTI NAKed OUT Interrupt Value Description 0 Cleared when NAKOUTIC = 1. This acknowledges the interrupt. 1 Set when a NAK handshake has been sent in response to an OUT request from the host. This triggers a PEP_x interrupt if NAKOUTE = 1. Bit 2 – RXSTPI Received SETUP Interrupt This bit is set, for control endpoints, to signal that the current bank contains a new valid SETUP packet. This triggers a PEP_x interrupt if RXSTPE = 1. It is cleared by writing a one to the RXSTPIC bit. This acknowledges the interrupt and frees the bank. This bit is inactive (cleared) for bulk and interrupt IN/OUT endpoints. Bit 1 – RXOUTI Received OUT Data Interrupt For control endpoints: 0: Cleared by writing a one to the RXOUTIC bit. This acknowledges the interrupt and frees the bank. 1: Set when the current bank contains a bulk OUT packet (data or status stage). This triggers a PEP_x interrupt if USBHS_DEVEPTIMRx.RXOUTE = 1. For bulk and interrupt OUT endpoints: 0: Cleared by writing a one to the RXOUTIC bit. This acknowledges the interrupt, which has no effect on the endpoint FIFO. USBHS_DEVEPTISRx.RXOUTI shall always be cleared before clearing USBHS_DEVEPTIMRx.FIFOCON. 1: Set at the same time as USBHS_DEVEPTIMRx.FIFOCON when the current bank is full. This triggers a PEP_x interrupt if USBHS_DEVEPTIMRx.RXOUTE = 1. The user reads from the FIFO and clears the USBHS_DEVEPTIMRx.FIFOCON bit to free the bank. If the OUT endpoint is composed of multiple banks, this also switches to the next bank. The USBHS_DEVEPTISRx.RXOUTI and USBHS_DEVEPTIMRx.FIFOCON bits are set/cleared in accordance with the status of the next bank. This bit is inactive (cleared) for bulk and interrupt IN endpoints. Bit 0 – TXINI Transmitted IN Data Interrupt For control endpoints: 0: Cleared when TXINIC = 1. This acknowledges the interrupt and sends the packet. 1: Set when the current bank is ready to accept a new IN packet. This triggers a PEP_x interrupt if TXINE = 1. For bulk and interrupt IN endpoints: 0: Cleared when TXINIC = 1. This acknowledges the interrupt, which has no effect on the endpoint FIFO. USBHS_DEVEPTISRx.TXINI shall always be cleared before clearing USBHS_DEVEPTIMRx.FIFOCON. 1: Set at the same time as USBHS_DEVEPTIMRx.FIFOCON when the current bank is free. This triggers a PEP_x interrupt if TXINE = 1. The user writes into the FIFO and clears the USBHS_DEVEPTIMRx.FIFOCON bit to allow the USBHS to send the data. If the IN endpoint is composed of multiple banks, this also switches to the next bank. The USBHS_DEVEPTISRx.TXINI and USBHS_DEVEPTIMRx.FIFOCON bits are set/cleared in accordance with the status of the next bank. This bit is inactive (cleared) for bulk and interrupt OUT endpoints. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 790 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.16 Device Endpoint Interrupt Status Register (Isochronous Endpoints) Name:  Offset:  Reset:  Property:  USBHS_DEVEPTISRx (ISOENPT) 0x0130 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if EPTYPE = 0x1 in the ”Device Endpoint x Configuration Register”. Bit 31 Access Reset Bit 23 30 29 28 27 BYCT[10:4] 26 25 24 0 0 0 0 0 0 0 21 20 19 18 CFGOK 17 16 RWALL 0 0 0 14 13 12 NBUSYBK[1:0] 22 BYCT[3:0] Access Reset 0 Bit 15 CURRBK[1:0] Access Reset Bit Access Reset 0 0 0 7 SHORTPACKE T 6 CRCERRI 5 OVERFI 0 0 0 0 11 0 4 3 HBISOFLUSHI HBISOINERRI 0 0 0 10 ERRORTRANS 9 8 0 0 0 2 UNDERFI 1 RXOUTI 0 TXINI 0 0 0 DTSEQ[1:0] Bits 30:20 – BYCT[10:0] Byte Count This field is set with the byte count of the FIFO. For IN endpoints, the field is incremented after each byte written by the software into the endpoint and decremented after each byte sent to the host. For OUT endpoints, the field is incremented after each byte received from the host and decremented after each byte read by the software from the endpoint. This field may be updated one clock cycle after the RWALL bit changes, so the user should not poll this field as an interrupt bit. Bit 18 – CFGOK Configuration OK Status This bit is updated when USBHS_DEVEPTCFGx.ALLOC = 1. This bit is set if the endpoint x number of banks (USBHS_DEVEPTCFGx.EPBK) and size (USBHS_DEVEPTCFGx.EPSIZE) are correct compared to the maximal allowed number of banks and size for this endpoint and to the maximal FIFO size (i.e., the DPRAM size). If this bit is cleared, the user should rewrite correct values to the USBHS_DEVEPTCFGx.EPBK and USBHS_DEVEPTCFGx.EPSIZE fields. Bit 16 – RWALL Read/Write Allowed This bit is set for IN endpoints when the current bank is not full, i.e., the user can write further data into the FIFO. This bit is set for OUT endpoints when the current bank is not empty, i.e., the user can read further data from the FIFO. This bit is never set in case of error. This bit is cleared otherwise. Bits 15:14 – CURRBK[1:0] Current Bank This field is used to indicate the current bank. It may be updated one clock cycle after the RWALL bit changes, so the user should not poll this field as an interrupt bit. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 791 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Value 0 1 2 3 Name BANK0 BANK1 BANK2 Reserved Description Current bank is bank0 Current bank is bank1 Current bank is bank2 Bits 13:12 – NBUSYBK[1:0] Number of Busy Banks This field is set to indicate the number of busy banks: For IN endpoints, it indicates the number of banks filled by the user and ready for IN transfer. When all banks are free, this triggers a PEP_x interrupt if NBUSYBKE = 1. For OUT endpoints, it indicates the number of banks filled by OUT transactions from the host. When all banks are busy, this triggers a PEP_x interrupt if NBUSYBKE = 1. When the USBHS_DEVEPTIMRx.FIFOCON bit is cleared (by writing a one to the USBHS_DEVEPTIMRx.FIFOCONC bit) to validate a new bank, this field is updated two or three clock cycles later to calculate the address of the next bank. A PEP_x interrupt is triggered if: Value Name Description 0 0_BUSY 0 busy bank (all banks free) 1 1_BUSY 1 busy bank 2 2_BUSY 2 busy banks 3 3_BUSY 3 busy banks • For IN endpoint, USBHS_DEVEPTIMRx.NBUSYBKE = 1 and all the banks are free. • For OUT endpoint, USBHS_DEVEPTIMRx.NBUSYBKE = 1 and all the banks are busy. Bit 10 – ERRORTRANS High-bandwidth Isochronous OUT Endpoint Transaction Error Interrupt This bit is set when a transaction error occurs during the current microframe (the data toggle sequencing is not compliant with the USB 2.0 standard). This triggers a PEP_x interrupt if USBHS_DEVEPTIMRx.ERRORTRANSE = 1. This bit is set as long as the current bank (CURRBK) belongs to the bad n-transactions (n = 1, 2 or 3) transferred during the microframe. It is cleared by software by clearing (at least once) the USBHS_DEVEPTIMRx.FIFOCON bit to switch to the bank that belongs to the next n-transactions (next microframe). Bits 9:8 – DTSEQ[1:0] Data Toggle Sequence This field is set to indicate the PID of the current bank: For IN transfers, it indicates the data toggle sequence that should be used for the next packet to be sent. This is not relative to the current bank. For OUT transfers, this value indicates the last data toggle sequence received on the current bank. By default, DTSEQ is 0b01, as if the last data toggle sequence was Data1, so the next sent or expected data toggle sequence should be Data0. For high-bandwidth isochronous endpoint, a PEP_x interrupt is triggered if: Value Name Description 0 DATA0 Data0 toggle sequence 1 DATA1 Data1 toggle sequence 2 DATA2 Data2 toggle sequence (for high-bandwidth isochronous endpoint) 3 MDATA MData toggle sequence (for high-bandwidth isochronous endpoint) • USBHS_DEVEPTIMRx.MDATAE = 1 and a MData packet has been received (DTSEQ = MData and USBHS_DEVEPTISRx.RXOUTI = 1). • USBHS_DEVEPTISRx.DATAXE = 1 and a Data0/1/2 packet has been received (DTSEQ = Data0/1/2 and USBHS_DEVEPTISRx.RXOUTI = 1). Bit 7 – SHORTPACKET Short Packet Interrupt Value Description 0 Cleared when SHORTPACKETC = 1. This acknowledges the interrupt. 1 Set for non-control OUT endpoints, when a short packet has been received. This triggers a PEP_x interrupt if USBHS_DEVEPTIMRx.SHORTPACKETE = 1. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 792 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Bit 6 – CRCERRI CRC Error Interrupt Value Description 0 Cleared when CRCERRIC = 1. This acknowledges the interrupt. 1 Set to signal that a CRC error has been detected in an isochronous OUT endpoint. The OUT packet is stored in the bank as if no CRC error had occurred. This triggers a PEP_x interrupt if CRCERRE = 1. Bit 5 – OVERFI Overflow Interrupt Value Description 0 Cleared when OVERFIC = 1. This acknowledges the interrupt. 1 Set when an overflow error occurs. This triggers a PEP_x interrupt if OVERFE = 1. For all endpoint types, an overflow can occur during OUT stage if the host attempts to write into a bank that is too small for the packet. The packet is acknowledged and the USBHS_DEVEPTISRx.RXOUTI bit is set as if no overflow had occurred. The bank is filled with all the first bytes of the packet that fit in. Bit 4 – HBISOFLUSHI High Bandwidth Isochronous IN Flush Interrupt Value Description 0 Cleared when the HBISOFLUSHIC bit is written to one. This acknowledges the interrupt. 1 Set for High-bandwidth isochronous IN endpoint (with NBTRANS = 2 or 3) at the end of the microframe, if less than N transactions have been completed by the USBHS without underflow error. This may occur in case of a missing IN token. In this case, the banks are flushed out to ensure the data synchronization between the host and the device. This triggers a PEP_x interrupt if HBISOFLUSHE = 1. Bit 3 – HBISOINERRI High Bandwidth Isochronous IN Underflow Error Interrupt Value Description 0 Cleared when the HBISOINERRIC bit is written to one. This acknowledges the interrupt. 1 Set for High-bandwidth isochronous IN endpoint (with NBTRANS = 2 or 3) at the end of the microframe, if less than N banks were written by the CPU within this microframe. This triggers a PEP_x interrupt if HBISOINERRE = 1. Bit 2 – UNDERFI Underflow Interrupt This bit is set, for isochronous IN/OUT endpoints, when an underflow error occurs. This triggers a PEP_x interrupt if UNDERFE = 1. An underflow can occur during IN stage if the host attempts to read from an empty bank. A zero-length packet is then automatically sent by the USBHS. An underflow can also occur during OUT stage if the host sends a packet while the bank is already full. Typically, the CPU is not fast enough. The packet is lost. It is cleared by writing a one to the UNDERFIC bit. This acknowledges the interrupt. Bit 1 – RXOUTI Received OUT Data Interrupt For control endpoints: 0: Cleared by writing a one to the RXOUTIC bit. This acknowledges the interrupt and frees the bank. 1: Set when the current bank contains a bulk OUT packet (data or status stage). This triggers a PEP_x interrupt if USBHS_DEVEPTIMRx.RXOUTE = 1. For OUT endpoints: 0: Cleared by writing a one to the RXOUTIC bit. This acknowledges the interrupt, which has no effect on the endpoint FIFO. USBHS_DEVEPTISRx.RXOUTI shall always be cleared before clearing USBHS_DEVEPTIMRx.FIFOCON. 1: Set at the same time as USBHS_DEVEPTIMRx.FIFOCON when the current bank is full. This triggers a PEP_x interrupt if USBHS_DEVEPTIMRx.RXOUTE = 1. The user reads from the FIFO and clears the USBHS_DEVEPTIMRx.FIFOCON bit to free the bank. If the OUT endpoint is composed of multiple banks, this also switches to the next bank. The USBHS_DEVEPTISRx.RXOUTI and USBHS_DEVEPTIMRx.FIFOCON bits are set/cleared in accordance with the status of the next bank. This bit is inactive (cleared) for IN endpoints. Bit 0 – TXINI Transmitted IN Data Interrupt For control endpoints: 0: Cleared when TXINIC = 1. This acknowledges the interrupt and sends the packet. 1: Set when the current bank is ready to accept a new IN packet. This triggers a PEP_x interrupt if TXINE = 1. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 793 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) For IN endpoints: 0: Cleared when TXINIC = 1. This acknowledges the interrupt, which has no effect on the endpoint FIFO. USBHS_DEVEPTISRx.TXINI shall always be cleared before clearing USBHS_DEVEPTIMRx.FIFOCON. 1: Set at the same time as USBHS_DEVEPTIMRx.FIFOCON when the current bank is free. This triggers a PEP_x interrupt if TXINE = 1. The user writes into the FIFO and clears the USBHS_DEVEPTIMRx.FIFOCON bit to allow the USBHS to send the data. If the IN endpoint is composed of multiple banks, this also switches to the next bank. The USBHS_DEVEPTISRx.TXINI and USBHS_DEVEPTIMRx.FIFOCON bits are set/cleared in accordance with the status of the next bank. This bit is inactive (cleared) for OUT endpoints. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 794 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.17 Device Endpoint Interrupt Clear Register (Control, Bulk, Interrupt Endpoints) Name:  Offset:  Reset:  Property:  USBHS_DEVEPTICRx 0x0160 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if EPTYPE = 0x0, 0x2, or 0x3 in the ”Device Endpoint x Configuration Register”. For additional information, see ”Device Endpoint x Status Register (Control, Bulk, Interrupt Endpoints)”. This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Clears the corresponding bit in USBHS_DEVEPTISRx. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 SHORTPACKE TC 6 STALLEDIC 5 OVERFIC 4 NAKINIC 3 NAKOUTIC 2 RXSTPIC 1 RXOUTIC 0 TXINIC 0 0 0 0 0 0 0 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bit 7 – SHORTPACKETC Short Packet Interrupt Clear Bit 6 – STALLEDIC STALLed Interrupt Clear Bit 5 – OVERFIC Overflow Interrupt Clear Bit 4 – NAKINIC NAKed IN Interrupt Clear Bit 3 – NAKOUTIC NAKed OUT Interrupt Clear Bit 2 – RXSTPIC Received SETUP Interrupt Clear Bit 1 – RXOUTIC Received OUT Data Interrupt Clear Bit 0 – TXINIC Transmitted IN Data Interrupt Clear © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 795 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.18 Device Endpoint Interrupt Clear Register (Isochronous Endpoints) Name:  Offset:  Reset:  Property:  USBHS_DEVEPTICRx (ISOENPT) 0x0160 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if EPTYPE = 0x1 in ”Device Endpoint x Configuration Register”. For additional information, see ”Device Endpoint x Status Register (Isochronous Endpoints)”. This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Clears the corresponding bit in USBHS_DEVEPTISRx. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 SHORTPACKE TC 6 CRCERRIC 5 OVERFIC 2 UNDERFIC 1 RXOUTIC 0 TXINIC 0 0 0 0 0 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset 4 3 HBISOFLUSHI HBISOINERRIC C 0 0 Bit 7 – SHORTPACKETC Short Packet Interrupt Clear Bit 6 – CRCERRIC CRC Error Interrupt Clear Bit 5 – OVERFIC Overflow Interrupt Clear Bit 4 – HBISOFLUSHIC High Bandwidth Isochronous IN Flush Interrupt Clear Bit 3 – HBISOINERRIC High Bandwidth Isochronous IN Underflow Error Interrupt Clear Bit 2 – UNDERFIC Underflow Interrupt Clear Bit 1 – RXOUTIC Received OUT Data Interrupt Clear Bit 0 – TXINIC Transmitted IN Data Interrupt Clear © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 796 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.19 Device Endpoint Interrupt Set Register (Control, Bulk, Interrupt Endpoints) Name:  Offset:  Reset:  Property:  USBHS_DEVEPTIFRx 0x0190 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if EPTYPE = 0x0, 0x2, or 0x3 in ”Device Endpoint x Configuration Register”. For additional information, see ”Device Endpoint x Status Register (Control, Bulk, Interrupt Endpoints)”.This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Sets the corresponding bit in USBHS_DEVEPTISRx, which may be useful for test or debug purposes. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 NBUSYBKS 11 10 9 8 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset 0 7 SHORTPACKE TS 6 STALLEDIS 5 OVERFIS 4 NAKINIS 3 NAKOUTIS 2 RXSTPIS 1 RXOUTIS 0 TXINIS 0 0 0 0 0 0 0 0 Bit 12 – NBUSYBKS Number of Busy Banks Interrupt Set Bit 7 – SHORTPACKETS Short Packet Interrupt Set Bit 6 – STALLEDIS STALLed Interrupt Set Bit 5 – OVERFIS Overflow Interrupt Set Bit 4 – NAKINIS NAKed IN Interrupt Set Bit 3 – NAKOUTIS NAKed OUT Interrupt Set Bit 2 – RXSTPIS Received SETUP Interrupt Set Bit 1 – RXOUTIS Received OUT Data Interrupt Set Bit 0 – TXINIS Transmitted IN Data Interrupt Set © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 797 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.20 Device Endpoint Interrupt Set Register (Isochronous Endpoints) Name:  Offset:  Reset:  Property:  USBHS_DEVEPTIFRx (ISOENPT) 0x0190 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if EPTYPE = 0x1 in ”Device Endpoint x Configuration Register”. For additional information, see ”Device Endpoint x Status Register (Isochronous Endpoints)”. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Sets the corresponding bit in USBHS_DEVEPTISRx, which may be useful for test or debug purposes. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 NBUSYBKS 11 10 9 8 2 UNDERFIS 1 RXOUTIS 0 TXINIS 0 0 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset 0 7 SHORTPACKE TS 6 CRCERRIS 5 OVERFIS 0 0 0 4 3 HBISOFLUSHI HBISOINERRIS S 0 0 Bit 12 – NBUSYBKS Number of Busy Banks Interrupt Set Bit 7 – SHORTPACKETS Short Packet Interrupt Set Bit 6 – CRCERRIS CRC Error Interrupt Set Bit 5 – OVERFIS Overflow Interrupt Set Bit 4 – HBISOFLUSHIS High Bandwidth Isochronous IN Flush Interrupt Set Bit 3 – HBISOINERRIS High Bandwidth Isochronous IN Underflow Error Interrupt Set Bit 2 – UNDERFIS Underflow Interrupt Set Bit 1 – RXOUTIS Received OUT Data Interrupt Set Bit 0 – TXINIS Transmitted IN Data Interrupt Set © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 798 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.21 Device Endpoint Interrupt Mask Register (Control, Bulk, Interrupt Endpoints) Name:  Offset:  Reset:  Property:  USBHS_DEVEPTIMRx 0x01C0 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if EPTYPE = 0x0, 0x2, or 0x3 in ”Device Endpoint x Configuration Register”. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 STALLRQ 18 RSTDT 17 NYETDIS 16 EPDISHDMA 0 0 0 0 11 10 9 8 Access Reset Bit Access Reset Bit 15 14 FIFOCON 13 KILLBK 12 NBUSYBKE 0 0 0 7 SHORTPACKE TE 6 STALLEDE 5 OVERFE 4 NAKINE 3 NAKOUTE 2 RXSTPE 1 RXOUTE 0 TXINE 0 0 0 0 0 0 0 0 Access Reset Bit Access Reset Bit 19 – STALLRQ STALL Request Value Description 0 Cleared when a new SETUP packet is received or when USBHS_DEVEPTIDRx.STALLRQC = 0. 1 Set when USBHS_DEVEPTIERx.STALLRQS = 1. This requests to send a STALL handshake to the host. Bit 18 – RSTDT Reset Data Toggle This bit is set when USBHS_DEVEPTIERx.RSTDTS = 1. This clears the data toggle sequence, i.e., sets to Data0 the data toggle sequence of the next sent (IN endpoints) or received (OUT endpoints) packet. This bit is cleared instantaneously. The user does not have to wait for this bit to be cleared. Bit 17 – NYETDIS NYET Token Disable Value Description 0 Cleared when USBHS_DEVEPTIDRx.NYETDISC = 1. This enables the USBHS to handle the highspeed handshake following the USB 2.0 standard. 1 Set when USBHS_DEVEPTIERx.NYETDISS = 1. This sends a ACK handshake instead of a NYET handshake in High-speed mode. Bit 16 – EPDISHDMA Endpoint Interrupts Disable HDMA Request This bit is set when USBHS_DEVEPTIERx.EPDISHDMAS = 1. This pauses the on-going DMA channel x transfer on any Endpoint x interrupt (PEP_x), whatever the state of the Endpoint x Interrupt Enable bit (PEP_x). The user then has to acknowledge or to disable the interrupt source (e.g. USBHS_DEVEPTISRx.RXOUTI) or to clear the EPDISHDMA bit (by writing a one to the USBHS_DEVEPTIDRx.EPDISHDMAC bit) in order to complete the DMA transfer. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 799 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) In Ping-pong mode, if the interrupt is associated to a new system-bank packet (e.g. Bank1) and the current DMA transfer is running on the previous packet (Bank0), then the previous-packet DMA transfer completes normally, but the new-packet DMA transfer does not start (not requested). If the interrupt is not associated to a new system-bank packet (USBHS_DEVEPTISRx.NAKINI, NAKOUTI, etc.), then the request cancellation may occur at any time and may immediately pause the current DMA transfer. This may be used for example to identify erroneous packets, to prevent them from being transferred into a buffer, to complete a DMA transfer by software after reception of a short packet, etc. Bit 14 – FIFOCON FIFO Control For control endpoints: The FIFOCON and RWALL bits are irrelevant. Therefore, the software never uses them on these endpoints. When read, their value is always 0. For IN endpoints: 0: Cleared (by writing a one to the USBHS_DEVEPTIDRx.FIFOCONC bit) to send the FIFO data and to switch to the next bank. 1: Set when the current bank is free, at the same time as USBHS_DEVEPTISRx.TXINI. For OUT endpoints: 0: Cleared (by writing a one to the USBHS_DEVEPTIDRx.FIFOCONC bit) to free the current bank and to switch to the next bank. 1: Set when the current bank is full, at the same time as USBHS_DEVEPTISRx.RXOUTI. Bit 13 – KILLBK Kill IN Bank This bit is set when the USBHS_DEVEPTIERx.KILLBKS bit is written to one. This kills the last written bank. This bit is cleared when the bank is killed. CAUTION The bank is really cleared when the “kill packet” procedure is accepted by the USBHS core. This bit is automatically cleared after the end of the procedure. The bank is really killed: USBHS_DEVEPTISRx.NBUSYBK is decremented. The bank is not cleared but sent (IN transfer): USBHS_DEVEPTISRx.NBUSYBK is decremented. The bank is not cleared because it was empty. The user should wait for this bit to be cleared before trying to kill another packet. This kill request is refused if at the same time an IN token is coming and the last bank is the current one being sent on the USB line. If at least two banks are ready to be sent, there is no problem to kill a packet even if an IN token is coming. Indeed, in this case, the current bank is sent (IN transfer) while the last bank is killed. Bit 12 – NBUSYBKE Number of Busy Banks Interrupt Value Description 0 Cleared when USBHS_DEVEPTIDRx.NBUSYBKEC = 0. This disables the Number of Busy Banks interrupt (USBHS_DEVEPTISRx.NBUSYBK). 1 Set when the USBHS_DEVEPTIERx.NBUSYBKES = 1. This enables the Number of Busy Banks interrupt (USBHS_DEVEPTISRx.NBUSYBK). Bit 7 – SHORTPACKETE Short Packet Interrupt If this bit is set for non-control IN endpoints, a short packet transmission is guaranteed upon ending a DMA transfer, thus signaling an end of isochronous frame or a bulk or interrupt end of transfer, provided that the End of DMA Buffer Output Enable (END_B_EN) bit and the Automatic Switch (AUTOSW) = 1. Value Description 0 Cleared when USBHS_DEVEPTIDRx.SHORTPACKETEC = 1. This disables the Short Packet interrupt (USBHS_DEVEPTISRx.SHORTPACKET). 1 Set when USBHS_DEVEPTIERx.SHORTPACKETES = 1. This enables the Short Packet interrupt (USBHS_DEVEPTISRx.SHORTPACKET). Bit 6 – STALLEDE STALLed Interrupt © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 800 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Value 0 1 Description Cleared when USBHS_DEVEPTIDRx.STALLEDEC = 1. This disables the STALLed interrupt (USBHS_DEVEPTISRx.STALLEDI). Set when USBHS_DEVEPTIERx.STALLEDES = 1. This enables the STALLed interrupt (USBHS_DEVEPTISRx.STALLEDI). Bit 5 – OVERFE Overflow Interrupt Value Description 0 Cleared when USBHS_DEVEPTIDRx.OVERFEC = 1. This disables the Overflow interrupt (USBHS_DEVEPTISRx.OVERFI). 1 Set when USBHS_DEVEPTIERx.OVERFES = 1. This enables the Overflow interrupt (USBHS_DEVEPTISRx.OVERFI). Bit 4 – NAKINE NAKed IN Interrupt Value Description 0 Cleared when USBHS_DEVEPTIDRx.NAKINEC = 1. This disables the NAKed IN interrupt (USBHS_DEVEPTISRx.NAKINI). 1 Set when USBHS_DEVEPTIERx.NAKINES = 1. This enables the NAKed IN interrupt (USBHS_DEVEPTISRx.NAKINI). Bit 3 – NAKOUTE NAKed OUT Interrupt Value Description 0 Cleared when USBHS_DEVEPTIDRx.NAKOUTEC = 1. This disables the NAKed OUT interrupt (USBHS_DEVEPTISRx.NAKOUTI). 1 Set when USBHS_DEVEPTIERx.NAKOUTES = 1. This enables the NAKed OUT interrupt (USBHS_DEVEPTISRx.NAKOUTI). Bit 2 – RXSTPE Received SETUP Interrupt Value Description 0 Cleared when USBHS_DEVEPTIERx.RXSTPEC = 1. This disables the Received SETUP interrupt (USBHS_DEVEPTISRx.RXSTPI). 1 Set when USBHS_DEVEPTIERx.RXSTPES = 1. This enables the Received SETUP interrupt (USBHS_DEVEPTISRx.RXSTPI). Bit 1 – RXOUTE Received OUT Data Interrupt Value Description 0 Cleared when USBHS_DEVEPTIDRx.RXOUTEC = 1. This disables the Received OUT Data interrupt (USBHS_DEVEPTISRx.RXOUTI). 1 Set when USBHS_DEVEPTIERx.RXOUTES = 1. This enables the Received OUT Data interrupt (USBHS_DEVEPTISRx.RXOUTI). Bit 0 – TXINE Transmitted IN Data Interrupt Value Description 0 Cleared when USBHS_DEVEPTIDRx.TXINEC = 1. This disables the Transmitted IN Data interrupt (USBHS_DEVEPTISRx.TXINI). 1 Set when USBHS_DEVEPTIERx.TXINES = 1. This enables the Transmitted IN Data interrupt (USBHS_DEVEPTISRx.TXINI). © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 801 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.22 Device Endpoint Interrupt Mask Register (Isochronous Endpoints) Name:  Offset:  Reset:  Property:  USBHS_DEVEPTIMRx (ISOENPT) 0x01C0 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if EPTYPE = 0x1 in ”Device Endpoint x Configuration Register”. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 RSTDT 17 16 EPDISHDMA Access Reset Bit Access Reset Bit 0 15 14 FIFOCON 13 KILLBK 12 NBUSYBKE 0 0 0 7 SHORTPACKE TE 6 CRCERRE 5 OVERFE 0 0 0 Access Reset Bit Access Reset 11 4 3 HBISOFLUSHE HBISOINERRE 0 0 0 10 ERRORTRANS E 9 DATAXE 8 MDATAE 0 0 0 2 UNDERFE 1 RXOUTE 0 TXINE 0 0 0 Bit 18 – RSTDT Reset Data Toggle This bit is set when USBHS_DEVEPTIERx.RSTDTS = 1. This clears the data toggle sequence, i.e., sets to Data0 the data toggle sequence of the next sent (IN endpoints) or received (OUT endpoints) packet. This bit is cleared instantaneously. The user does not have to wait for this bit to be cleared. Bit 16 – EPDISHDMA Endpoint Interrupts Disable HDMA Request This bit is set when USBHS_DEVEPTIERx.EPDISHDMAS = 1. This pauses the on-going DMA channel x transfer on any Endpoint x interrupt (PEP_x), whatever the state of the Endpoint x Interrupt Enable bit (PEP_x). The user then has to acknowledge or to disable the interrupt source (e.g. USBHS_DEVEPTISRx.RXOUTI) or to clear the EPDISHDMA bit (by writing a one to the USBHS_DEVEPTIDRx.EPDISHDMAC bit) in order to complete the DMA transfer. In Ping-pong mode, if the interrupt is associated to a new system-bank packet (e.g. Bank1) and the current DMA transfer is running on the previous packet (Bank0), then the previous-packet DMA transfer completes normally, but the new-packet DMA transfer does not start (not requested). If the interrupt is not associated to a new system-bank packet (USBHS_DEVEPTISRx.NAKINI, NAKOUTI, etc.), then the request cancellation may occur at any time and may immediately pause the current DMA transfer. This may be used for example to identify erroneous packets, to prevent them from being transferred into a buffer, to complete a DMA transfer by software after reception of a short packet, etc. Bit 14 – FIFOCON FIFO Control For control endpoints: The FIFOCON and RWALL bits are irrelevant. Therefore, the software never uses them on these endpoints. When read, their value is always 0. For IN endpoints: © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 802 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 0: Cleared (by writing a one to the USBHS_DEVEPTIDRx.FIFOCONC bit) to send the FIFO data and to switch to the next bank. 1: Set when the current bank is free, at the same time as USBHS_DEVEPTISRx.TXINI. For OUT endpoints: 0: Cleared (by writing a one to the USBHS_DEVEPTIDRx.FIFOCONC bit) to free the current bank and to switch to the next bank. 1: Set when the current bank is full, at the same time as USBHS_DEVEPTISRx.RXOUTI. Bit 13 – KILLBK Kill IN Bank CAUTION The bank is really cleared when the “kill packet” procedure is accepted by the USBHS core. This bit is automatically cleared after the end of the procedure. The bank is really killed: USBHS_DEVEPTISRx.NBUSYBK is decremented. The bank is not cleared but sent (IN transfer): USBHS_DEVEPTISRx.NBUSYBK is decremented. The bank is not cleared because it was empty. The user should wait for this bit to be cleared before trying to kill another packet. This kill request is refused if at the same time an IN token is coming and the last bank is the current one being sent on the USB line. If at least two banks are ready to be sent, there is no problem to kill a packet even if an IN token is coming. Indeed, in this case, the current bank is sent (IN transfer) while the last bank is killed. Value Description 0 Cleared when the bank is killed. 1 Set when USBHS_DEVEPTIERx.KILLBKS = 1. This kills the last written bank. Bit 12 – NBUSYBKE Number of Busy Banks Interrupt Value Description 0 Cleared when USBHS_DEVEPTIDRx.NBUSYBKEC = 0. This disables the Number of Busy Banks interrupt (USBHS_DEVEPTISRx.NBUSYBK). 1 Set when USBHS_DEVEPTIERx.NBUSYBKES = 1. This enables the Number of Busy Banks interrupt (USBHS_DEVEPTISRx.NBUSYBK). Bit 10 – ERRORTRANSE Transaction Error Interrupt Value Description 0 Cleared when USBHS_DEVEPTIDRx.ERRORTRANSEC = 1. This disables the transaction error interrupt (USBHS_DEVEPTISRx.ERRORTRANS). 1 Set when USBHS_DEVEPTIERx.ERRORTRANSES = 1. This enables the transaction error interrupt (USBHS_DEVEPTISRx.ERRORTRANS). Bit 9 – DATAXE DataX Interrupt Value Description 0 Cleared when USBHS_DEVEPTIDRx.DATAXEC = 1. This disables the DATAX interrupt. 1 Set when the USBHS_DEVEPTIERx.DATAXES = 1. This enables the DATAX interrupt (see DTSEQ bits). Bit 8 – MDATAE MData Interrupt Value Description 0 Cleared when USBHS_DEVEPTIDRx.MDATAEC = 1. This disables the Multiple DATA interrupt. 1 Set when the USBHS_DEVEPTIERx.MDATAES = 1. This enables the Multiple DATA interrupt (see DTSEQ bits). Bit 7 – SHORTPACKETE Short Packet Interrupt If this bit is set for non-control IN endpoints, a short packet transmission is guaranteed upon ending a DMA transfer, thus signaling an end of isochronous frame or a bulk or interrupt end of transfer, provided that the End of DMA Buffer Output Enable (END_B_EN) bit and the Automatic Switch (AUTOSW) bit = 1. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 803 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Value 0 1 Description Cleared when USBHS_DEVEPTIDRx.SHORTPACKETEC = 1. This disables the Short Packet interrupt (USBHS_DEVEPTISRx.SHORTPACKET). Set when USBHS_DEVEPTIERx.SHORTPACKETES = 1. This enables the Short Packet interrupt (USBHS_DEVEPTISRx.SHORTPACKET). Bit 6 – CRCERRE CRC Error Interrupt Value Description 0 Cleared when USBHS_DEVEPTIDRx.CRCERREC = 1. This disables the CRC Error interrupt (USBHS_DEVEPTISRx.CRCERRI). 1 Set when USBHS_DEVEPTIERx.CRCERRES = 1. This enables the CRC Error interrupt (USBHS_DEVEPTISRx.CRCERRI). Bit 5 – OVERFE Overflow Interrupt Value Description 0 Cleared when USBHS_DEVEPTIDRx.OVERFEC = 1. This disables the Overflow interrupt (USBHS_DEVEPTISRx.OVERFI). 1 Set when USBHS_DEVEPTIERx.OVERFES = 1. This enables the Overflow interrupt (USBHS_DEVEPTISRx.OVERFI). Bit 4 – HBISOFLUSHE High Bandwidth Isochronous IN Flush Interrupt Value Description 0 Cleared when the USBHS_DEVEPTIDRx.HBISOFLUSHEC bit disables the HBISOFLUSHI interrupt. 1 Set when USBHS_DEVEPTIERx.HBISOFLUSHES = 1. This enables the HBISOFLUSHI interrupt. Bit 3 – HBISOINERRE High Bandwidth Isochronous IN Error Interrupt Value Description 0 Cleared when the USBHS_DEVEPTIDRx.HBISOINERREC bit disables the HBISOINERRI interrupt. 1 Set when USBHS_DEVEPTIERx.HBISOINERRES = 1. This enables the HBISOINERRI interrupt. Bit 2 – UNDERFE Underflow Interrupt Value Description 0 Cleared when USBHS_DEVEPTIDRx.UNDERFEC = 1. This disables the Underflow interrupt (USBHS_DEVEPTISRx.UNDERFI). 1 Set when USBHS_DEVEPTIERx.UNDERFES = 1. This enables the Underflow interrupt (USBHS_DEVEPTISRx.UNDERFI). Bit 1 – RXOUTE Received OUT Data Interrupt Value Description 0 Cleared when USBHS_DEVEPTIDRx.RXOUTEC = 1. This disables the Received OUT Data interrupt (USBHS_DEVEPTISRx.RXOUTI). 1 Set when USBHS_DEVEPTIERx.RXOUTES = 1. This enables the Received OUT Data interrupt (USBHS_DEVEPTISRx.RXOUTI). Bit 0 – TXINE Transmitted IN Data Interrupt Value Description 0 Cleared when USBHS_DEVEPTIDRx.TXINEC = 1. This disables the Transmitted IN Data interrupt (USBHS_DEVEPTISRx.TXINI). 1 Set when USBHS_DEVEPTIERx.TXINES = 1. This enables the Transmitted IN Data interrupt (USBHS_DEVEPTISRx.TXINI). © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 804 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.23 Device Endpoint Interrupt Disable Register (Control, Bulk, Interrupt Endpoints) Name:  Offset:  Reset:  Property:  USBHS_DEVEPTIDRx 0x0220 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if EPTYPE = 0x0, 0x2, or 0x3 in ”Device Endpoint x Configuration Register”. For additional information, see ”Device Endpoint x Mask Register (Control, Bulk, Interrupt Endpoints)”. This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Clears the corresponding bit in USBHS_DEVEPTIMRx. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 STALLRQC 18 17 NYETDISC 16 EPDISHDMAC 0 0 Access Reset Bit Access Reset Bit 0 15 Access Reset Bit Access Reset 14 FIFOCONC 13 12 NBUSYBKEC 0 11 10 9 8 0 7 SHORTPACKE TEC 6 STALLEDEC 5 OVERFEC 4 NAKINEC 3 NAKOUTEC 2 RXSTPEC 1 RXOUTEC 0 TXINEC 0 0 0 0 0 0 0 0 Bit 19 – STALLRQC STALL Request Clear Bit 17 – NYETDISC NYET Token Disable Clear Bit 16 – EPDISHDMAC Endpoint Interrupts Disable HDMA Request Clear Bit 14 – FIFOCONC FIFO Control Clear Bit 12 – NBUSYBKEC Number of Busy Banks Interrupt Clear Bit 7 – SHORTPACKETEC Shortpacket Interrupt Clear Bit 6 – STALLEDEC STALLed Interrupt Clear Bit 5 – OVERFEC Overflow Interrupt Clear Bit 4 – NAKINEC NAKed IN Interrupt Clear Bit 3 – NAKOUTEC NAKed OUT Interrupt Clear © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 805 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Bit 2 – RXSTPEC Received SETUP Interrupt Clear Bit 1 – RXOUTEC Received OUT Data Interrupt Clear Bit 0 – TXINEC Transmitted IN Interrupt Clear © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 806 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.24 Device Endpoint Interrupt Disable Register (Isochronous Endpoints) Name:  Offset:  Reset:  Property:  USBHS_DEVEPTIDRx (ISOENPT) 0x0220 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if EPTYPE = 0x1 in ”Device Endpoint x Configuration Register”. For additional information, see ”Device Endpoint x Mask Register (Isochronous Endpoints)”. This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Clears the corresponding bit in USBHS_DEVEPTIMRx. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 EPDISHDMAC Access Reset Bit Access Reset Bit 0 15 Access Reset Bit Access Reset 14 FIFOCONC 13 12 NBUSYBKEC 0 11 10 ERRORTRANS EC 9 DATAXEC 8 MDATEC 0 0 0 2 UNDERFEC 1 RXOUTEC 0 TXINEC 0 0 0 0 7 SHORTPACKE TEC 6 CRCERREC 5 OVERFEC 0 0 0 4 3 HBISOFLUSHE HBISOINERRE C C 0 0 Bit 16 – EPDISHDMAC Endpoint Interrupts Disable HDMA Request Clear Bit 14 – FIFOCONC FIFO Control Clear Bit 12 – NBUSYBKEC Number of Busy Banks Interrupt Clear Bit 10 – ERRORTRANSEC Transaction Error Interrupt Clear Bit 9 – DATAXEC DataX Interrupt Clear Bit 8 – MDATEC MData Interrupt Clear Bit 7 – SHORTPACKETEC Shortpacket Interrupt Clear Bit 6 – CRCERREC CRC Error Interrupt Clear Bit 5 – OVERFEC Overflow Interrupt Clear © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 807 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Bit 4 – HBISOFLUSHEC High Bandwidth Isochronous IN Flush Interrupt Clear Bit 3 – HBISOINERREC High Bandwidth Isochronous IN Error Interrupt Clear Bit 2 – UNDERFEC Underflow Interrupt Clear Bit 1 – RXOUTEC Received OUT Data Interrupt Clear Bit 0 – TXINEC Transmitted IN Interrupt Clear © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 808 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.25 Device Endpoint Interrupt Enable Register (Control, Bulk, Interrupt Endpoints) Name:  Offset:  Reset:  Property:  USBHS_DEVEPTIERx 0x01F0 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if EPTYPE = 0x0, 0x2, or 0x3 in ”Device Endpoint x Configuration Register”. For additional information, see ”Device Endpoint x Mask Register (Control, Bulk, Interrupt Endpoints)”. This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Sets the corresponding bit in USBHS_DEVEPTIMRx. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 STALLRQS 18 RSTDTS 17 NYETDISS 16 EPDISHDMAS 0 0 0 0 11 10 9 8 Access Reset Bit Access Reset Bit 15 14 FIFOCONS 13 KILLBKS 12 NBUSYBKES 0 0 0 7 SHORTPACKE TES 6 STALLEDES 5 OVERFES 4 NAKINES 3 NAKOUTES 2 RXSTPES 1 RXOUTES 0 TXINES 0 0 0 0 0 0 0 0 Access Reset Bit Access Reset Bit 19 – STALLRQS STALL Request Enable Bit 18 – RSTDTS Reset Data Toggle Enable Bit 17 – NYETDISS NYET Token Disable Enable Bit 16 – EPDISHDMAS Endpoint Interrupts Disable HDMA Request Enable Bit 14 – FIFOCONS FIFO Control Bit 13 – KILLBKS Kill IN Bank Bit 12 – NBUSYBKES Number of Busy Banks Interrupt Enable Bit 7 – SHORTPACKETES Short Packet Interrupt Enable Bit 6 – STALLEDES STALLed Interrupt Enable Bit 5 – OVERFES Overflow Interrupt Enable © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 809 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Bit 4 – NAKINES NAKed IN Interrupt Enable Bit 3 – NAKOUTES NAKed OUT Interrupt Enable Bit 2 – RXSTPES Received SETUP Interrupt Enable Bit 1 – RXOUTES Received OUT Data Interrupt Enable Bit 0 – TXINES Transmitted IN Data Interrupt Enable © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 810 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.26 Device Endpoint Interrupt Enable Register (Isochronous Endpoints) Name:  Offset:  Reset:  Property:  USBHS_DEVEPTIERx (ISOENPT) 0x01F0 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if EPTYPE = 0x1 in ”Device Endpoint x Configuration Register”. For additional information, see ”Device Endpoint x Mask Register (Isochronous Endpoints)”. This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Clears the corresponding bit in USBHS_DEVEPTIMRx. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 RSTDTS 17 16 EPDISHDMAS Access Reset Bit Access Reset Bit 0 15 14 FIFOCONS 13 KILLBKS 12 NBUSYBKES 0 0 0 7 SHORTPACKE TES 6 CRCERRES 5 OVERFES 0 0 0 Access Reset Bit Access Reset 11 4 3 HBISOFLUSHE HBISOINERRE S S 0 0 10 ERRORTRANS ES 9 DATAXES 8 MDATAES 0 0 0 2 UNDERFES 1 RXOUTES 0 TXINES 0 0 0 0 Bit 18 – RSTDTS Reset Data Toggle Enable Bit 16 – EPDISHDMAS Endpoint Interrupts Disable HDMA Request Enable Bit 14 – FIFOCONS FIFO Control Bit 13 – KILLBKS Kill IN Bank Bit 12 – NBUSYBKES Number of Busy Banks Interrupt Enable Bit 10 – ERRORTRANSES Transaction Error Interrupt Enable Bit 9 – DATAXES DataX Interrupt Enable Bit 8 – MDATAES MData Interrupt Enable Bit 7 – SHORTPACKETES Short Packet Interrupt Enable © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 811 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Bit 6 – CRCERRES CRC Error Interrupt Enable Bit 5 – OVERFES Overflow Interrupt Enable Bit 4 – HBISOFLUSHES High Bandwidth Isochronous IN Flush Interrupt Enable Bit 3 – HBISOINERRES High Bandwidth Isochronous IN Error Interrupt Enable Bit 2 – UNDERFES Underflow Interrupt Enable Bit 1 – RXOUTES Received OUT Data Interrupt Enable Bit 0 – TXINES Transmitted IN Data Interrupt Enable © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 812 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.27 Device DMA Channel x Next Descriptor Address Register Name:  Offset:  Reset:  Property:  USBHS_DEVDMANXTDSCx 0x0300 + (x-1)*0x10 [x=1..7] 0 Read/Write Bit 31 30 29 Access Reset 0 0 0 Bit 23 22 21 Access Reset 0 0 0 Bit 15 14 13 Access Reset 0 0 0 Bit 7 6 5 Access Reset 0 0 0 28 27 NXT_DSC_ADD[31:24] 0 0 20 19 NXT_DSC_ADD[23:16] 0 0 12 11 NXT_DSC_ADD[15:8] 0 0 4 3 NXT_DSC_ADD[7:0] 0 0 26 25 24 0 0 0 18 17 16 0 0 0 10 9 8 0 0 0 2 1 0 0 0 0 Bits 31:0 – NXT_DSC_ADD[31:0] Next Descriptor Address This field points to the next channel descriptor to be processed. This channel descriptor must be aligned, so bits 0 to 3 of the address must be equal to zero. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 813 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.28 Device DMA Channel x Address Register Name:  Offset:  Reset:  Property:  USBHS_DEVDMAADDRESSx 0x0304 + (x-1)*0x10 [x=1..7] 0 Read/Write Bit 31 30 29 28 27 BUFF_ADD[31:24] 26 25 24 Access Reset 0 0 0 0 0 0 0 0 Bit 23 22 21 20 19 BUFF_ADD[23:16] 18 17 16 Access Reset 0 0 0 0 0 0 0 0 Bit 15 14 13 12 11 BUFF_ADD[15:8] 10 9 8 Access Reset 0 0 0 0 0 0 0 0 Bit 7 6 5 4 3 BUFF_ADD[7:0] 2 1 0 Access Reset 0 0 0 0 0 0 0 0 Bits 31:0 – BUFF_ADD[31:0] Buffer Address This field determines the AHB bus starting address of a DMA channel transfer. Channel start and end addresses may be aligned on any byte boundary. The firmware can write this field only when the USBHS_DEVDMASTATUS.CHANN_ENB bit is clear. This field is updated at the end of the address phase of the current access to the AHB bus. It is incremented by the access byte width. The access width is 4 bytes (or less) at packet start or end, if the start or end address is not aligned on a word boundary. The packet start address is either the channel start address or the next channel address to be accessed in the channel buffer. The packet end address is either the channel end address or the latest channel address accessed in the channel buffer. The channel start address is written by software or loaded from the descriptor. The channel end address is either determined by the end of buffer or the USB device, or by the USB end of transfer if the USBHS_DEVDMACONTROLx.END_TR_EN bit is set. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 814 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.29 Device DMA Channel x Control Register Name:  Offset:  Reset:  Property:  USBHS_DEVDMACONTROLx 0x0308 + (x-1)*0x10 [x=1..7] 0 Read/Write Bit 31 30 29 28 27 BUFF_LENGTH[15:8] 26 25 24 Access Reset 0 0 0 0 0 0 Bit 23 22 21 18 17 16 Access Reset 0 0 0 0 0 0 0 0 Bit 15 14 13 12 11 10 9 8 7 BURST_LCK 6 DESC_LD_IT 5 END_BUFFIT 4 END_TR_IT 3 END_B_EN 2 END_TR_EN 1 LDNXT_DSC 0 CHANN_ENB 0 0 0 0 0 0 0 0 0 0 20 19 BUFF_LENGTH[7:0] Access Reset Bit Access Reset Bits 31:16 – BUFF_LENGTH[15:0] Buffer Byte Length (Write-only) This field determines the number of bytes to be transferred until end of buffer. The maximum channel transfer size (32 KBytes) is reached when this field is 0 (default value). If the transfer size is unknown, this field should be set to 0, but the transfer end may occur earlier under USB device control. When this field is written, the USBHS_DEVDMASTATUSx.BUFF_COUNT field is updated with the write value. Note:  1. Bits [31:2] are only writable when issuing a channel Control Command other than “Stop Now”. Note:  2. For reliability, it is recommended to wait for both the USBHS_DEVDMASTATUSx.CHAN_ACT and the USBHS_DEVDMASTATUSx.CHAN_ENB flags to be at 0, thus ensuring the channel has been stopped before issuing a command other than “Stop Now”. Bit 7 – BURST_LCK Burst Lock Enable Value Description 0 The DMA never locks bus access. 1 USB packets AHB data bursts are locked for maximum optimization of the bus bandwidth usage and maximization of fly-by AHB burst duration. Bit 6 – DESC_LD_IT Descriptor Loaded Interrupt Enable Value Description 0 USBHS_DEVDMASTATUSx.DESC_LDST rising does not trigger any interrupt. 1 An interrupt is generated when a descriptor has been loaded from the bus. Bit 5 – END_BUFFIT End of Buffer Interrupt Enable Value Description 0 USBHS_DEVDMA_STATUSx.END_BF_ST rising does not trigger any interrupt. 1 An interrupt is generated when USBHS_HSTDMASTATUSx.BUFF_COUNT reaches zero. Bit 4 – END_TR_IT End of Transfer Interrupt Enable Use when the receive size is unknown. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 815 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Value 0 1 Description USBHS device-initiated buffer transfer completion does not trigger any interrupt at USBHS_DEVDMASTATUSx.END_TR_ST rising. An interrupt is sent after the buffer transfer is complete, if the USBHS device has ended the buffer transfer. Bit 3 – END_B_EN End of Buffer Enable Control This is mainly for short packet IN validations initiated by the DMA reaching end of buffer, but can be used for OUT packet truncation (discarding of unwanted packet data) at the end of DMA buffer. Value Description 0 DMA Buffer End has no impact on USB packet transfer. 1 The endpoint can validate the packet (according to the values programmed in the USBHS_DEVEPTCFGx.AUTOSW and USBHS_DEVEPTIERx.SHORTPACKETES fields) at DMA Buffer End, i.e., when USBHS_DEVDMASTATUS.BUFF_COUNT reaches 0. Bit 2 – END_TR_EN End of Transfer Enable Control (OUT transfers only) When set, a BULK or INTERRUPT short packet or the last packet of an ISOCHRONOUS (micro) frame (DATAX) closes the current buffer and the USBHS_DEVDMASTATUSx.END_TR_ST flag is raised. This is intended for a USBHS non-prenegotiated end of transfer (BULK or INTERRUPT) or ISOCHRONOUS microframe data buffer closure. Value Description 0 The USB end of transfer is ignored. 1 The USBHS device can put an end to the current buffer transfer. Bit 1 – LDNXT_DSC Load Next Channel Transfer Descriptor Enable Command If the CHANN_ENB bit is cleared, the next descriptor is immediately loaded upon transfer request. DMA Channel Control Command Summary: Value LDNXT_DSC 0 0 1 1 Value 0 1 Value CHANN_ENB 0 1 0 1 Name STOP_NOW RUN_AND_STOP LOAD_NEXT_DESC RUN_AND_LINK Description Stop now Run and stop at end of buffer Load next descriptor now Run and link at end of buffer Description No channel register is loaded after the end of the channel transfer. The channel controller loads the next descriptor after the end of the current transfer, i.e., when the USBHS_DEVDMASTATUS.CHANN_ENB bit is reset. Bit 0 – CHANN_ENB Channel Enable Command Value Description 0 The DMA channel is disabled at end of transfer and no transfer occurs upon request. This bit is also cleared by hardware when the channel source bus is disabled at end of buffer. If the LDNXT_DSC bit has been cleared by descriptor loading, the firmware must set the corresponding CHANN_ENB bit to start the described transfer, if needed. If the LDNXT_DSC bit is cleared, the channel is frozen and the channel registers may then be read and/or written reliably as soon as both USBHS_DEVDMASTATUS.CHANN_ENB and CHANN_ACT flags read as 0. If a channel request is currently serviced when this bit is cleared, the DMA FIFO buffer is drained until it is empty, then the USBHS_DEVDMASTATUS.CHANN_ENB bit is cleared. 1 If the LDNXT_DSC bit is set at or after this bit clearing, then the currently loaded descriptor is skipped (no data transfer occurs) and the next descriptor is immediately loaded. The USBHS_DEVDMASTATUS.CHANN_ENB bit is set, thus enabling the DMA channel data transfer. Then, any pending request starts the transfer. This may be used to start or resume any requested transfer. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 816 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.30 Device DMA Channel x Status Register Name:  Offset:  Reset:  Property:  USBHS_DEVDMASTATUSx 0x030C + (x-1)*0x10 [x=1..7] 0 Read/Write Bit 31 30 29 28 27 BUFF_COUNT[15:8] 26 25 24 Access Reset 0 0 0 0 0 0 Bit 23 22 21 18 17 16 Access Reset 0 0 0 0 0 0 0 0 Bit 15 14 13 12 11 10 9 8 7 6 DESC_LDST 5 END_BF_ST 4 END_TR_ST 3 2 1 CHANN_ACT 0 CHANN_ENB 0 0 0 0 0 0 0 20 19 BUFF_COUNT[7:0] Access Reset Bit Access Reset Bits 31:16 – BUFF_COUNT[15:0] Buffer Byte Count This field determines the current number of bytes still to be transferred for this buffer. This field is decremented from the AHB source bus access byte width at the end of this bus address phase. The access byte width is 4 by default, or less, at DMA start or end, if the start or end address is not aligned on a word boundary. At the end of buffer, the DMA accesses the USBHS device only for the number of bytes needed to complete it. Note:  For OUT endpoints, if the receive buffer byte length (BUFF_LENGTH) has been defaulted to zero because the USB transfer length is unknown, the actual buffer byte length received is 0x10000-BUFF_COUNT. Bit 6 – DESC_LDST Descriptor Loaded Status Valid until the CHANN_ENB flag is cleared at the end of the next buffer transfer. Value Description 0 Cleared automatically when read by software. 1 Set by hardware when a descriptor has been loaded from the system bus. Bit 5 – END_BF_ST End of Channel Buffer Status Valid until the CHANN_ENB flag is cleared at the end of the next buffer transfer. Value Description 0 Cleared automatically when read by software. 1 Set by hardware when the BUFF_COUNT count-down reaches zero. Bit 4 – END_TR_ST End of Channel Transfer Status Valid until the CHANN_ENB flag is cleared at the end of the next buffer transfer. Value Description 0 Cleared automatically when read by software. 1 Set by hardware when the last packet transfer is complete, if the USBHS device has ended the transfer. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 817 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Bit 1 – CHANN_ACT Channel Active Status When a packet transfer is ended, this bit is automatically reset. When a packet transfer cannot be completed due to an END_BF_ST, this flag stays set during the next channel descriptor load (if any) and potentially until completion of a USBHS packet transfer, if allowed by the new descriptor. Value Description 0 The DMA channel is no longer trying to source the packet data. 1 The DMA channel is currently trying to source packet data, i.e., selected as the highest-priority requesting channel. Bit 0 – CHANN_ENB Channel Enable Status When any transfer is ended either due to an elapsed byte count or to completion of a USBHS device-initiated transfer, this bit is automatically reset. This bit is normally set or cleared by writing into the USBHS_DEVDMACONTROLx.CHANN_ENB bit field either by software or descriptor loading. If a channel request is currently serviced when the USBHS_DEVDMACONTROLx.CHANN_ENB bit is cleared, the DMA FIFO buffer is drained until it is empty, then this status bit is cleared. Value Description 0 If cleared, the DMA channel no longer transfers data, and may load the next descriptor if the USBHS_DEVDMACONTROLx.LDNXT_DSC bit is set. 1 If set, the DMA channel is currently enabled and transfers data upon request. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 818 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.31 Host General Control Register Name:  Offset:  Reset:  Property:  Bit USBHS_HSTCTRL 0x0400 0x00000000 Read/Write 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 SPDCONF[1:0] 11 10 RESUME 9 RESET 8 SOFE 0 0 0 2 1 0 Access Reset Bit Access Reset Bit Access Reset Bit 7 6 0 0 5 4 3 Access Reset Bits 13:12 – SPDCONF[1:0] Mode Configuration This field contains the host speed capability:. Value Name Description 0 NORMAL The host starts in Full-speed mode and performs a high-speed reset to switch to High-speed mode if the downstream peripheral is high-speed capable. 1 LOW_POWER For a better consumption, if high speed is not needed. 2 HIGH_SPEED Forced high speed. 3 FORCED_FS The host remains in Full-speed mode whatever the peripheral speed capability. Bit 10 – RESUME Send USB Resume This bit is cleared when the USB Resume has been sent or when a USB reset is requested. This bit should be written to one only when the start of frame generation is enabled (SOFE = 1). Value Description 0 No effect. 1 Generates a USB Resume on the USB bus. Bit 9 – RESET Send USB Reset This bit is cleared when the USB Reset has been sent. It may be useful to write a zero to this bit when a device disconnection is detected (USBHS_HSTISR.DDISCI = 1) whereas a USB Reset is being sent. Value Description 0 No effect. 1 Generates a USB Reset on the USB bus. Bit 8 – SOFE Start of Frame Generation Enable This bit is set when a USB reset is requested or an upstream resume interrupt is detected (USBHS_HSTISR.TXRSMI). Value Description 0 Disables the SOF generation and leaves the USB bus in idle state. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 819 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Value 1 Description Generates SOF on the USB bus in Full- or High-speed mode and sends “keep alive” signals in Low-speed mode. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 820 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.32 Host Global Interrupt Status Register Name:  Offset:  Reset:  Property:  Bit USBHS_HSTISR 0x0404 0x00000000 Read-only 31 DMA_6 30 DMA_5 29 DMA_4 28 DMA_3 27 DMA_2 26 DMA_1 25 DMA_0 Access Reset 0 0 0 0 0 0 0 Bit 23 22 21 20 19 18 17 PEP_9 16 PEP_8 0 0 Access Reset Bit 24 15 PEP_7 14 PEP_6 13 PEP_5 12 PEP_4 11 PEP_3 10 PEP_2 9 PEP_1 8 PEP_0 Access Reset 0 0 0 0 0 0 0 0 Bit 7 6 HWUPI 5 HSOFI 4 RXRSMI 3 RSMEDI 2 RSTI 1 DDISCI 0 DCONNI 0 0 0 0 0 0 0 Access Reset Bits 25, 26, 27, 28, 29, 30, 31 – DMA_ DMA Channel x Interrupt Value Description 0 Cleared when the USBHS_HSTDMASTATUSx interrupt source is cleared. 1 Set when an interrupt is triggered by the DMA channel x. This triggers a USB interrupt if the corresponding bit in USBHS_HSTIMR = 1. Bits 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 – PEP_ Pipe x Interrupt Value Description 0 Cleared when the interrupt source is served. 1 Set when an interrupt is triggered by pipe x (USBHS_HSTPIPISRx). This triggers a USB interrupt if the corresponding bit in USBHS_HSTIMR = 1. Bit 6 – HWUPI Host Wakeup Interrupt This bit is set when the host controller is in Suspend mode (SOFE = 0) and an upstream resume from the peripheral is detected. This bit is set when the host controller is in Suspend mode (SOFE = 0) and a peripheral disconnection is detected. This interrupt is generated even if the clock is frozen by the USBHS_CTRL.FRZCLK bit. Bit 5 – HSOFI Host Start of Frame Interrupt Value Description 0 Cleared when USBHS_HSTICR.HSOFIC = 1. 1 Set when a SOF is issued by the host controller. This triggers a USB interrupt when HSOFE = 1. When using the host controller in Low-speed mode, this bit is also set when a keep-alive is sent. Bit 4 – RXRSMI Upstream Resume Received Interrupt Value Description 0 Cleared when USBHS_HSTICR.RXRSMIC = 1. 1 Set when an Upstream Resume has been received from the device. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 821 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Bit 3 – RSMEDI Downstream Resume Sent Interrupt Value Description 0 Cleared when USBHS_HSTICR.RSMEDIC = 1. 1 Set when a Downstream Resume has been sent to the device. Bit 2 – RSTI USB Reset Sent Interrupt Value Description 0 Cleared when USBHS_HSTICR.RSTIC = 1. 1 Set when a USB Reset has been sent to the device. Bit 1 – DDISCI Device Disconnection Interrupt Value Description 0 Cleared when USBHS_HSTICR.DDISCIC = 1. 1 Set when the device has been removed from the USB bus. Bit 0 – DCONNI Device Connection Interrupt Value Description 0 Cleared when USBHS_HSTICR.DCONNIC = 1. 1 Set when a new device has been connected to the USB bus. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 822 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.33 Host Global Interrupt Clear Register Name:  Offset:  Property:  USBHS_HSTICR 0x0408 Write-only This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Clears the corresponding bit in USBHS_HSTISR. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 HWUPIC 5 HSOFIC 4 RXRSMIC 3 RSMEDIC 2 RSTIC 1 DDISCIC 0 DCONNIC Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bit 6 – HWUPIC Host Wakeup Interrupt Clear Bit 5 – HSOFIC Host Start of Frame Interrupt Clear Bit 4 – RXRSMIC Upstream Resume Received Interrupt Clear Bit 3 – RSMEDIC Downstream Resume Sent Interrupt Clear Bit 2 – RSTIC USB Reset Sent Interrupt Clear Bit 1 – DDISCIC Device Disconnection Interrupt Clear Bit 0 – DCONNIC Device Connection Interrupt Clear © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 823 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.34 Host Global Interrupt Set Register Name:  Offset:  Property:  USBHS_HSTIFR 0x040C Write-only This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Sets the corresponding bit in USBHS_HSTISR, which may be useful for test or debug purposes. Bit 31 DMA_6 30 DMA_5 29 DMA_4 28 DMA_3 27 DMA_2 26 DMA_1 25 DMA_0 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 HWUPIS 5 HSOFIS 4 RXRSMIS 3 RSMEDIS 2 RSTIS 1 DDISCIS 0 DCONNIS Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bits 25, 26, 27, 28, 29, 30, 31 – DMA_ DMA Channel x Interrupt Set Bit 6 – HWUPIS Host Wakeup Interrupt Set Bit 5 – HSOFIS Host Start of Frame Interrupt Set Bit 4 – RXRSMIS Upstream Resume Received Interrupt Set Bit 3 – RSMEDIS Downstream Resume Sent Interrupt Set Bit 2 – RSTIS USB Reset Sent Interrupt Set Bit 1 – DDISCIS Device Disconnection Interrupt Set Bit 0 – DCONNIS Device Connection Interrupt Set © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 824 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.35 Host Global Interrupt Mask Register Name:  Offset:  Reset:  Property:  Bit USBHS_HSTIMR 0x0410 0x00000000 Read-only 31 DMA_6 30 DMA_5 29 DMA_4 28 DMA_3 27 DMA_2 26 DMA_1 25 DMA_0 Access Reset 0 0 0 0 0 0 0 Bit 23 22 21 20 19 18 17 PEP_9 16 PEP_8 0 0 Access Reset Bit 24 15 PEP_7 14 PEP_6 13 PEP_5 12 PEP_4 11 PEP_3 10 PEP_2 9 PEP_1 8 PEP_0 Access Reset 0 0 0 0 0 0 0 0 Bit 7 6 HWUPIE 5 HSOFIE 4 RXRSMIE 3 RSMEDIE 2 RSTIE 1 DDISCIE 0 DCONNIE 0 0 0 0 0 0 0 Access Reset Bits 25, 26, 27, 28, 29, 30, 31 – DMA_ DMA Channel x Interrupt Enable Value Description 0 Cleared when the corresponding bit in USBHS_HSTIDR = 1. This disables the DMA Channel x Interrupt (USBHS_HSTISR.DMA_x). 1 Set when the corresponding bit in USBHS_HSTIER = 1. This enables the DMA Channel x Interrupt (USBHS_HSTISR.DMA_x). Bits 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 – PEP_ Pipe x Interrupt Enable Value Description 0 Cleared when PEP_x = 1. This disables the Pipe x Interrupt (PEP_x). 1 Set when the corresponding bit in USBHS_HSTIER = 1. This enables the Pipe x Interrupt (USBHS_HSTISR.PEP_x). Bit 6 – HWUPIE Host Wakeup Interrupt Enable Value Description 0 Cleared when USBHS_HSTIDR.HWUPIEC = 1. This disables the Host Wakeup Interrupt (USBHS_HSTISR.HWUPI). 1 Set when USBHS_HSTIER.HWUPIES = 1. This enables the Host Wakeup Interrupt (USBHS_HSTISR.HWUPI). Bit 5 – HSOFIE Host Start of Frame Interrupt Enable Value Description 0 Cleared when USBHS_HSTIDR.HSOFIEC = 1. This disables the Host Start of Frame interrupt (USBHS_HSTISR.HSOFI). 1 Set when USBHS_HSTIER.HSOFIES= 1. This enables the Host Start of Frame interrupt (USBHS_HSTISR.HSOFI). Bit 4 – RXRSMIE Upstream Resume Received Interrupt Enable © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 825 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Value 0 1 Description Cleared when USBHS_HSTIDR.RXRSMIEC= 1. This disables the Downstream Resume interrupt (USBHS_HSTISR.RXRSMI). Set when USBHS_HSTIER.RXRSMIES = 1. This enables the Upstream Resume Received interrupt (USBHS_HSTISR.RXRSMI). Bit 3 – RSMEDIE Downstream Resume Sent Interrupt Enable Value Description 0 Cleared when USBHS_HSTIDR.RSMEDIEC = 1. This disables the Downstream Resume interrupt (USBHS_HSTISR.RSMEDI). 1 Set when USBHS_HSTIER.RSMEDIES = 1. This enables the Downstream Resume interrupt (USBHS_HSTISR.RSMEDI). Bit 2 – RSTIE USB Reset Sent Interrupt Enable Value Description 0 Cleared when USBHS_HSTIDR.RSTIEC = 1. This disables the USB Reset Sent interrupt (USBHS_HSTISR.RSTI). 1 Set when USBHS_HSTIER.RSTIES = 1. This enables the USB Reset Sent interrupt (USBHS_HSTISR.RSTI). Bit 1 – DDISCIE Device Disconnection Interrupt Enable Value Description 0 Cleared when USBHS_HSTIDR.DDISCIEC = 1. This disables the Device Disconnection interrupt (USBHS_HSTISR.DDISCI). 1 Set when USBHS_HSTIER.DDISCIES = 1. This enables the Device Disconnection interrupt (USBHS_HSTISR.DDISCI). Bit 0 – DCONNIE Device Connection Interrupt Enable Value Description 0 Cleared when USBHS_HSTIDR.DCONNIEC = 1. This disables the Device Connection interrupt (USBHS_HSTISR.DCONNI). 1 Set when USBHS_HSTIER.DCONNIES = 1. This enables the Device Connection interrupt (USBHS_HSTISR.DCONNI). © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 826 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.36 Host Global Interrupt Disable Register Name:  Offset:  Property:  USBHS_HSTIDR 0x0414 Write-only This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Clears the corresponding bit in USBHS_HSTIMR. Bit 31 DMA_6 30 DMA_5 29 DMA_4 28 DMA_3 27 DMA_2 26 DMA_1 25 DMA_0 24 23 22 21 20 19 18 17 PEP_9 16 PEP_8 15 PEP_7 14 PEP_6 13 PEP_5 12 PEP_4 11 PEP_3 10 PEP_2 9 PEP_1 8 PEP_0 7 6 HWUPIEC 5 HSOFIEC 4 RXRSMIEC 3 RSMEDIEC 2 RSTIEC 1 DDISCIEC 0 DCONNIEC Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bits 25, 26, 27, 28, 29, 30, 31 – DMA_ DMA Channel x Interrupt Disable Bits 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 – PEP_ Pipe x Interrupt Disable Bit 6 – HWUPIEC Host Wakeup Interrupt Disable Bit 5 – HSOFIEC Host Start of Frame Interrupt Disable Bit 4 – RXRSMIEC Upstream Resume Received Interrupt Disable Bit 3 – RSMEDIEC Downstream Resume Sent Interrupt Disable Bit 2 – RSTIEC USB Reset Sent Interrupt Disable Bit 1 – DDISCIEC Device Disconnection Interrupt Disable Bit 0 – DCONNIEC Device Connection Interrupt Disable © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 827 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.37 Host Global Interrupt Enable Register Name:  Offset:  Property:  USBHS_HSTIER 0x0418 Write-only This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Sets the corresponding bit in USBHS_HSTISR. Bit 31 DMA_6 30 DMA_5 29 DMA_4 28 DMA_3 27 DMA_2 26 DMA_1 25 DMA_0 24 23 22 21 20 19 18 17 PEP_9 16 PEP_8 15 PEP_7 14 PEP_6 13 PEP_5 12 PEP_4 11 PEP_3 10 PEP_2 9 PEP_1 8 PEP_0 7 6 HWUPIES 5 HSOFIES 4 RXRSMIES 3 RSMEDIES 2 RSTIES 1 DDISCIES 0 DCONNIES Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bits 25, 26, 27, 28, 29, 30, 31 – DMA_ DMA Channel x Interrupt Enable Bits 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 – PEP_ Pipe x Interrupt Enable Bit 6 – HWUPIES Host Wakeup Interrupt Enable Bit 5 – HSOFIES Host Start of Frame Interrupt Enable Bit 4 – RXRSMIES Upstream Resume Received Interrupt Enable Bit 3 – RSMEDIES Downstream Resume Sent Interrupt Enable Bit 2 – RSTIES USB Reset Sent Interrupt Enable Bit 1 – DDISCIES Device Disconnection Interrupt Enable Bit 0 – DCONNIES Device Connection Interrupt Enable © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 828 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.38 Host Frame Number Register Name:  Offset:  Reset:  Property:  Bit USBHS_HSTFNUM 0x0420 0x00000000 Read/Write 31 30 29 28 27 26 25 24 Bit 23 22 21 20 19 FLENHIGH[7:0] 18 17 16 Access Reset 0 0 0 0 0 0 0 0 Bit 15 14 13 12 11 10 9 8 Access Reset FNUM[10:5] Access Reset 0 0 0 0 0 0 Bit 7 6 5 FNUM[4:0] 4 3 2 1 MFNUM[2:0] 0 Access Reset 0 0 0 0 0 0 0 0 Bits 23:16 – FLENHIGH[7:0] Frame Length In High-speed mode, this field contains the 8 high-order bits of the 16-bit internal frame counter (at 30  MHz, the counter length is 3750 to ensure a SOF generation every 125 μs). Bits 13:3 – FNUM[10:0] Frame Number This field contains the current SOF number. This field can be written. In this case, the MFNUM field is reset to zero. Bits 2:0 – MFNUM[2:0] Micro Frame Number This field contains the current microframe number (can vary from 0 to 7), updated every 125  μs. When operating in Full-speed mode, this field is tied to zero. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 829 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.39 Host Address 1 Register Name:  Offset:  Reset:  Property:  Bit USBHS_HSTADDR1 0x0424 0x00000000 Read/Write 31 Access Reset Bit 23 Access Reset Bit 15 Access Reset Bit 7 Access Reset 30 29 28 27 HSTADDRP3[6:0] 26 25 24 0 0 0 0 0 0 0 22 21 20 19 HSTADDRP2[6:0] 18 17 16 0 0 0 0 0 0 0 14 13 12 11 HSTADDRP1[6:0] 10 9 8 0 0 0 0 0 0 0 6 5 4 3 HSTADDRP0[6:0] 2 1 0 0 0 0 0 0 0 0 Bits 30:24 – HSTADDRP3[6:0] USB Host Address This field contains the address of the Pipe3 of the USB device. This field is cleared when a USB reset is requested. Bits 22:16 – HSTADDRP2[6:0] USB Host Address This field contains the address of the Pipe2 of the USB device. This field is cleared when a USB reset is requested. Bits 14:8 – HSTADDRP1[6:0] USB Host Address This field contains the address of the Pipe1 of the USB device. This field is cleared when a USB reset is requested. Bits 6:0 – HSTADDRP0[6:0] USB Host Address This field contains the address of the Pipe0 of the USB device. This field is cleared when a USB reset is requested. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 830 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.40 Host Address 2 Register Name:  Offset:  Reset:  Property:  Bit USBHS_HSTADDR2 0x0428 0x00000000 Read/Write 31 Access Reset Bit 23 Access Reset Bit 15 Access Reset Bit 7 Access Reset 30 29 28 27 HSTADDRP7[6:0] 26 25 24 0 0 0 0 0 0 0 22 21 20 19 HSTADDRP6[6:0] 18 17 16 0 0 0 0 0 0 0 14 13 12 11 HSTADDRP5[6:0] 10 9 8 0 0 0 0 0 0 0 6 5 4 3 HSTADDRP4[6:0] 2 1 0 0 0 0 0 0 0 0 Bits 30:24 – HSTADDRP7[6:0] USB Host Address This field contains the address of the Pipe7 of the USB device. This field is cleared when a USB reset is requested. Bits 22:16 – HSTADDRP6[6:0] USB Host Address This field contains the address of the Pipe6 of the USB device. This field is cleared when a USB reset is requested. Bits 14:8 – HSTADDRP5[6:0] USB Host Address This field contains the address of the Pipe5 of the USB device. This field is cleared when a USB reset is requested. Bits 6:0 – HSTADDRP4[6:0] USB Host Address This field contains the address of the Pipe4 of the USB device. This field is cleared when a USB reset is requested. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 831 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.41 Host Address 3 Register Name:  Offset:  Reset:  Property:  Bit USBHS_HSTADDR3 0x042C 0x00000000 Read/Write 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 HSTADDRP9[6:0] 10 9 8 0 0 0 0 0 0 0 6 5 4 3 HSTADDRP8[6:0] 2 1 0 0 0 0 0 0 0 0 Access Reset Bit Access Reset Bit Access Reset Bit 7 Access Reset Bits 14:8 – HSTADDRP9[6:0] USB Host Address This field contains the address of the Pipe9 of the USB device. This field is cleared when a USB reset is requested. Bits 6:0 – HSTADDRP8[6:0] USB Host Address This field contains the address of the Pipe8 of the USB device. This field is cleared when a USB reset is requested. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 832 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.42 Host Pipe Register Name:  Offset:  Reset:  Property:  Bit USBHS_HSTPIP 0x0041C 0x00000000 Read/Write 31 30 29 28 27 26 25 Access Reset Bit 24 PRST8 0 23 PRST7 22 PRST6 21 PRST5 20 PRST4 19 PRST3 18 PRST2 17 PRST1 16 PRST0 Access Reset 0 0 0 0 0 0 0 0 Bit 15 14 13 12 11 10 9 8 PEN8 Access Reset Bit Access Reset 0 7 PEN7 6 PEN6 5 PEN5 4 PEN4 3 PEN3 2 PEN2 1 PEN1 0 PEN0 0 0 0 0 0 0 0 0 Bits 16, 17, 18, 19, 20, 21, 22, 23, 24 – PRST Pipe x Reset Value Description 0 Completes the reset operation and allows to start using the FIFO. 1 Resets the Pipe x FIFO. This resets the pipe x registers (USBHS_HSTPIPCFGx, USBHS_HSTPIPISRx, USBHS_HSTPIPIMRx), but not the pipe configuration (ALLOC, PBK, PSIZE, PTOKEN, PTYPE, PEPNUM, INTFRQ). The whole pipe mechanism (FIFO counter, reception, transmission, etc.) is reset, apart from the Data Toggle management. The pipe configuration remains active and the pipe is still enabled. Bits 0, 1, 2, 3, 4, 5, 6, 7, 8 – PEN Pipe x Enable Value Description 0 Disables Pipe x, which forces the Pipe x state to inactive and resets the pipe x registers (USBHS_HSTPIPCFGx, USBHS_HSTPIPISRx, USBHS_HSTPIPIMRx), but not the pipe configuration (USBHS_HSTPIPCFGx.ALLOC, USBHS_HSTPIPCFGx.PBK, USBHS_HSTPIPCFGx.PSIZE). 1 Enables Pipe x. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 833 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.43 Host Pipe x Configuration Register Name:  Offset:  Reset:  Property:  USBHS_HSTPIPCFGx 0x0500 + x*0x04 [x=0..8] 0 Read/Write For High-speed Bulk-out Pipe, see ”Host Pipe x Configuration Register (High-speed Bulk-out or High-speed Control Pipe)”. Bit Access Reset Bit 31 30 29 R/W 0 R/W 0 R/W 0 23 22 21 28 27 INTFRQ[7:0] R/W R/W 0 0 20 Access Reset Bit 19 14 13 12 11 Bit 7 Access Reset 6 0 R/W 0 R/W 0 5 PSIZE[2:0] 0 4 24 R/W 0 R/W 0 R/W 0 10 AUTOSW R/W 0 PTYPE[1:0] Access Reset 25 18 17 PEPNUM[3:0] R/W R/W 0 0 R/W 0 15 26 3 2 PBK[1:0] 0 R/W 0 R/W 0 16 R/W 0 9 8 PTOKEN[1:0] R/W R/W 0 0 1 ALLOC R/W 0 0 Bits 31:24 – INTFRQ[7:0] Pipe Interrupt Request Frequency This field contains the maximum value in milliseconds of the polling period for an Interrupt Pipe. This value has no effect for a non-Interrupt Pipe. This field is cleared upon sending a USB reset. Bits 19:16 – PEPNUM[3:0] Pipe Endpoint Number This field contains the number of the endpoint targeted by the pipe. This value is from 0 to 9. This field is cleared upon sending a USB reset. Bits 13:12 – PTYPE[1:0] Pipe Type This field contains the pipe type. This field is cleared upon sending a USB reset. Value Name 0 CTRL 1 ISO 2 BLK 3 INTRPT Description Control Isochronous Bulk Interrupt Bit 10 – AUTOSW Automatic Switch This bit is cleared upon sending a USB reset. Value Description 0 The automatic bank switching is disabled. 1 The automatic bank switching is enabled. Bits 9:8 – PTOKEN[1:0] Pipe Token This field contains the pipe token. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 834 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Value 0 1 2 3 Name SETUP IN OUT - Bits 6:4 – PSIZE[2:0] Pipe Size This field contains the size of each pipe bank. This field is cleared upon sending a USB reset. Value Name 0 8_BYTE 1 16_BYTE 2 32_BYTE 3 64_BYTE 4 128_BYTE 5 256_BYTE 6 512_BYTE 7 1024_BYTE Description SETUP IN OUT Reserved Description 8 bytes 16 bytes 32 bytes 64 bytes 128 bytes 256 bytes 512 bytes 1024 bytes Bits 3:2 – PBK[1:0] Pipe Banks This field contains the number of banks for the pipe. For control pipes, a single-bank pipe (0b00) should be selected. This field is cleared upon sending a USB reset. Value Name Description 0 1_BANK Single-bank pipe 1 2_BANK Double-bank pipe 2 3_BANK Triple-bank pipe 3 Reserved Bit 1 – ALLOC Pipe Memory Allocate This bit is cleared when a USB Reset is requested. Refer to ”DPRAM Management” for more details. Value Description 0 Frees the pipe memory. 1 Allocates the pipe memory. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 835 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.44 Host Pipe x Configuration Register (High-speed Bulk-out or High-speed Control Pipe) Name:  Offset:  Reset:  Property:  USBHS_HSTPIPCFGx (HSBOHSCP) 0x0500 + x*0x04 [x=0..8] 0 Read/Write This configuration is relevant only if PTYPE = 0x0 or 0x2 in ”Host Pipe x Configuration Register”. Bit 31 30 29 28 27 BINTERVAL[7:0] 26 25 24 Access Reset 0 0 0 0 0 0 0 0 Bit 23 22 21 20 PINGEN 19 18 17 PEPNUM[3:0] 16 0 0 0 0 0 12 11 10 AUTOSW 9 0 0 0 2 1 ALLOC 0 0 0 Access Reset Bit 15 14 13 PTYPE[1:0] Access Reset Bit 7 Access Reset 6 0 0 0 5 PSIZE[2:0] 4 0 0 3 PBK[1:0] 0 8 PTOKEN[1:0] Bits 31:24 – BINTERVAL[7:0] bInterval Parameter for the Bulk-Out/Ping Transaction This field contains the Ping/Bulk-out period. • If BINTERVAL > 0 and PINGEN = 1, one PING token is sent every bInterval microframe until it is ACKed by the peripheral. • If BINTERVAL = 0 and PINGEN = 1, multiple consecutive PING tokens are sent in the same microframe until they are ACKed. • If BINTERVAL > 0 and PINGEN = 0, one OUT token is sent every bInterval microframe until it is ACKed by the peripheral. • If BINTERVAL = 0 and PINGEN = 0, multiple consecutive OUT tokens are sent in the same microframe until they are ACKed. This value must be in the range from 0 to 255. Bit 20 – PINGEN Ping Enable This bit is relevant for High-speed Bulk-out transaction only (including the control data stage and the control status stage). This bit is cleared upon sending a USB reset. Value Description 0 Disables the ping protocol. 1 Enables the ping mechanism according to the USB 2.0 Standard. Bits 19:16 – PEPNUM[3:0] Pipe Endpoint Number This field contains the number of the endpoint targeted by the pipe. This value is from 0 to 9. This field is cleared upon sending a USB reset. Bits 13:12 – PTYPE[1:0] Pipe Type This field contains the pipe type. This field is cleared upon sending a USB reset. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 836 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Value 0 1 2 3 Name CTRL Reserved BLK Reserved Description Control Bulk Bit 10 – AUTOSW Automatic Switch This bit is cleared upon sending a USB reset. Value Description 0 The automatic bank switching is disabled. 1 The automatic bank switching is enabled. Bits 9:8 – PTOKEN[1:0] Pipe Token This field contains the pipe token. Value Name 0 SETUP 1 IN 2 OUT 3 Reserved Bits 6:4 – PSIZE[2:0] Pipe Size This field contains the size of each pipe bank. This field is cleared upon sending a USB reset. Value Name 0 8_BYTE 1 16_BYTE 2 32_BYTE 3 64_BYTE 4 128_BYTE 5 256_BYTE 6 512_BYTE 7 1024_BYTE Description SETUP IN OUT Description 8 bytes 16 bytes 32 bytes 64 bytes 128 bytes 256 bytes 512 bytes 1024 bytes Bits 3:2 – PBK[1:0] Pipe Banks This field contains the number of banks for the pipe. For control pipes, a single-bank pipe (0b00) should be selected. This field is cleared upon sending a USB reset. Value Name Description 0 1_BANK Single-bank pipe 1 2_BANK Double-bank pipe 2 3_BANK Triple-bank pipe 3 Reserved Bit 1 – ALLOC Pipe Memory Allocate This bit is cleared when a USB Reset is requested. Refer to ”DPRAM Management” for more details. Value Description 0 Frees the pipe memory. 1 Allocates the pipe memory. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 837 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.45 Host Pipe x Status Register (Control, Bulk Pipes) Name:  Offset:  Reset:  Property:  USBHS_HSTPIPISRx 0x0530 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if PTYPE = 0x0 or 0x2 in ”Host Pipe x Configuration Register”. Bit 31 Access Reset Bit 23 30 29 28 27 PBYCT[10:4] 26 25 24 0 0 0 0 0 0 0 21 20 19 18 CFGOK 17 16 RWALL 0 0 0 14 13 12 NBUSYBK[1:0] 22 PBYCT[3:0] Access Reset 0 Bit 15 CURRBK[1:0] Access Reset Bit Access Reset 0 11 10 0 9 8 DTSEQ[1:0] 0 0 0 0 7 SHORTPACKE TI 6 RXSTALLDI 5 OVERFI 4 NAKEDI 3 PERRI 0 0 0 0 0 0 0 2 TXSTPI 1 TXOUTI 0 RXINI 0 0 0 Bits 30:20 – PBYCT[10:0] Pipe Byte Count This field contains the byte count of the FIFO. For an OUT pipe, the field is incremented after each byte written by the user into the pipe and decremented after each byte sent to the peripheral. For an IN pipe, the field is incremented after each byte received from the peripheral and decremented after each byte read by the user from the pipe. This field may be updated 1 clock cycle after the RWALL bit changes, so the user should not poll this field as an interrupt bit. Bit 18 – CFGOK Configuration OK Status This bit is set/cleared when the USBHS_HSTPIPCFGx.ALLOC bit is set. This bit is set if the pipe x number of banks (USBHS_HSTPIPCFGx.PBK) and size (USBHS_HSTPIPCFGx.PSIZE) are correct compared to the maximal allowed number of banks and size for this pipe and to the maximal FIFO size (i.e., the DPRAM size). If this bit is cleared, the user should rewrite correct values for the PBK and PSIZE fields in the USBHS_HSTPIPCFGx register. Bit 16 – RWALL Read/Write Allowed For an OUT pipe, this bit is set when the current bank is not full, i.e., the software can write further data into the FIFO. For an IN pipe, this bit is set when the current bank is not empty, i.e., the software can read further data from the FIFO. This bit is cleared otherwise. This bit is also cleared when the RXSTALLDI or the PERRI bit = 1. Bits 15:14 – CURRBK[1:0] Current Bank For non-control pipe, this field indicates the number of the current bank. This field may be updated 1 clock cycle after the RWALL bit changes, so the user should not poll it as an interrupt bit. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 838 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Value 0 1 2 3 Name BANK0 BANK1 BANK2 Reserved Description Current bank is bank0 Current bank is bank1 Current bank is bank2 Bits 13:12 – NBUSYBK[1:0] Number of Busy Banks This field indicates the number of busy banks. For an OUT pipe, this field indicates the number of busy banks, filled by the user, ready for OUT transfer. When all banks are busy, this triggers a PEP_x interrupt if USBHS_HSTPIPIMRx.NBUSYBKE = 1. For an IN pipe, this field indicates the number of busy banks filled by IN transaction from the Device. When all banks are free, this triggers a PEP_x interrupt if USBHS_HSTPIPIMRx.NBUSYBKE = 1. Value Name Description 0 0_BUSY 0 busy bank (all banks free) 1 1_BUSY 1 busy bank 2 2_BUSY 2 busy banks 3 3_BUSY 3 busy banks Bits 9:8 – DTSEQ[1:0] Data Toggle Sequence This field indicates the data PID of the current bank. For an OUT pipe, this field indicates the data toggle of the next packet that is to be sent. For an IN pipe, this field indicates the data toggle of the received packet stored in the current bank. Value Name Description 0 DATA0 Data0 toggle sequence 1 DATA1 Data1 toggle sequence 2 Reserved 3 Reserved Bit 7 – SHORTPACKETI Short Packet Interrupt Value Description 0 Cleared when USBHS_HSTPIPICR.SHORTPACKETIC = 1. 1 Set when a short packet is received by the host controller (packet length inferior to the PSIZE programmed field). Bit 6 – RXSTALLDI Received STALLed Interrupt This bit is set when a STALL handshake has been received on the current bank of the pipe. The pipe is automatically frozen. This triggers an interrupt if USBHS_HSTPIPIMR.RXSTALLE = 1. Value Description 0 Cleared when USBHS_HSTPIPICR.RXSTALLDIC = 1. Bit 5 – OVERFI Overflow Interrupt Value Description 0 Cleared when USBHS_HSTPIPICR.OVERFIC = 1. 1 Set when the current pipe has received more data than the maximum length of the current pipe. An interrupt is triggered if USBHS_HSTPIPIMR.OVERFIE = 1. Bit 4 – NAKEDI NAKed Interrupt Value Description 0 Cleared when USBHS_HSTPIPICR.NAKEDIC = 1. 1 Set when a NAK has been received on the current bank of the pipe. This triggers an interrupt if USBHS_HSTPIPIMR.NAKEDE = 1. Bit 3 – PERRI Pipe Error Interrupt Value Description 0 Cleared when the error source bit is cleared. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 839 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Value 1 Description Set when an error occurs on the current bank of the pipe. This triggers an interrupt if the USBHS_HSTPIPIMR.PERRE bit is set. Refer to the USBHS_HSTPIPERRx register to determine the source of the error. Bit 2 – TXSTPI Transmitted SETUP Interrupt Value Description 0 Cleared when USBHS_HSTPIPICR.TXSTPIC = 1. 1 Set, for control pipes, when the current SETUP bank is free and can be filled. This triggers an interrupt if USBHS_HSTPIPIMR.TXSTPE = 1. Bit 1 – TXOUTI Transmitted OUT Data Interrupt Value Description 0 Cleared when USBHS_HSTPIPICR.TXOUTIC = 1. 1 Set when the current OUT bank is free and can be filled. This triggers an interrupt if USBHS_HSTPIPIMR.TXOUTE = 1. Bit 0 – RXINI Received IN Data Interrupt Value Description 0 Cleared when USBHS_HSTPIPICR.RXINIC = 1. 1 Set when a new USB message is stored in the current bank of the pipe. This triggers an interrupt if USBHS_HSTPIPIMR.RXINE = 1. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 840 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.46 Host Pipe x Status Register (Interrupt Pipes) Name:  Offset:  Reset:  Property:  USBHS_HSTPIPISRx (INTPIPES) 0x0530 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if PTYPE = 0x3 in ”Host Pipe x Configuration Register”. Bit 31 Access Reset Bit 23 30 29 28 27 PBYCT[10:4] 26 25 24 0 0 0 0 0 0 0 21 20 19 18 CFGOK 17 16 RWALL 0 0 0 14 13 12 NBUSYBK[1:0] 22 PBYCT[3:0] Access Reset 0 Bit 15 CURRBK[1:0] Access Reset Bit Access Reset 0 11 10 0 9 8 DTSEQ[1:0] 0 0 0 0 7 SHORTPACKE TI 6 RXSTALLDI 5 OVERFI 4 NAKEDI 3 PERRI 0 0 0 0 0 0 0 2 UNDERFI 1 TXOUTI 0 RXINI 0 0 0 Bits 30:20 – PBYCT[10:0] Pipe Byte Count This field contains the byte count of the FIFO. For an OUT pipe, the field is incremented after each byte written by the user into the pipe and decremented after each byte sent to the peripheral. For an IN pipe, the field is incremented after each byte received from the peripheral and decremented after each byte read by the user from the pipe. This field may be updated 1 clock cycle after the RWALL bit changes, so the user should not poll this field as an interrupt bit. Bit 18 – CFGOK Configuration OK Status This bit is set/cleared when the USBHS_HSTPIPCFGx.ALLOC bit is set. This bit is set if the pipe x number of banks (USBHS_HSTPIPCFGx.PBK) and size (USBHS_HSTPIPCFGx.PSIZE) are correct compared to the maximal allowed number of banks and size for this pipe, and to the maximal FIFO size (i.e., the DPRAM size). If this bit is cleared, the user should rewrite correct values for the PBK and PSIZE fields in the USBHS_HSTPIPCFGx register. Bit 16 – RWALL Read/Write Allowed For an OUT pipe, this bit is set when the current bank is not full, i.e., the software can write further data into the FIFO. For an IN pipe, this bit is set when the current bank is not empty, i.e., the software can read further data from the FIFO. This bit is cleared otherwise. This bit is also cleared when RXSTALLDI or PERRI = 1. Bits 15:14 – CURRBK[1:0] Current Bank For a non-control pipe, this field indicates the number of the current bank. This field may be updated 1 clock cycle after the RWALL bit changes, so the user should not poll it as an interrupt bit. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 841 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Value 0 1 2 3 Name BANK0 BANK1 BANK2 Reserved Description Current bank is bank0 Current bank is bank1 Current bank is bank2 Bits 13:12 – NBUSYBK[1:0] Number of Busy Banks This field indicates the number of busy banks. For an OUT pipe, this field indicates the number of busy banks, filled by the user, ready for an OUT transfer. When all banks are busy, this triggers a PEP_x interrupt if USBHS_HSTPIPIMRx.NBUSYBKE = 1. For an IN pipe, this field indicates the number of busy banks filled by IN transaction from the device. When all banks are free, this triggers a PEP_x interrupt if USBHS_HSTPIPIMRx.NBUSYBKE = 1. Value Name Description 0 0_BUSY 0 busy bank (all banks free) 1 1_BUSY 1 busy bank 2 2_BUSY 2 busy banks 3 3_BUSY 3 busy banks Bits 9:8 – DTSEQ[1:0] Data Toggle Sequence This field indicates the data PID of the current bank. For an OUT pipe, this field indicates the data toggle of the next packet that is to be sent. For an IN pipe, this field indicates the data toggle of the received packet stored in the current bank. Value Name Description 0 DATA0 Data0 toggle sequence 1 DATA1 Data1 toggle sequence 2 Reserved 3 Reserved Bit 7 – SHORTPACKETI Short Packet Interrupt Value Description 0 Cleared when USBHS_HSTPIPICR.SHORTPACKETIC = 1. 1 Set when a short packet is received by the host controller (packet length inferior to the PSIZE programmed field). Bit 6 – RXSTALLDI Received STALLed Interrupt Value Description 0 Cleared when USBHS_HSTPIPICR.RXSTALLDIC = 1. 1 Set when a STALL handshake has been received on the current bank of the pipe. The pipe is automatically frozen. This triggers an interrupt if USBHS_HSTPIPIMR.RXSTALLE = 1. Bit 5 – OVERFI Overflow Interrupt Value Description 0 Cleared when USBHS_HSTPIPICR.OVERFIC = 1. 1 Set when the current pipe has received more data than the maximum length of the current pipe. An interrupt is triggered if the USBHS_HSTPIPIMR.OVERFIE bit = 1. Bit 4 – NAKEDI NAKed Interrupt Value Description 0 Cleared when USBHS_HSTPIPICR.NAKEDIC = 1. 1 Set when a NAK has been received on the current bank of the pipe. This triggers an interrupt if the USBHS_HSTPIPIMR.NAKEDE bit = 1. Bit 3 – PERRI Pipe Error Interrupt Value Description 0 Cleared when the error source bit is cleared. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 842 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Value 1 Description Set when an error occurs on the current bank of the pipe. This triggers an interrupt if the USBHS_HSTPIPIMR.PERRE bit is set. Refer to the USBHS_HSTPIPERRx register to determine the source of the error. Bit 2 – UNDERFI Underflow Interrupt This bit is set, for an isochronous and interrupt IN/OUT pipe, when an error flow occurs. This triggers an interrupt if UNDERFIE = 1. This bit is set, for an isochronous or interrupt OUT pipe, when a transaction underflow occurs in the current pipe (the pipe cannot send the OUT data packet in time because the current bank is not ready). A zero-length-packet (ZLP) is sent instead. This bit is set, for an isochronous or interrupt IN pipe, when a transaction flow error occurs in the current pipe, i.e, the current bank of the pipe is not free while a new IN USB packet is received. This packet is not stored in the bank. For an interrupt pipe, the overflowed packet is ACKed to comply with the USB standard. This bit is cleared when USBHS_HSTPIPICR.UNDERFIEC = 1. Bit 1 – TXOUTI Transmitted OUT Data Interrupt Value Description 0 Cleared when USBHS_HSTPIPICR.TXOUTIC = 1. 1 Set when the current OUT bank is free and can be filled. This triggers an interrupt if USBHS_HSTPIPIMR.TXOUTE = 1. Bit 0 – RXINI Received IN Data Interrupt Value Description 0 Cleared when USBHS_HSTPIPICR.RXINIC = 1. 1 Set when a new USB message is stored in the current bank of the pipe. This triggers an interrupt if the USBHS_HSTPIPIMR.RXINE bit = 1. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 843 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.47 Host Pipe x Status Register (Isochronous Pipes) Name:  Offset:  Reset:  Property:  USBHS_HSTPIPISRx (ISOPIPES) 0x0530 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if PTYPE = 0x1 in ”Host Pipe x Configuration Register”. Bit 31 Access Reset Bit 23 30 29 28 27 PBYCT[10:4] 26 25 24 0 0 0 0 0 0 0 21 20 19 18 CFGOK 17 16 RWALL 0 0 0 14 13 12 NBUSYBK[1:0] 22 PBYCT[3:0] Access Reset 0 Bit 15 CURRBK[1:0] Access Reset Bit Access Reset 0 11 10 0 9 8 DTSEQ[1:0] 0 0 0 0 7 SHORTPACKE TI 6 CRCERRI 5 OVERFI 4 NAKEDI 3 PERRI 0 0 0 0 0 0 0 2 UNDERFI 1 TXOUTI 0 RXINI 0 0 0 Bits 30:20 – PBYCT[10:0] Pipe Byte Count This field contains the byte count of the FIFO. For an OUT pipe, the field is incremented after each byte written by the user into the pipe and decremented after each byte sent to the peripheral. For an IN pipe, the field is incremented after each byte received from the peripheral and decremented after each byte read by the user from the pipe. This field may be updated 1 clock cycle after the RWALL bit changes, so the user should not poll this field as an interrupt bit. Bit 18 – CFGOK Configuration OK Status This bit is set/cleared when the USBHS_HSTPIPCFGx.ALLOC bit is set. This bit is set if the pipe x number of banks (USBHS_HSTPIPCFGx.PBK) and size (USBHS_HSTPIPCFGx.PSIZE) are correct compared to the maximal allowed number of banks and size for this pipe and to the maximal FIFO size (i.e., the DPRAM size). If this bit is cleared, the user should rewrite correct values for the PBK and PSIZE fields in the USBHS_HSTPIPCFGx register. Bit 16 – RWALL Read/Write Allowed For an OUT pipe, this bit is set when the current bank is not full, i.e., the software can write further data into the FIFO. For an IN pipe, this bit is set when the current bank is not empty, i.e., the software can read further data from the FIFO. This bit is cleared otherwise. This bit is also cleared when the RXSTALLDI or the PERRI bit = 1. Bits 15:14 – CURRBK[1:0] Current Bank For a non-control pipe, this field indicates the number of the current bank. This field may be updated 1 clock cycle after the RWALL bit changes, so the user should not poll it as an interrupt bit. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 844 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Value 0 1 2 3 Name BANK0 BANK1 BANK2 Reserved Description Current bank is bank0 Current bank is bank1 Current bank is bank2 Bits 13:12 – NBUSYBK[1:0] Number of Busy Banks This field indicates the number of busy banks. For an OUT pipe, this field indicates the number of busy banks, filled by the user, ready for an OUT transfer. When all banks are busy, this triggers a PEP_x interrupt if USBHS_HSTPIPIMRx.NBUSYBKE = 1. For an IN pipe, this field indicates the number of busy banks filled by IN transaction from the device. When all banks are free, this triggers a PEP_x interrupt if USBHS_HSTPIPIMRx.NBUSYBKE = 1. Value Name Description 0 0_BUSY 0 busy bank (all banks free) 1 1_BUSY 1 busy bank 2 2_BUSY 2 busy banks 3 3_BUSY 3 busy banks Bits 9:8 – DTSEQ[1:0] Data Toggle Sequence This field indicates the data PID of the current bank. For an OUT pipe, this field indicates the data toggle of the next packet that is to be sent. For an IN pipe, this field indicates the data toggle of the received packet stored in the current bank. Value Name Description 0 DATA0 Data0 toggle sequence 1 DATA1 Data1 toggle sequence 2 Reserved 3 Reserved Bit 7 – SHORTPACKETI Short Packet Interrupt Value Description 0 Cleared when USBHS_HSTPIPICR.SHORTPACKETIC = 1. 1 Set when a short packet is received by the host controller (packet length inferior to the PSIZE programmed field). Bit 6 – CRCERRI CRC Error Interrupt Value Description 0 Cleared when USBHS_HSTPIPICR.CRCERRIC = 1. 1 Set when a CRC error occurs on the current bank of the pipe. This triggers an interrupt if the USBHS_HSTPIPIMR.TXSTPE bit = 1. Bit 5 – OVERFI Overflow Interrupt Value Description 0 Cleared when USBHS_HSTPIPICR.OVERFIC = 1. 1 Set when the current pipe has received more data than the maximum length of the current pipe. An interrupt is triggered if the USBHS_HSTPIPIMR.OVERFIE bit = 1. Bit 4 – NAKEDI NAKed Interrupt Value Description 0 Cleared when USBHS_HSTPIPICR.NAKEDIC = 1. 1 Set when a NAK has been received on the current bank of the pipe. This triggers an interrupt if the USBHS_HSTPIPIMR.NAKEDE bit = 1. Bit 3 – PERRI Pipe Error Interrupt Value Description 0 Cleared when the error source bit is cleared. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 845 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Value 1 Description Set when an error occurs on the current bank of the pipe. This triggers an interrupt if the USBHS_HSTPIPIMR.PERRE bit is set. Refer to the USBHS_HSTPIPERRx register to determine the source of the error. Bit 2 – UNDERFI Underflow Interrupt This bit is set, for an isochronous and interrupt IN/OUT pipe, when an error flow occurs. This triggers an interrupt if the UNDERFIE bit = 1. This bit is set, for an isochronous or interrupt OUT pipe, when a transaction underflow occurs in the current pipe (the pipe cannot send the OUT data packet in time because the current bank is not ready). A zero-length-packet (ZLP) is sent instead. This bit is set, for an isochronous or interrupt IN pipe, when a transaction flow error occurs in the current pipe, i.e, the current bank of the pipe is not free while a new IN USB packet is received. This packet is not stored in the bank. For an interrupt pipe, the overflowed packet is ACKed to comply with the USB standard. This bit is cleared when USBHS_HSTPIPICR.UNDERFIEC = 1. Bit 1 – TXOUTI Transmitted OUT Data Interrupt Value Description 0 Cleared when USBHS_HSTPIPICR.TXOUTIC = 1. 1 Set when the current OUT bank is free and can be filled. This triggers an interrupt if USBHS_HSTPIPIMR.TXOUTE = 1. Bit 0 – RXINI Received IN Data Interrupt Value Description 0 Cleared when USBHS_HSTPIPICR.RXINIC = 1. 1 Set when a new USB message is stored in the current bank of the pipe. This triggers an interrupt if USBHS_HSTPIPIMR.RXINE = 1. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 846 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.48 Host Pipe x Clear Register (Control, Bulk Pipes) Name:  Offset:  Reset:  Property:  USBHS_HSTPIPICRx 0x0560 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if PTYPE = 0x0 or 0x2 in ”Host Pipe x Configuration Register”. For additional information, see ”Host Pipe x Status Register (Control, Bulk Pipes)”. This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Clears the corresponding bit in USBHS_HSTPIPISRx. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 5 OVERFIC 4 NAKEDIC 3 2 TXSTPIC 1 TXOUTIC 0 RXINIC 0 0 0 0 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset 7 6 SHORTPACKE RXSTALLDIC TIC 0 0 Bit 7 – SHORTPACKETIC Short Packet Interrupt Clear Bit 6 – RXSTALLDIC Received STALLed Interrupt Clear Bit 5 – OVERFIC Overflow Interrupt Clear Bit 4 – NAKEDIC NAKed Interrupt Clear Bit 2 – TXSTPIC Transmitted SETUP Interrupt Clear Bit 1 – TXOUTIC Transmitted OUT Data Interrupt Clear Bit 0 – RXINIC Received IN Data Interrupt Clear © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 847 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.49 Host Pipe x Clear Register (Interrupt Pipes) Name:  Offset:  Reset:  Property:  USBHS_HSTPIPICRx (INTPIPES) 0x0560 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if PTYPE = 0x3 in ”Host Pipe x Configuration Register”. For additional information, see ”Host Pipe x Status Register (Interrupt Pipes)”. This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Clears the corresponding bit in USBHS_HSTPIPISRx. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 5 OVERFIC 4 NAKEDIC 3 2 UNDERFIC 1 TXOUTIC 0 RXINIC 0 0 0 0 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset 7 6 SHORTPACKE RXSTALLDIC TIC 0 0 Bit 7 – SHORTPACKETIC Short Packet Interrupt Clear Bit 6 – RXSTALLDIC Received STALLed Interrupt Clear Bit 5 – OVERFIC Overflow Interrupt Clear Bit 4 – NAKEDIC NAKed Interrupt Clear Bit 2 – UNDERFIC Underflow Interrupt Clear Bit 1 – TXOUTIC Transmitted OUT Data Interrupt Clear Bit 0 – RXINIC Received IN Data Interrupt Clear © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 848 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.50 Host Pipe x Clear Register (Isochronous Pipes) Name:  Offset:  Reset:  Property:  USBHS_HSTPIPICRx (ISOPIPES) 0x0560 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if PTYPE = 0x1 in ”Host Pipe x Configuration Register”. For additional information, see ”Host Pipe x Status Register (Isochronous Pipes)”. This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Clears the corresponding bit in USBHS_HSTPIPISRx. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 SHORTPACKE TIC 6 CRCERRIC 5 OVERFIC 4 NAKEDIC 3 2 UNDERFIC 1 TXOUTIC 0 RXINIC 0 0 0 0 0 0 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bit 7 – SHORTPACKETIC Short Packet Interrupt Clear Bit 6 – CRCERRIC CRC Error Interrupt Clear Bit 5 – OVERFIC Overflow Interrupt Clear Bit 4 – NAKEDIC NAKed Interrupt Clear Bit 2 – UNDERFIC Underflow Interrupt Clear Bit 1 – TXOUTIC Transmitted OUT Data Interrupt Clear Bit 0 – RXINIC Received IN Data Interrupt Clear © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 849 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.51 Host Pipe x Set Register (Control, Bulk Pipes) Name:  Offset:  Reset:  Property:  USBHS_HSTPIPIFRx 0x0590 0 Read/Write This register view is relevant only if PTYPE = 0x0 or 0x2 in ”Host Pipe x Configuration Register”. For additional information, see ”Host Pipe x Status Register (Control, Bulk Pipes)”. This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Sets the corresponding bit in USBHS_HSTPIPISRx, which may be useful for test or debug purposes. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 NBUSYBKS 11 10 9 8 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset 0 7 6 SHORTPACKE RXSTALLDIS TIS 0 0 5 OVERFIS 4 NAKEDIS 3 PERRIS 2 TXSTPIS 1 TXOUTIS 0 RXINIS 0 0 0 0 0 0 Bit 12 – NBUSYBKS Number of Busy Banks Set Bit 7 – SHORTPACKETIS Short Packet Interrupt Set Bit 6 – RXSTALLDIS Received STALLed Interrupt Set Bit 5 – OVERFIS Overflow Interrupt Set Bit 4 – NAKEDIS NAKed Interrupt Set Bit 3 – PERRIS Pipe Error Interrupt Set Bit 2 – TXSTPIS Transmitted SETUP Interrupt Set Bit 1 – TXOUTIS Transmitted OUT Data Interrupt Set Bit 0 – RXINIS Received IN Data Interrupt Set © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 850 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.52 Host Pipe x Set Register (Interrupt Pipes) Name:  Offset:  Reset:  Property:  USBHS_HSTPIPIFRx (INTPIPES) 0x0590 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if PTYPE = 0x3 in ”Host Pipe x Configuration Register”. For additional information, see ”Host Pipe x Status Register (Interrupt Pipes)”. This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Sets the corresponding bit in USBHS_HSTPIPISRx, which may be useful for test or debug purposes. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 NBUSYBKS 11 10 9 8 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset 0 7 6 SHORTPACKE RXSTALLDIS TIS 0 0 5 OVERFIS 4 NAKEDIS 3 PERRIS 2 UNDERFIS 1 TXOUTIS 0 RXINIS 0 0 0 0 0 0 Bit 12 – NBUSYBKS Number of Busy Banks Set Bit 7 – SHORTPACKETIS Short Packet Interrupt Set Bit 6 – RXSTALLDIS Received STALLed Interrupt Set Bit 5 – OVERFIS Overflow Interrupt Set Bit 4 – NAKEDIS NAKed Interrupt Set Bit 3 – PERRIS Pipe Error Interrupt Set Bit 2 – UNDERFIS Underflow Interrupt Set Bit 1 – TXOUTIS Transmitted OUT Data Interrupt Set Bit 0 – RXINIS Received IN Data Interrupt Set © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 851 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.53 Host Pipe x Set Register (Isochronous Pipes) Name:  Offset:  Reset:  Property:  USBHS_HSTPIPIFRx (ISOPIPES) 0x0590 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if PTYPE = 0x1 in ”Host Pipe x Configuration Register”. For additional information, see ”Host Pipe x Status Register (Isochronous Pipes)”. This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Sets the corresponding bit in USBHS_HSTPIPISRx, which may be useful for test or debug purposes. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 NBUSYBKS 11 10 9 8 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset 0 7 SHORTPACKE TIS 6 CRCERRIS 5 OVERFIS 4 NAKEDIS 3 PERRIS 2 UNDERFIS 1 TXOUTIS 0 RXINIS 0 0 0 0 0 0 0 0 Bit 12 – NBUSYBKS Number of Busy Banks Set Bit 7 – SHORTPACKETIS Short Packet Interrupt Set Bit 6 – CRCERRIS CRC Error Interrupt Set Bit 5 – OVERFIS Overflow Interrupt Set Bit 4 – NAKEDIS NAKed Interrupt Set Bit 3 – PERRIS Pipe Error Interrupt Set Bit 2 – UNDERFIS Underflow Interrupt Set Bit 1 – TXOUTIS Transmitted OUT Data Interrupt Set Bit 0 – RXINIS Received IN Data Interrupt Set © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 852 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.54 Host Pipe x Mask Register (Control, Bulk Pipes) Name:  Offset:  Reset:  Property:  USBHS_HSTPIPIMRx 0x05C0 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if PTYPE = 0x0 or 0x2 in ”Host Pipe x Configuration Register”. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 RSTDT 17 PFREEZE 16 PDISHDMA 0 0 0 11 10 9 8 Access Reset Bit Access Reset Bit 15 14 FIFOCON Access Reset Bit Access Reset 13 12 NBUSYBKE 0 0 7 SHORTPACKE TIE 6 RXSTALLDE 5 OVERFIE 4 NAKEDE 3 PERRE 2 TXSTPE 1 TXOUTE 0 RXINE 0 0 0 0 0 0 0 0 Bit 18 – RSTDT Reset Data Toggle Value Description 0 No reset of the Data Toggle is ongoing. 0 Set when USBHS_HSTPIPIER.RSTDTS = 1. This resets the Data Toggle to its initial value for the current pipe. Bit 17 – PFREEZE Pipe Freeze This freezes the pipe request generation. Value Description 0 Cleared when USBHS_HSTPIPIDR.PFREEZEC = 1. This enables the pipe request generation. 1 Set when one of the following conditions is met: • USBHS_HSTPIPIER.PFREEZES= • The pipe is not configured. • A STALL handshake has been received on the pipe. • An error has occurred on the pipe (USBHS_HSTPIPISR.PERRI = 1). • (INRQ+1) In requests have been processed. • A Pipe Reset (USBHS_HSTPIP.PRSTx rising) has occurred. • A Pipe Enable (USBHS_HSTPIP.PEN rising) has occurred. Bit 16 – PDISHDMA Pipe Interrupts Disable HDMA Request Enable See the USBHS_DEVEPTIMR.EPDISHDMA bit description. Bit 14 – FIFOCON FIFO Control For OUT and SETUP pipes: © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 853 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 0: Cleared when USBHS_HSTPIPIDR.FIFOCONC = 1. This sends the FIFO data and switches the bank. 1: Set when the current bank is free, at the same time as USBHS_HSTPIPISR.TXOUTI or TXSTPI. For an IN pipe: 0: Cleared when USBHS_HSTPIPIDR.FIFOCONC = 1. This frees the current bank and switches to the next bank. 1: Set when a new IN message is stored in the current bank, at the same time as USBHS_HSTPIPISR.RXINI. Bit 12 – NBUSYBKE Number of Busy Banks Interrupt Enable Value Description 0 Cleared when USBHS_HSTPIPIDR.NBUSYBKEC = 1. This disables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.NBUSYBKE). 1 Set when USBHS_HSTPIPIER.NBUSYBKES = 1. This enables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.NBUSYBKE). Bit 7 – SHORTPACKETIE Short Packet Interrupt Enable If this bit is set for non-control OUT pipes, a short packet transmission is guaranteed upon ending a DMA transfer, thus signaling an end of transfer, provided that End of DMA Buffer Output Enable (USBHS_HSTDMACONTROL.END_B_EN) and Automatic Switch (USBHS_HSTPIPCFG.AUTOSW) = 1. Value Description 0 Cleared when USBHS_HSTPIPIDR.SHORTPACKETEC = 1. This disables the Transmitted IN Data IT (USBHS_HSTPIPIMR.SHORTPACKETE). 1 Set when USBHS_HSTPIPIER.SHORTPACKETIES = 1. This enables the Transmitted IN Data IT (USBHS_HSTPIPIMR.SHORTPACKETIE). Bit 6 – RXSTALLDE Received STALLed Interrupt Enable Value Description 0 Cleared when USBHS_HSTPIPIDR.RXSTALLDEC = 1. This disables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.RXSTALLDE). 1 Set when USBHS_HSTPIPIER.RXSTALLDES = 1. This enables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.RXSTALLDE). Bit 5 – OVERFIE Overflow Interrupt Enable Value Description 0 Cleared when USBHS_HSTPIPIDR.OVERFIEC = 1. This disables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.OVERFIE). 1 Set when USBHS_HSTPIPIER.OVERFIES = 1. This enables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.OVERFIE). Bit 4 – NAKEDE NAKed Interrupt Enable Value Description 0 Cleared when USBHS_HSTPIPIDR.NAKEDEC = 1. This disables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.NAKEDE). 1 Set when USBHS_HSTPIPIER.NAKEDES = 1. This enables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.NAKEDE). Bit 3 – PERRE Pipe Error Interrupt Enable Value Description 0 Cleared when USBHS_HSTPIPIDR.PERREC = 1. This disables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.PERRE). 1 Set when USBHS_HSTPIPIER.PERRES = 1. This enables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.PERRE). Bit 2 – TXSTPE Transmitted SETUP Interrupt Enable Value Description 0 Cleared when USBHS_HSTPIPIDR.TXSTPEC = 1. This disables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.TXSTPE). 1 Set when USBHS_HSTPIPIER.TXSTPES = 1. This enables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.TXSTPE). © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 854 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Bit 1 – TXOUTE Transmitted OUT Data Interrupt Enable Value Description 0 Cleared when USBHS_HSTPIPIDR.TXOUTEC = 1. This disables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.TXOUTE). 1 Set when USBHS_HSTPIPIER.TXOUTES = 1. This enables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.TXOUTE). Bit 0 – RXINE Received IN Data Interrupt Enable Value Description 0 Cleared when USBHS_HSTPIPIDR.RXINEC = 1. This disables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.RXINE). 1 Set when USBHS_HSTPIPIER.RXINES = 1. This enables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.RXINE). © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 855 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.55 Host Pipe x Mask Register (Interrupt Pipes) Name:  Offset:  Reset:  Property:  USBHS_HSTPIPIMRx (INTPIPES) 0x05C0 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if PTYPE = 0x3 in ”Host Pipe x Configuration Register”. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 RSTDT 17 PFREEZE 16 PDISHDMA 0 0 0 11 10 9 8 Access Reset Bit Access Reset Bit 15 14 FIFOCON Access Reset Bit Access Reset 13 0 12 NBUSYBKE 0 7 SHORTPACKE TIE 6 RXSTALLDE 5 OVERFIE 4 NAKEDE 3 PERRE 2 UNDERFIE 1 TXOUTE 0 RXINE 0 0 0 0 0 0 0 0 Bit 18 – RSTDT Reset Data Toggle Value Description 0 0: No reset of the Data Toggle is ongoing. 1 Set when USBHS_HSTPIPIER.RSTDTS = 1. This resets the Data Toggle to its initial value for the current pipe. Bit 17 – PFREEZE Pipe Freeze This freezes the pipe request generation. Value Description 0 Cleared when USBHS_HSTPIPIDR.PFREEZEC = 1. This enables the pipe request generation. 1 Set when one of the following conditions is met: • USBHS_HSTPIPIER.PFREEZES = 1 • The pipe is not configured. • A STALL handshake has been received on the pipe. • An error has occurred on the pipe (USBHS_HSTPIPISR.PERRI = 1). • (INRQ+1) in requests have been processed. • A Pipe Reset (USBHS_HSTPIP.PRSTx rising) has occurred. • A Pipe Enable (USBHS_HSTPIP.PEN rising) has occurred. Bit 16 – PDISHDMA Pipe Interrupts Disable HDMA Request Enable See the USBHS_DEVEPTIMR.EPDISHDMA bit description. Bit 14 – FIFOCON FIFO Control For OUT and SETUP pipes: © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 856 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 0: Cleared when USBHS_HSTPIPIDR.FIFOCONC = 1. This sends the FIFO data and switches the bank. 1: Set when the current bank is free, at the same time as USBHS_HSTPIPISR.TXOUTI or TXSTPI. For IN pipes: 0: Cleared when USBHS_HSTPIPIDR.FIFOCONC = 1. This frees the current bank and switches to the next bank. 1: Set when a new IN message is stored in the current bank, at the same time as USBHS_HSTPIPISR.RXINI. Bit 12 – NBUSYBKE Number of Busy Banks Interrupt Enable Value Description 0 Cleared when USBHS_HSTPIPIDR.NBUSYBKEC = 1. This disables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.NBUSYBKE). 1 Set when USBHS_HSTPIPIER.NBUSYBKES = 1. This enables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.NBUSYBKE). Bit 7 – SHORTPACKETIE Short Packet Interrupt Enable If this bit is set for non-control OUT pipes, a short packet transmission is guaranteed upon ending a DMA transfer, thus signaling an end of transfer, provided that the End of DMA Buffer Output Enable (USBHS_HSTDMACONTROL.END_B_EN) bit and the Automatic Switch (USBHS_HSTPIPCFG.AUTOSW) bit = 1. Value Description 0 Cleared when USBHS_HSTPIPIDR.SHORTPACKETEC = 1. This disables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.SHORTPACKETE). 1 Set when USBHS_HSTPIPIER.SHORTPACKETIES = 1. This enables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.SHORTPACKETIE). Bit 6 – RXSTALLDE Received STALLed Interrupt Enable Value Description 0 Cleared when USBHS_HSTPIPIDR.RXSTALLDEC = 1. This disables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.RXSTALLDE). 1 Set when USBHS_HSTPIPIER.RXSTALLDES= 1. This enables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.RXSTALLDE). Bit 5 – OVERFIE Overflow Interrupt Enable Value Description 0 Cleared when USBHS_HSTPIPIDR.OVERFIEC = 1. This disables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.OVERFIE). 1 Set when USBHS_HSTPIPIER.OVERFIES = 1. This enables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.OVERFIE). Bit 4 – NAKEDE NAKed Interrupt Enable Value Description 0 Cleared when USBHS_HSTPIPIDR.NAKEDEC = 1. This disables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.NAKEDE). 1 Set when USBHS_HSTPIPIER.NAKEDES = 1. This enables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.NAKEDE). Bit 3 – PERRE Pipe Error Interrupt Enable Value Description 0 Cleared when USBHS_HSTPIPIDR.PERREC = 1. This disables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.PERRE). 1 Set when USBHS_HSTPIPIER.PERRES = 1. This enables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.PERRE). Bit 2 – UNDERFIE Underflow Interrupt Enable Value Description 0 Cleared when USBHS_HSTPIPIDR.UNDERFIEC= 1. This disables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.UNDERFIE). 1 Set when USBHS_HSTPIPIER.UNDERFIES = 1. This enables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.UNDERFIE). © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 857 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Bit 1 – TXOUTE Transmitted OUT Data Interrupt Enable Value Description 0 Cleared when USBHS_HSTPIPIDR.TXOUTEC = 1. This disables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.TXOUTE). 1 Set when USBHS_HSTPIPIER.TXOUTES = 1. This enables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.TXOUTE). Bit 0 – RXINE Received IN Data Interrupt Enable Value Description 0 Cleared when USBHS_HSTPIPIDR.RXINEC = 1. This disables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.RXINE). 1 Set when USBHS_HSTPIPIER.RXINES= 1. This enables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.RXINE). © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 858 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.56 Host Pipe x Mask Register (Isochronous Pipes) Name:  Offset:  Reset:  Property:  USBHS_HSTPIPIMRx (ISOPIPES) 0x05C0 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if PTYPE = 0x1 in ”Host Pipe x Configuration Register”. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 RSTDT 17 PFREEZE 16 PDISHDMA 0 0 0 11 10 9 8 Access Reset Bit Access Reset Bit 15 14 FIFOCON Access Reset Bit Access Reset 13 0 12 NBUSYBKE 0 7 SHORTPACKE TIE 6 CRCERRE 5 OVERFIE 4 NAKEDE 3 PERRE 2 UNDERFIE 1 TXOUTE 0 RXINE 0 0 0 0 0 0 0 0 Bit 18 – RSTDT Reset Data Toggle Value Description 0 No reset of the Data Toggle is ongoing. 1 Set when USBHS_HSTPIPIER.RSTDTS = 1. This resets the Data Toggle to its initial value for the current pipe. Bit 17 – PFREEZE Pipe Freeze This freezes the pipe request generation. Value Description 0 Cleared when USBHS_HSTPIPIDR.PFREEZEC = 1. This enables the pipe request generation. 1 Set when one of the following conditions is met: • USBHS_HSTPIPIER.PFREEZES = 1. • The pipe is not configured. • A STALL handshake has been received on the pipe. • An error has occurred on the pipe (USBHS_HSTPIPISR.PERRI = 1). • (INRQ+1) In requests have been processed. • A Pipe Reset (USBHS_HSTPIP.PRSTx rising) has occurred. • A Pipe Enable (USBHS_HSTPIP.PEN rising) has occurred. Bit 16 – PDISHDMA Pipe Interrupts Disable HDMA Request Enable See the USBHS_DEVEPTIMR.EPDISHDMA bit description. Bit 14 – FIFOCON FIFO Control For OUT and SETUP pipes: © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 859 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 0: Cleared when USBHS_HSTPIPIDR.FIFOCONC = 1. This sends the FIFO data and switches the bank. 1: Set when the current bank is free, at the same time as USBHS_HSTPIPISR.TXOUTI or TXSTPI. For IN pipes: 0: Cleared when USBHS_HSTPIPIDR.FIFOCONC = 1. This frees the current bank and switches to the next bank. 1: Set when a new IN message is stored in the current bank, at the same time as USBHS_HSTPIPISR.RXINI. Bit 12 – NBUSYBKE Number of Busy Banks Interrupt Enable Value Description 0 Cleared when USBHS_HSTPIPIDR.NBUSYBKEC = 1. This disables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.NBUSYBKE). 1 Set when USBHS_HSTPIPIER.NBUSYBKES = 1. This enables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.NBUSYBKE). Bit 7 – SHORTPACKETIE Short Packet Interrupt Enable If this bit is set for non-control OUT pipes, a short packet transmission is guaranteed upon ending a DMA transfer, thus signaling an end of transfer, provided that the End of DMA Buffer Output Enable (USBHS_HSTDMACONTROL.END_B_EN) bit and the Automatic Switch (USBHS_HSTPIPCFG.AUTOSW) bit = 1. Value Description 0 Cleared when USBHS_HSTPIPIDR.SHORTPACKETEC = 1. This disables the Transmitted interrupt Data IT (USBHS_HSTPIPIMR.SHORTPACKETE). 1 Set when USBHS_HSTPIPIER.SHORTPACKETIES = 1. This enables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.SHORTPACKETIE). Bit 6 – CRCERRE CRC Error Interrupt Enable Value Description 0 Cleared when USBHS_HSTPIPIDR.CRCERREC = 1. This disables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.CRCERRE). 1 Set when USBHS_HSTPIPIER.CRCERRES = 1. This enables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.CRCERRE). Bit 5 – OVERFIE Overflow Interrupt Enable Value Description 0 Cleared when USBHS_HSTPIPIDR.OVERFIEC = 1. This disables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.OVERFIE). 1 Set when USBHS_HSTPIPIER.OVERFIES = 1. This enables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.OVERFIE). Bit 4 – NAKEDE NAKed Interrupt Enable Value Description 0 Cleared when USBHS_HSTPIPIDR.NAKEDEC = 1. This disables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.NAKEDE). 1 Set when USBHS_HSTPIPIER.NAKEDES = 1. This enables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.NAKEDE). Bit 3 – PERRE Pipe Error Interrupt Enable Value Description 0 Cleared when USBHS_HSTPIPIDR.PERREC = 1. This disables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.PERRE). 1 Set when USBHS_HSTPIPIER.PERRES = 1. This enables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.PERRE). Bit 2 – UNDERFIE Underflow Interrupt Enable Value Description 0 Cleared when USBHS_HSTPIPIDR.UNDERFIEC = 1. This disables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.UNDERFIE). 1 Set when USBHS_HSTPIPIER.UNDERFIES = 1. This enables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.UNDERFIE). © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 860 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Bit 1 – TXOUTE Transmitted OUT Data Interrupt Enable Value Description 0 Cleared when USBHS_HSTPIPIDR.TXOUTEC = 1. This disables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.TXOUTE). 1 Set when USBHS_HSTPIPIER.TXOUTES = 1. This enables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.TXOUTE). Bit 0 – RXINE Received IN Data Interrupt Enable Value Description 0 Cleared when USBHS_HSTPIPIDR.RXINEC = 1. This disables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.RXINE). 1 Set when USBHS_HSTPIPIER.RXINES = 1. This enables the Transmitted IN Data interrupt (USBHS_HSTPIPIMR.RXINE). © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 861 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.57 Host Pipe x Disable Register (Control, Bulk Pipes) Name:  Offset:  Reset:  Property:  USBHS_HSTPIPIDRx 0x0620 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if PTYPE = 0x0 or 0x2 in ”Host Pipe x Configuration Register”. For additional information, see ”Host Pipe x Mask Register (Control, Bulk Pipes)”. This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Clears the corresponding bit in USBHS_HSTPIPIMRx. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 PFREEZEC 16 PDISHDMAC 0 0 Access Reset Bit Access Reset Bit 15 Access Reset Bit Access Reset 14 FIFOCONC 13 12 NBUSYBKEC 0 7 6 SHORTPACKE RXSTALLDEC TIEC 0 0 11 10 9 8 0 5 OVERFIEC 4 NAKEDEC 3 PERREC 2 TXSTPEC 1 TXOUTEC 0 RXINEC 0 0 0 0 0 0 Bit 17 – PFREEZEC Pipe Freeze Disable Bit 16 – PDISHDMAC Pipe Interrupts Disable HDMA Request Disable Bit 14 – FIFOCONC FIFO Control Disable Bit 12 – NBUSYBKEC Number of Busy Banks Disable Bit 7 – SHORTPACKETIEC Short Packet Interrupt Disable Bit 6 – RXSTALLDEC Received STALLed Interrupt Disable Bit 5 – OVERFIEC Overflow Interrupt Disable Bit 4 – NAKEDEC NAKed Interrupt Disable Bit 3 – PERREC Pipe Error Interrupt Disable Bit 2 – TXSTPEC Transmitted SETUP Interrupt Disable © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 862 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Bit 1 – TXOUTEC Transmitted OUT Data Interrupt Disable Bit 0 – RXINEC Received IN Data Interrupt Disable © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 863 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.58 Host Pipe x Disable Register (Interrupt Pipes) Name:  Offset:  Reset:  Property:  USBHS_HSTPIPIDRx (INTPIPES) 0x0620 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if PTYPE = 0x3 in ”Host Pipe x Configuration Register”. For additional information, see ”Host Pipe x Mask Register (Interrupt Pipes)”. This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Clears the corresponding bit in USBHS_HSTPIPIMRx. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 PFREEZEC 16 PDISHDMAC 0 0 Access Reset Bit Access Reset Bit 15 Access Reset Bit Access Reset 14 FIFOCONC 13 12 NBUSYBKEC 0 7 6 SHORTPACKE RXSTALLDEC TIEC 0 0 11 10 9 8 0 5 OVERFIEC 4 NAKEDEC 3 PERREC 2 UNDERFIEC 1 TXOUTEC 0 RXINEC 0 0 0 0 0 0 Bit 17 – PFREEZEC Pipe Freeze Disable Bit 16 – PDISHDMAC Pipe Interrupts Disable HDMA Request Disable Bit 14 – FIFOCONC FIFO Control Disable Bit 12 – NBUSYBKEC Number of Busy Banks Disable Bit 7 – SHORTPACKETIEC Short Packet Interrupt Disable Bit 6 – RXSTALLDEC Received STALLed Interrupt Disable Bit 5 – OVERFIEC Overflow Interrupt Disable Bit 4 – NAKEDEC NAKed Interrupt Disable Bit 3 – PERREC Pipe Error Interrupt Disable Bit 2 – UNDERFIEC Underflow Interrupt Disable © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 864 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Bit 1 – TXOUTEC Transmitted OUT Data Interrupt Disable Bit 0 – RXINEC Received IN Data Interrupt Disable © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 865 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.59 Host Pipe x Disable Register (Isochronous Pipes) Name:  Offset:  Reset:  Property:  USBHS_HSTPIPIDRx (ISOPIPES) 0x0620 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if PTYPE = 0x1 in ”Host Pipe x Configuration Register”. For additional information, see ”Host Pipe x Mask Register (Isochronous Pipes)”. This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Clears the corresponding bit in USBHS_HSTPIPIMRx. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 PFREEZEC 16 PDISHDMAC 0 0 Access Reset Bit Access Reset Bit 15 14 FIFOCONC Access Reset Bit Access Reset 13 12 NBUSYBKEC 0 11 10 9 8 0 7 SHORTPACKE TIEC 6 CRCERREC 5 OVERFIEC 4 NAKEDEC 3 PERREC 2 UNDERFIEC 1 TXOUTEC 0 RXINEC 0 0 0 0 0 0 0 0 Bit 17 – PFREEZEC Pipe Freeze Disable Bit 16 – PDISHDMAC Pipe Interrupts Disable HDMA Request Disable Bit 14 – FIFOCONC FIFO Control Disable Bit 12 – NBUSYBKEC Number of Busy Banks Disable Bit 7 – SHORTPACKETIEC Short Packet Interrupt Disable Bit 6 – CRCERREC CRC Error Interrupt Disable Bit 5 – OVERFIEC Overflow Interrupt Disable Bit 4 – NAKEDEC NAKed Interrupt Disable Bit 3 – PERREC Pipe Error Interrupt Disable Bit 2 – UNDERFIEC Underflow Interrupt Disable © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 866 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Bit 1 – TXOUTEC Transmitted OUT Data Interrupt Disable Bit 0 – RXINEC Received IN Data Interrupt Disable © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 867 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.60 Host Pipe x Enable Register (Control, Bulk Pipes) Name:  Offset:  Reset:  Property:  USBHS_HSTPIPIERx 0x05F0 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if PTYPE = 0x0 or 0x2 in ”Host Pipe x Configuration Register”. For additional information, see ”Host Pipe x Mask Register (Control, Bulk Pipes)”. This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Sets the corresponding bit in USBHS_HSTPIPIMRx. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 RSTDTS 17 PFREEZES 16 PDISHDMAS 0 0 0 11 10 9 8 Access Reset Bit Access Reset Bit 15 14 13 12 NBUSYBKES Access Reset Bit Access Reset 0 7 6 SHORTPACKE RXSTALLDES TIES 0 0 5 OVERFIES 4 NAKEDES 3 PERRES 2 TXSTPES 1 TXOUTES 0 RXINES 0 0 0 0 0 0 Bit 18 – RSTDTS Reset Data Toggle Enable Bit 17 – PFREEZES Pipe Freeze Enable Bit 16 – PDISHDMAS Pipe Interrupts Disable HDMA Request Enable Bit 12 – NBUSYBKES Number of Busy Banks Enable Bit 7 – SHORTPACKETIES Short Packet Interrupt Enable Bit 6 – RXSTALLDES Received STALLed Interrupt Enable Bit 5 – OVERFIES Overflow Interrupt Enable Bit 4 – NAKEDES NAKed Interrupt Enable Bit 3 – PERRES Pipe Error Interrupt Enable Bit 2 – TXSTPES Transmitted SETUP Interrupt Enable © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 868 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Bit 1 – TXOUTES Transmitted OUT Data Interrupt Enable Bit 0 – RXINES Received IN Data Interrupt Enable © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 869 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.61 Host Pipe x Enable Register (Interrupt Pipes) Name:  Offset:  Reset:  Property:  USBHS_HSTPIPIERx (INTPIPES) 0x05F0 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if PTYPE = 0x3 in ”Host Pipe x Configuration Register”. For additional information, see ”Host Pipe x Mask Register (Interrupt Pipes)”. This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Sets the corresponding bit in USBHS_HSTPIPIMRx. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 RSTDTS 17 PFREEZES 16 PDISHDMAS 0 0 0 11 10 9 8 Access Reset Bit Access Reset Bit 15 14 13 12 NBUSYBKES Access Reset Bit Access Reset 0 7 6 SHORTPACKE RXSTALLDES TIES 0 0 5 OVERFIES 4 NAKEDES 3 PERRES 2 UNDERFIES 1 TXOUTES 0 RXINES 0 0 0 0 0 0 Bit 18 – RSTDTS Reset Data Toggle Enable Bit 17 – PFREEZES Pipe Freeze Enable Bit 16 – PDISHDMAS Pipe Interrupts Disable HDMA Request Enable Bit 12 – NBUSYBKES Number of Busy Banks Enable Bit 7 – SHORTPACKETIES Short Packet Interrupt Enable Bit 6 – RXSTALLDES Received STALLed Interrupt Enable Bit 5 – OVERFIES Overflow Interrupt Enable Bit 4 – NAKEDES NAKed Interrupt Enable Bit 3 – PERRES Pipe Error Interrupt Enable Bit 2 – UNDERFIES Underflow Interrupt Enable © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 870 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Bit 1 – TXOUTES Transmitted OUT Data Interrupt Enable Bit 0 – RXINES Received IN Data Interrupt Enable © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 871 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.62 Host Pipe x Enable Register (Isochronous Pipes) Name:  Offset:  Reset:  Property:  USBHS_HSTPIPIERx (ISOPIPES) 0x05F0 + x*0x04 [x=0..8] 0 Read/Write This register view is relevant only if PTYPE = 0x1 in ”Host Pipe x Configuration Register”. For additional information, see ”Host Pipe x Mask Register (Isochronous Pipes)”. This register always reads as zero. The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Sets the corresponding bit in USBHS_HSTPIPIMRx. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 RSTDTS 17 PFREEZES 16 PDISHDMAS 0 0 0 11 10 9 8 Access Reset Bit Access Reset Bit 15 14 13 12 NBUSYBKES Access Reset Bit Access Reset 0 7 SHORTPACKE TIES 6 CRCERRES 5 OVERFIES 4 NAKEDES 3 PERRES 2 UNDERFIES 1 TXOUTES 0 RXINES 0 0 0 0 0 0 0 0 Bit 18 – RSTDTS Reset Data Toggle Enable Bit 17 – PFREEZES Pipe Freeze Enable Bit 16 – PDISHDMAS Pipe Interrupts Disable HDMA Request Enable Bit 12 – NBUSYBKES Number of Busy Banks Enable Bit 7 – SHORTPACKETIES Short Packet Interrupt Enable Bit 6 – CRCERRES CRC Error Interrupt Enable Bit 5 – OVERFIES Overflow Interrupt Enable Bit 4 – NAKEDES NAKed Interrupt Enable Bit 3 – PERRES Pipe Error Interrupt Enable Bit 2 – UNDERFIES Underflow Interrupt Enable © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 872 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Bit 1 – TXOUTES Transmitted OUT Data Interrupt Enable Bit 0 – RXINES Received IN Data Interrupt Enable © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 873 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.63 Host Pipe x IN Request Register Name:  Offset:  Reset:  Property:  Bit USBHS_HSTPIPINRQx 0x0650 + x*0x04 [x=0..8] 0 Read/Write 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 INMODE Access Reset Bit Access Reset Bit Access Reset Bit 0 7 6 5 4 3 2 1 0 0 0 0 0 INRQ[7:0] Access Reset 0 0 0 0 Bit 8 – INMODE IN Request Mode Value Description 0 Performs a pre-defined number of IN requests. This number is the INRQ field. 1 Enables the USBHS to perform infinite IN requests when the pipe is not frozen. Bits 7:0 – INRQ[7:0] IN Request Number before Freeze This field contains the number of IN transactions before the USBHS freezes the pipe. The USBHS performs (INRQ+1) IN requests before freezing the pipe. This counter is automatically decreased by 1 each time an IN request has been successfully performed. This register has no effect when INMODE = 1. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 874 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.64 Host Pipe x Error Register Name:  Offset:  Reset:  Property:  USBHS_HSTPIPERRx 0x0680 + x*0x04 [x=0..8] 0 Read/Write Writing a zero in a bit/field in this register clears the bit/field. Writing a one has no effect. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 COUNTER[1:0] 4 CRC16 3 TIMEOUT 2 PID 1 DATAPID 0 DATATGL 0 0 0 0 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset 0 0 Bits 6:5 – COUNTER[1:0] Error Counter This field is incremented each time an error occurs (CRC16, TIMEOUT, PID, DATAPID or DATATGL). This field is cleared when receiving a USB packet free of error. When this field reaches 3 (i.e., 3 consecutive errors), this pipe is automatically frozen (USBHS_HSTPIPIMRx.PFREEZE is set). Bit 4 – CRC16 CRC16 Error Value Description 0 No CRC16 error occurred since last clear of this bit. 1 This bit is automatically set when a CRC16 error has been detected. Bit 3 – TIMEOUT Time-Out Error Value Description 0 No Time-Out error occurred since last clear of this bit. 1 This bit is automatically set when a Time-Out error has been detected. Bit 2 – PID PID Error Value Description 0 No PID error occurred since last clear of this bit. 1 This bit is automatically set when a PID error has been detected. Bit 1 – DATAPID Data PID Error Value Description 0 No Data PID error occurred since last clear of this bit. 1 This bit is automatically set when a Data PID error has been detected. Bit 0 – DATATGL Data Toggle Error © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 875 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Value 0 1 Description No Data Toggle error occurred since last clear of this bit. This bit is automatically set when a Data Toggle error has been detected. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 876 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.65 Host DMA Channel x Next Descriptor Address Register Name:  Offset:  Reset:  Property:  USBHS_HSTDMANXTDSCx 0x0700 + (x-1)*0x10 [x=1..7] 0 Read/Write Bit 31 30 29 Access Reset 0 0 0 Bit 23 22 21 Access Reset 0 0 0 Bit 15 14 13 Access Reset 0 0 0 Bit 7 6 5 Access Reset 0 0 0 28 27 NXT_DSC_ADD[31:24] 0 0 20 19 NXT_DSC_ADD[23:16] 0 0 12 11 NXT_DSC_ADD[15:8] 0 0 4 3 NXT_DSC_ADD[7:0] 0 0 26 25 24 0 0 0 18 17 16 0 0 0 10 9 8 0 0 0 2 1 0 0 0 0 Bits 31:0 – NXT_DSC_ADD[31:0] Next Descriptor Address This field points to the next channel descriptor to be processed. This channel descriptor must be aligned, so bits 0 to 3 of the address must be equal to zero. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 877 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.66 Host DMA Channel x Address Register Name:  Offset:  Reset:  Property:  USBHS_HSTDMAADDRESSx 0x0704 + x*0x10 [x=0..6] 0 Read/Write Bit 31 30 29 28 27 BUFF_ADD[31:24] 26 25 24 Access Reset 0 0 0 0 0 0 0 0 Bit 23 22 21 20 19 BUFF_ADD[23:16] 18 17 16 Access Reset 0 0 0 0 0 0 0 0 Bit 15 14 13 12 11 BUFF_ADD[15:8] 10 9 8 Access Reset 0 0 0 0 0 0 0 0 Bit 7 6 5 4 3 BUFF_ADD[7:0] 2 1 0 Access Reset 0 0 0 0 0 0 0 0 Bits 31:0 – BUFF_ADD[31:0] Buffer Address This field determines the AHB bus starting address of a DMA channel transfer. Channel start and end addresses may be aligned on any byte boundary. The firmware can write this field only when the USBHS_HSTDMASTATUS.CHANN_ENB bit is cleared. This field is updated at the end of the address phase of the current access to the AHB bus. It is incremented by the access byte width. The access width is 4 bytes (or less) at packet start or end, if the start or end address is not aligned on a word boundary. The packet start address is either the channel start address or the next channel address to be accessed in the channel buffer. The packet end address is either the channel end address or the latest channel address accessed in the channel buffer. The channel start address is written by software or loaded from the descriptor. The channel end address is either determined by the end of buffer or the USB device, or by the USB end of transfer if the USBHS_HSTDMACONTROLx.END_TR_EN bit is set. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 878 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.67 Host DMA Channel x Control Register Name:  Offset:  Reset:  Property:  USBHS_HSTDMACONTROLx 0x0708 + x*0x10 [x=0..6] 0 Read/Write Bit 31 30 29 28 27 BUFF_LENGTH[15:8] 26 25 24 Access Reset 0 0 0 0 0 0 Bit 23 22 21 18 17 16 Access Reset 0 0 0 0 0 0 0 0 Bit 15 14 13 12 11 10 9 8 7 BURST_LCK 6 DESC_LD_IT 5 END_BUFFIT 4 END_TR_IT 3 END_B_EN 2 END_TR_EN 1 LDNXT_DSC 0 CHANN_ENB 0 0 0 0 0 0 0 0 0 0 20 19 BUFF_LENGTH[7:0] Access Reset Bit Access Reset Bits 31:16 – BUFF_LENGTH[15:0] Buffer Byte Length (Write-only) This field determines the number of bytes to be transferred until end of buffer. The maximum channel transfer size (32 KBytes) is reached when this field is 0 (default value). If the transfer size is unknown, this field should be set to 0, but the transfer end may occur earlier under USB device control. When this field is written, the USBHS_HSTDMASTATUSx.BUFF_COUNT field is updated with the write value. Notes: 1. Bits [31:2] are only writable when issuing a channel Control Command other than “Stop Now”. 2. For reliability, it is highly recommended to wait for both the USBHS_HSTDMASTATUSx.CHAN_ACT and the CHAN_ENB flags to be at 0, thus ensuring the channel has been stopped before issuing a command other than “Stop Now”. Bit 7 – BURST_LCK Burst Lock Enable Value Description 0 The DMA never locks the bus access. 1 USB packets AHB data bursts are locked for maximum optimization of the bus bandwidth usage and maximization of fly-by AHB burst duration. Bit 6 – DESC_LD_IT Descriptor Loaded Interrupt Enable Value Description 0 USBHS_HSTDMASTATUSx.DESC_LDST rising does not trigger any interrupt. 1 An interrupt is generated when a descriptor has been loaded from the bus. Bit 5 – END_BUFFIT End of Buffer Interrupt Enable Value Description 0 USBHS_HSTDMASTATUSx.END_BF_ST rising does not trigger any interrupt. 1 An interrupt is generated when USBHS_HSTDMASTATUSx.BUFF_COUNT reaches zero. Bit 4 – END_TR_IT End of Transfer Interrupt Enable Use when the receive size is unknown. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 879 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Value 0 1 Description Completion of a USBHS device-initiated buffer transfer does not trigger any interrupt at USBHS_HSTDMASTATUSx.END_TR_ST rising. An interrupt is sent after the buffer transfer is complete, if the USBHS device has ended the buffer transfer. Bit 3 – END_B_EN End of Buffer Enable Control This is mainly for short packet OUT validations initiated by the DMA reaching the end of buffer, but could be used for IN packet truncation (discarding of unwanted packet data) at the end of DMA buffer. Value Description 0 DMA Buffer End has no impact on USB packet transfer. 1 The pipe can validate the packet (according to the values programmed in the USBHS_HSTPIPCFGx.AUTOSW and USBHS_HSTPIPIMRx.SHORTPACKETIE fields) at DMA Buffer End, i.e., when USBHS_HSTDMASTATUS.BUFF_COUNT reaches 0. Bit 2 – END_TR_EN End of Transfer Enable Control (OUT transfers only) When set, a BULK or INTERRUPT short packet closes the current buffer and the USBHS_HSTDMASTATUSx.END_TR_ST flag is raised. This is intended for a USBHS non-prenegotiated USB transfer size. Value Description 0 USB end of transfer is ignored. 1 The USBHS device can put an end to the current buffer transfer. Bit 1 – LDNXT_DSC Load Next Channel Transfer Descriptor Enable Command If the CHANN_ENB bit is cleared, the next descriptor is loaded immediately upon transfer request. DMA Channel Control Command Summary: Value LDNXT_DSC 0 0 1 1 Value 0 1 Value CHANN_ENB 0 1 0 1 Name STOP_NOW RUN_AND_STOP LOAD_NEXT_DESC RUN_AND_LINK Description Stop now Run and stop at end of buffer Load next descriptor now Run and link at end of buffer Description No channel register is loaded after the end of the channel transfer. The channel controller loads the next descriptor after the end of the current transfer, i.e., when the USBHS_HSTDMASTATUS.CHANN_ENB bit is reset. Bit 0 – CHANN_ENB Channel Enable Command If the LDNXT_DSC bit has been cleared by descriptor loading, the firmware has to set the corresponding CHANN_ENB bit to start the described transfer, if needed. If the LDNXT_DSC bit is cleared, the channel is frozen and the channel registers may then be read and/or written reliably as soon as both the USBHS_HSTDMASTATUS.CHANN_ENB and the CHANN_ACT flags read as 0. If a channel request is currently serviced when this bit is cleared, the DMA FIFO buffer is drained until it is empty, then the USBHS_HSTDMASTATUS.CHANN_ENB bit is cleared. If the LDNXT_DSC bit is set or after it has been cleared, the currently loaded descriptor is skipped (no data transfer occurs) and the next descriptor is immediately loaded. Value Description 0 The DMA channel is disabled and no transfer occurs upon request. This bit is also cleared by hardware when the channel source bus is disabled at the end of the buffer. 1 The USBHS_HSTDMASTATUS.CHANN_ENB bit is set, enabling DMA channel data transfer. Then, any pending request starts the transfer. This may be used to start or resume any requested transfer. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 880 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) 39.7.68 Host DMA Channel x Status Register Name:  Offset:  Reset:  Property:  USBHS_HSTDMASTATUSx 0x070C + x*0x10 [x=0..6] 0 Read/Write Bit 31 30 29 28 27 BUFF_COUNT[15:8] 26 25 24 Access Reset 0 0 0 0 0 0 Bit 23 22 21 18 17 16 Access Reset 0 0 0 0 0 0 0 0 Bit 15 14 13 12 11 10 9 8 7 6 DESC_LDST 5 END_BF_ST 4 END_TR_ST 3 2 1 CHANN_ACT 0 CHANN_ENB 0 0 0 0 0 0 0 20 19 BUFF_COUNT[7:0] Access Reset Bit Access Reset Bits 31:16 – BUFF_COUNT[15:0] Buffer Byte Count This field determines the current number of bytes still to be transferred for this buffer. This field is decremented from the AHB source bus access byte width at the end of this bus address phase. The access byte width is 4 by default, or less, at DMA start or end, if the start or end address is not aligned on a word boundary. At the end of buffer, the DMA accesses the USBHS device only for the number of bytes needed to complete it. Note: For IN pipes, if the receive buffer byte length (USBHS_HSTDMACONTROL.BUFF_LENGTH) has been defaulted to zero because the USB transfer length is unknown, the actual buffer byte length received is 0x10000BUFF_COUNT. Bit 6 – DESC_LDST Descriptor Loaded Status Valid until the CHANN_ENB flag is cleared at the end of the next buffer transfer. Value Description 0 Cleared automatically when read by software. 1 Set by hardware when a descriptor has been loaded from the system bus. Bit 5 – END_BF_ST End of Channel Buffer Status Valid until the CHANN_ENB flag is cleared at the end of the next buffer transfer. Value Description 0 Cleared automatically when read by software. 1 Set by hardware when the BUFF_COUNT count-down reaches zero. Bit 4 – END_TR_ST End of Channel Transfer Status Valid until the CHANN_ENB flag is cleared at the end of the next buffer transfer. Value Description 0 Cleared automatically when read by software. 1 Set by hardware when the last packet transfer is complete, if the USBHS device has ended the transfer. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 881 SAM E70/S70/V70/V71 USB High-Speed Interface (USBHS) Bit 1 – CHANN_ACT Channel Active Status When a packet transfer is ended, this bit is automatically reset. When a packet transfer cannot be completed due to an END_BF_ST, this flag stays set during the next channel descriptor load (if any) and potentially until completion of a USBHS packet transfer, if allowed by the new descriptor. Value Description 0 The DMA channel is no longer trying to source the packet data. 1 The DMA channel is currently trying to source packet data, i.e., selected as the highest-priority requesting channel. Bit 0 – CHANN_ENB Channel Enable Status When any transfer is ended either due to an elapsed byte count or to completion of a USBHS device-initiated transfer, this bit is automatically reset. This bit is normally set or cleared by writing into the USBHS_HSTDMACONTROLx.CHANN_ENB bit field either by software or descriptor loading. If a channel request is currently serviced when the USBHS_HSTDMACONTROLx.CHANN_ENB bit is cleared, the DMA FIFO buffer is drained until it is empty, then this status bit is cleared. Value Description 0 If cleared, the DMA channel no longer transfers data, and may load the next descriptor if the USBHS_HSTDMACONTROLx.LDNXT_DSC bit is set. 1 If set, the DMA channel is currently enabled and transfers data upon request. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 882 SAM E70/S70/V70/V71 High-Speed Multimedia Card Interface (HSMCI) 40. 40.1 High-Speed Multimedia Card Interface (HSMCI) Description The High Speed Multimedia Card Interface (HSMCI) supports the MultiMedia Card (MMC) Specification V4.3, the SD Memory Card Specification V2.0, the SDIO V2.0 specification and CE-ATA V1.1. The HSMCI includes a command register, response registers, data registers, timeout counters and error detection logic that automatically handle the transmission of commands and, when required, the reception of the associated responses and data with a limited processor overhead. The HSMCI operates at a rate of up to Host Clock divided by 2 and supports the interfacing of 1 slot(s). Each slot may be used to interface with a High Speed MultiMedia Card bus (up to 30 Cards) or with an SD Memory Card. A bit field in the SD Card Register performs this selection. The SD Memory Card communication is based on a 9-pin interface (clock, command, four data and three power lines) and the High Speed MultiMedia Card on a 7-pin interface (clock, command, one data, three power lines and one reserved for future use). The SD Memory Card interface also supports High Speed MultiMedia Card operations. The main differences between SD and High Speed MultiMedia Cards are the initialization process and the bus topology. HSMCI fully supports CE-ATA Revision 1.1, built on the MMC System Specification v4.0. The module includes dedicated hardware to issue the command completion signal and capture the host command completion signal disable. 40.2 Embedded Characteristics • • • • • • • • • • • • • • Compatible with MultiMedia Card Specification Version 4.3 Compatible with SD Memory Card Specification Version 2.0 Compatible with SDIO Specification Version 2.0 Compatible with CE-ATA Specification 1.1 Cards Clock Rate Up to Host Clock Divided by 2 Boot Operation Mode Support High Speed Mode Support Embedded Power Management to Slow Down Clock Rate When Not Used Supports 1 Multiplexed Slot(s) – Each Slot for either a High Speed MultiMedia Card Bus (Up to 30 Cards) or an SD Memory Card Support for Stream, Block and Multi-block Data Read and Write – Minimizes Processor Intervention for Large Buffer Transfers Built in FIFO (from 16 to 256 bytes) with Large Memory Aperture Supporting Incremental Access Support for CE-ATA Completion Signal Disable Command Protection Against Unexpected Modification On-the-Fly of the Configuration Registers © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 883 SAM E70/S70/V70/V71 High-Speed Multimedia Card Interface (HSMCI) 40.3 Block Diagram Figure 40-1. Block Diagram (4-bit configuration) APB Bridge DMAC APB MCCK(1) MCCDA(1) PMC MCK MCDA0(1) HSMCI Interface PIO MCDA1(1) MCDA2(1) MCDA3(1) Interrupt Control HSMCI Interrupt Note:  1. When several HSMCI (x HSMCI) are embedded in a product, MCCK refers to HSMCIx_CK, MCCDA to HSMCIx_CDA, MCDAy to HSMCIx_DAy. 40.4 Application Block Diagram Figure 40-2. Application Block Diagram Application Layer ex: File System, Audio, Security, etc. Physical Layer HSMCI Interface 1 2 3 4 5 6 7 1 2 3 4 5 6 78 9 9 10 11 1213 8 MMC © 2021 Microchip Technology Inc. and its subsidiaries SDCard Complete Datasheet DS60001527F-page 884 SAM E70/S70/V70/V71 High-Speed Multimedia Card Interface (HSMCI) 40.5 Pin Name List Table 40-1. I/O Lines Description for 4-bit Configuration Pin Name(1) Pin Description Type(2) Comments MCCDA Command/response I/O/PP/OD CMD of an MMC or SDCard/SDIO MCCK Clock O CLK of an MMC or SD Card/SDIO MCDA0–MCDA3 Data 0..3 of Slot A I/O/PP DAT[0..3] of an MMC DAT[0..3] of an SD Card/SDIO Note: 1. When several HSMCI (x HSMCI) are embedded in a product, MCCK refers to HSMCIx_CK, MCCDA to HSMCIx_CDA, MCDAy to HSMCIx_DAy. Note: 2. I: Input, O: Output, PP: Push/Pull, OD: Open Drain. 40.6 Product Dependencies 40.6.1 I/O Lines The pins used for interfacing the High Speed MultiMedia Cards or SD Cards are multiplexed with PIO lines. The programmer must first program the PIO controllers to assign the peripheral functions to HSMCI pins. 40.6.2 Power Management The HSMCI is clocked through the Power Management Controller (PMC), so the programmer must first configure the PMC to enable the HSMCI clock. 40.6.3 Interrupt Sources The HSMCI has an interrupt line connected to the interrupt controller. Handling the HSMCI interrupt requires programming the interrupt controller before configuring the HSMCI. 40.7 Bus Topology Figure 40-3. High Speed MultiMedia Memory Card Bus Topology 1 2 3 4 5 6 7 9 10 11 1213 8 MMC The High Speed MultiMedia Card communication is based on a 13-pin serial bus interface. It has three communication lines and four supply lines. Table 40-2. Bus Topology Pin Number Name Type(1) Description HSMCI Pin Name(2) (Slot z) 1 DAT[3] I/O/PP Data MCDz3 2 CMD I/O/PP/OD Command/response MCCDz 3 VSS1 S Supply voltage ground VSS © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 885 SAM E70/S70/V70/V71 High-Speed Multimedia Card Interface (HSMCI) ...........continued Pin Number Name Type(1) Description HSMCI Pin Name(2) (Slot z) 4 VDD S Supply voltage VDD 5 CLK O Clock MCCK 6 VSS2 S Supply voltage ground VSS 7 DAT[0] I/O/PP Data 0 MCDz0 8 DAT[1] I/O/PP Data 1 MCDz1 9 DAT[2] I/O/PP Data 2 MCDz2 Notes:  1. I: Input, O: Output, PP: Push/Pull, OD: Open Drain, S: Supply 2. When several HSMCI (x HSMCI) are embedded in a product, MCCK refers to HSMCIx_CK, MCCDA to HSMCIx_CDA, MCDAy to HSMCIx_DAy. Figure 40-4. MMC Bus Connections (One Slot) HSMCI MCDA0 MCCDA MCCK 1 2 3 4 5 6 7 1 2 3 4 5 6 7 1 2 3 4 5 6 7 9 10 11 9 10 11 9 10 11 1213 8 MMC1 1213 8 MMC2 1213 8 MMC3 Note:  When several HSMCI (x HSMCI) are embedded in a product, MCCK refers to HSMCIx_CK, MCCDA to HSMCIx_CDA MCDAy to HSMCIx_DAy. Figure 40-5. SD Memory Card Bus Topology 1 2 3 4 56 78 9 SD CARD The SD Memory Card bus includes the signals listed in the table below. Table 40-3. SD Memory Card Bus Signals Pin Number Name Type(1) Description HSMCI Pin Name(2) (Slot z) 1 CD/DAT[3] I/O/PP Card detect/ Data line Bit 3 MCDz3 2 CMD PP Command/response MCCDz 3 VSS1 S Supply voltage ground VSS 4 VDD S Supply voltage VDD 5 CLK O Clock MCCK © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 886 SAM E70/S70/V70/V71 High-Speed Multimedia Card Interface (HSMCI) ...........continued Pin Number Name Type(1) Description HSMCI Pin Name(2) (Slot z) 6 VSS2 S Supply voltage ground VSS 7 DAT[0] I/O/PP Data line Bit 0 MCDz0 8 DAT[1] I/O/PP Data line Bit 1 or Interrupt MCDz1 9 DAT[2] I/O/PP Data line Bit 2 MCDz2 Notes:  1. I: input, O: output, PP: Push Pull, OD: Open Drain. 2. When several HSMCI (x HSMCI) are embedded in a product, MCCK refers to HSMCIx_CK, MCCDA to HSMCIx_CDA, MCDAy to HSMCIx_DAy. 1 2 3 4 5 6 78 Figure 40-6. SD Card Bus Connections with One Slot MCDA0 - MCDA3 MCCK 9 MCCDA SD CARD Note:  When several HSMCI (x HSMCI) are embedded in a product, MCCK refers to HSMCIx_CK, MCCDA to HSMCIx_CDA MCDAy to HSMCIx_DAy. When the HSMCI is configured to operate with SD memory cards, the width of the data bus can be selected in the HSMCI_SDCR. Clearing the SDCBUS bit in this register means that the width is one bit; setting it means that the width is four bits. In the case of High Speed MultiMedia cards, only the data line 0 is used. The other data lines can be used as independent PIOs. 40.8 High-Speed Multimedia Card Operations After a power-on reset, the cards are initialized by a special message-based High-Speed Multimedia Card bus protocol. Each message is represented by one of the following tokens: • • • Command—A command is a token that starts an operation. A command is sent from the host either to a single card (addressed command) or to all connected cards (broadcast command). A command is transferred serially on the CMD line. Response—A response is a token which is sent from an addressed card or (synchronously) from all connected cards to the host as an answer to a previously received command. A response is transferred serially on the CMD line. Data—Data can be transferred from the card to the host or vice versa. Data is transferred via the data line. Card addressing is implemented using a session address assigned during the initialization phase by the bus controller to all currently connected cards. Their unique CID number identifies individual cards. The structure of commands, responses and data blocks is described in the High-Speed Multimedia Card System Specification. See Table 40-4 for additional information. High-Speed Multimedia Card bus data transfers are composed of these tokens. There are different types of operations. Addressed operations always contain a command and a response token. In addition, some operations have a data token; the others transfer their information directly within the command or response structure. In this case, no data token is present in an operation. The bits on the DAT and the CMD lines are transferred synchronous to the clock HSMCI clock. Two types of data transfer commands are defined: • Sequential commands—These commands initiate a continuous data stream. They are terminated only when a stop command follows on the CMD line. This mode reduces the command overhead to an absolute minimum. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 887 SAM E70/S70/V70/V71 High-Speed Multimedia Card Interface (HSMCI) • Block-oriented commands—These commands send a data block succeeded by CRC bits. Both read and write operations allow either single or multiple block transmission. A multiple block transmission is terminated when a stop command follows on the CMD line similarly to the sequential read or when a multiple block transmission has a predefined block count (see “Data Transfer Operation”). The HSMCI provides a set of registers to perform the entire range of High-Speed Multimedia Card operations. 40.8.1 Command - Response Operation After reset, the HSMCI is disabled and becomes valid after setting the MCIEN bit in the HSMCI_CR. The PWSEN bit saves power by dividing the HSMCI clock by 2PWSDIV + 1 when the bus is inactive. The two bits, RDPROOF and WRPROOF in the HSMCI Mode Register (HSMCI_MR) allow stopping the HSMCI clock during read or write access if the internal FIFO is full. This will guarantee data integrity, not bandwidth. All the timings for High Speed MultiMedia Card are defined in the High Speed MultiMedia Card System Specification. The two bus modes (open drain and push/pull) needed to process all the operations are defined in the HSMCI Command Register (HSMCI_CMDR). The HSMCI_CMDR allows a command to be carried out. For example, to perform an ALL_SEND_CID command: Host Command CMD S T Content NID Cycles CRC E Z ****** Z Response High Impedance State S Z T CID Content Z Z The command ALL_SEND_CID and the fields and values for the HSMCI_CMDR are described in the following two tables. Table 40-4. ALL_SEND_CID Command Description CMD Index Type Argument CMD2 bcr(1) Response Abbreviation [31:0] stuff bits R2 Command Description ALL_SEND_CID Asks all cards to send their CID numbers on the CMD line Note: 1. bcr means broadcast command with response. Table 40-5. Fields and Values for HSMCI_CMDR Field Value CMDNB (command number) 2 (CMD2) RSPTYP (response type) 2 (R2: 136 bits response) SPCMD (special command) 0 (not a special command) OPCMD (open drain command) 1 MAXLAT (max latency for command to response) 0 (NID cycles ==> 5 cycles) TRCMD (transfer command) 0 (No transfer) TRDIR (transfer direction) X (available only in transfer command) TRTYP (transfer type) X (available only in transfer command) IOSPCMD (SDIO special command) 0 (not a special command) The HSMCI_ARGR contains the argument field of the command. To send a command, the user must perform the following steps: 1. 2. Fill the argument register (HSMCI_ARGR) with the command argument. Set the command register (HSMCI_CMDR). The command is sent immediately after writing the command register. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 888 SAM E70/S70/V70/V71 High-Speed Multimedia Card Interface (HSMCI) While the card maintains a busy indication (at the end of a STOP_TRANSMISSION command CMD12, for example), a new command shall not be sent. The NOTBUSY flag in the Status Register (HSMCI_SR) is asserted when the card releases the busy indication. If the command requires a response, it can be read in the HSMCI Response Register (HSMCI_RSPR). The response size can be from 48 bits up to 136 bits depending on the command. The HSMCI embeds an error detection to prevent any corrupted data during the transfer. The following flowchart shows how to send a command to the card and read the response if needed. In this example, the status register bits are polled but setting the appropriate bits in the HSMCI Interrupt Enable Register (HSMCI_IER) allows using an interrupt method. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 889 SAM E70/S70/V70/V71 High-Speed Multimedia Card Interface (HSMCI) Figure 40-7. Command/Response Functional Flow Diagram Set the command argument HSMCI_ARGR = Argument(1) Set the command HSMCI_CMDR = Command Read HSMCI_SR Wait for command ready status flag 0 CMDRDY 1 Check error bits in the status register (1) Yes Status error flags? RETURN ERROR (1) Read response if required Does the command involve a busy indication? No RETURN OK Read HSMCI_SR 0 NOTBUSY 1 RETURN OK Note: If the command is SEND_OP_COND, the CRC error flag is always present (refer to R3 response in the High Speed MultiMedia Card specification) . © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 890 SAM E70/S70/V70/V71 High-Speed Multimedia Card Interface (HSMCI) 40.8.2 Data Transfer Operation The High Speed MultiMedia Card allows several read/write operations (single block, multiple blocks, stream, etc.). These kinds of transfer can be selected setting the Transfer Type (TRTYP) field in the HSMCI Command Register (HSMCI_CMDR). In all cases, the block length (BLKLEN field) must be defined either in the HSMCI Mode Register (HSMCI_MR) or in the HSMCI Block Register (HSMCI_BLKR). This field determines the size of the data block. Consequent to MMC Specification 3.1, two types of multiple block read (or write) transactions are defined (the host can use either one at any time): • • 40.8.3 Open-ended/Infinite Multiple block read (or write): The number of blocks for the read (or write) multiple block operation is not defined. The card will continuously transfer (or program) data blocks until a stop transmission command is received. Multiple block read (or write) with predefined block count (since version 3.1 and higher): The card will transfer (or program) the requested number of data blocks and terminate the transaction. The stop command is not required at the end of this type of multiple block read (or write), unless terminated with an error. In order to start a multiple block read (or write) with predefined block count, the host must correctly program the HSMCI Block Register (HSMCI_BLKR). Otherwise the card will start an open-ended multiple block read. The BCNT field of the HSMCI_BLKR defines the number of blocks to transfer (from 1 to 65535 blocks). Programming the value 0 in the BCNT field corresponds to an infinite block transfer. Read Operation The following flowchart shows how to read a single block with or without use of DMAC facilities. In this example, a polling method is used to wait for the end of read. Similarly, the user can configure the HSMCI Interrupt Enable Register (HSMCI_IER) to trigger an interrupt at the end of read. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 891 SAM E70/S70/V70/V71 High-Speed Multimedia Card Interface (HSMCI) Figure 40-8. Read Functional Flow Diagram Send SELECT/DESELECT_CARD command(1) to select the card Send SET_BLOCKLEN command(1) No Yes Read with DMAC Reset the DMAEN bit HSMCI_DMA &= ~DMAEN Set the block length (in bytes) HSMCI_BLKR l= (BlockLength1 AFE_AD0 + PIO Extra Funct. AFE_AD(n/2) PGA0 Analog Mux 2->1 - DMA Peripheral Bridge User Interface 12-bit AD Converter S&H GND 52.4 - Sample Analog and Hold Mux n/2->1 en. CHENx RES S&H + AFE_ADn-1 Interrupt Controller System Bus Prog. Gain Amplifier AFE_AD1 Analog Inputs Multiplexed AFE_AD(n/2-1) with I/O lines AOFFx AFEC Interrupt Digital Averaging with OSR Bus Clock APB PGA1 GAINx Peripheral Clock 10-bit DA Converter AOFFx PMC CHx Signal Description Table 52-1. AFEC Signal Description Pin Name Description VREFP Reference voltage VREFN Reference voltage AFE_AD0—AFE_AD11(1) Analog input channels AFE_ADTRG External trigger Note:  1. AFE_AD11 is not an actual pin but is connected to a temperature sensor. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1613 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.5 52.5.1 Product Dependencies I/O Lines The digital input AFE_ADTRG is multiplexed with digital functions on the I/O line and the selection of AFE_ADTRG is made using the PIO Controller. The analog inputs AFE_ADx are multiplexed with digital functions on the I/O lines. AFE_ADx inputs are selected as inputs of the AFEC when writing a one in the corresponding CHx bit of AFEC_CHER and the digital functions are not selected. 52.5.2 Power Management The AFEC is not continuously clocked. The programmer must first enable the AFEC peripheral clock in the Power Management Controller (PMC) before using the AFEC. However, if the application does not require AFEC operations, the peripheral clock can be stopped when not needed and restarted when necessary. When the AFEC is in Sleep mode, the peripheral clock must always be enabled. 52.5.3 Interrupt Sources The AFEC interrupt line is connected on one of the internal sources of the Interrupt Controller. Using the AFEC interrupt requires the interrupt controller to be programmed first. 52.5.4 Temperature Sensor The temperature sensor is connected to Channel 11 of the AFEC. The temperature sensor provides an output voltage VT that is proportional to the absolute temperature (PTAT). 52.5.5 Timer Triggers Timer Counters may or may not be used as hardware triggers depending on user requirements. Thus, some or all of the timer counters may be unconnected. 52.5.6 PWM Event Lines PWM event lines may or may not be used as hardware triggers, depending on user requirements. 52.5.7 Fault Output The AFEC has the Fault output connected to the FAULT input of PWM. See Fault Output and implementation of the PWM in the product. 52.5.8 Conversion Performances For performance and electrical characteristics of the AFE, refer to the AFE Characteristics in the section “Electrical Characteristics”. Related Links 58. Electrical Characteristics for SAM V70/V71 52.6 Functional Description 52.6.1 Analog Front-End Conversion The AFE embeds programmable gain amplifiers that must be enabled prior to any conversion. The bits PGA0EN and PGA1EN in the Analog Control register (AFEC_ACR) must be set. The AFE uses the AFE clock to perform conversions. In order to guarantee a conversion with minimum error, after any start of conversion, the AFEC waits a number of AFE clock cycles (called transfer time) before changing the channel selection again (and so starts a new tracking operation). AFE conversions are sequenced by two operating times: the tracking time and the conversion time. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1614 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) • • The tracking time represents the time between the channel selection change and the time for the controller to start the AFEC. The AFEC allows a minimum tracking time of 15 AFE clock periods. The conversion time represents the time for the AFEC to convert the analog signal. The AFE clock frequency is selected in the PRESCAL field of the AFEC_MR. The tracking phase starts during the conversion of the previous channel. If the tracking time is longer than the conversion time of the12-bit AD converter (tCONV), the tracking phase is extended to the end of the previous conversion. The AFE clock frequency ranges from fperipheral clock/2 if PRESCAL is 1, and fperipheral clock/256 if PRESCAL is set to 255 (0xFF). PRESCAL must be programmed to provide the AFE clock frequency given in the section “Electrical Characteristics”. The AFE conversion time (tAFE_conv) is applicable for all modes and is calculated as follows: tAFE_conv = 23 × tAFE Clock When the averager is activated, the AFE conversion time is multiplied by the OSR value. In Free Run mode, the sampling frequency (fS) is calculated as 1/tAFE_conv. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1615 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) Figure 52-2. Sequence of AFE Conversions when Tracking Time > Conversion Time AFE Clock Trigger event (Hard or Soft) AFEC_ON Commands from controller to analog cell AFEC_Start CH0 AFEC_SEL CH1 CH2 LCDR CH0 CH1 DRDY Start Up Transfer Period Time (and tracking of CH0) Conversion of CH0 Transfer Period Conversion of CH1 Tracking of CH1 Tracking of CH2 Figure 52-3. Sequence of AFE Conversions when Tracking Time < Conversion Time Read the AFEC_LCDR AFE Clock Trigger event (Hard or Soft) AFEC_ON Commands from controller to analog cell AFEC_Start AFEC_SEL CH0 CH1 LCDR CH3 CH2 CH0 CH1 CH2 DRDY Start Up Time & Tracking of CH0 52.6.2 Transfer Period Conversion of CH0 & Tracking of CH1 Transfer Period Conversion of CH1 & Tracking of CH2 Transfer Period Conversion of CH2 & Tracking of CH3 Conversion Reference The conversion is performed on a full range between 0V and the reference voltage carried on pin VREFP. Analog inputs between these voltages convert to values based on a linear conversion. 52.6.3 Conversion Resolution The AFEC supports 12-bit native resolutions. Writing ‘2’ or greater to the RES field in the Extended Mode register (AFEC_EMR) automatically enables the Enhanced Resolution mode. For details on this mode, see 52.6.14. Enhanced Resolution Mode and Digital Averaging Function. Moreover, when a DMA channel is connected to the AFEC, a resolution lower than 16 bits sets the transfer request size to 16 bits. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1616 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) Note:  If ADTRG is asynchronous to the AFEC peripheral clock, the internal resynchronization introduces a jitter of 1 peripheral clock. This jitter may reduce the resolution of the converted signal. Refer to the formula below, where fIN is the frequency of the analog signal to convert and tJ is the half-period of 1 peripheral clock. 52.6.4 SNR = 20 × log10 1 2πfINt J Conversion Results When a conversion is completed, the resulting 12-bit digital value is stored in an internal register (one register for each channel) that can be read by means of the Channel Data Register (AFEC_CDR) and the Last Converted Data Register (AFEC_LCDR). By setting the bit TAG in the AFEC_EMR, the AFEC_LCDR presents the channel number associated with the last converted data in the CHNB field. The bits EOCx, where ‘x’ corresponds to the value programmed in the CSEL bit of AFEC_CSELR, and DRDY in the Interrupt Status Register (AFEC_ISR) are set. In the case of a connected DMA channel, DRDY rising triggers a data transfer request. In any case, either EOCx or DRDY can trigger an interrupt. Reading the AFEC_CDR clears the EOCx bit. Reading AFEC_LCDR clears the DRDY bit. Figure 52-4. EOCx and DRDY Flag Behavior Write the AFEC_CR with START = 1 Write the AFEC_CR Read the AFEC_CDR with ADC_CSELR.CSEL = x with START = 1 Read the AFEC_LCDR CHx (AFEC_CHSR) EOCx (AFEC_ISR1) DRDY (AFEC_ISR1) If AFEC_CDR is not read before further incoming data is converted, the corresponding OVREx flag is set in the Overrun Status Register (AFEC_OVER). New data converted when DRDY is high sets the GOVRE bit in AFEC_ISR. The OVREx flag is automatically cleared when AFEC_OVER is read, and the GOVRE flag is automatically cleared when AFEC_ISR is read. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1617 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) Figure 52-5. EOCx, GOVRE and OVREx Flag Behavior Trigger event CH0 (AFEC_CHSR) CH1 (AFEC_CHSR) AFEC_LCDR Undefined Data AFEC_CDR0 Undefined Data AFEC_CDR1 EOC0 (AFEC_ISR1) Data B Data A Data A Data C Undefined Data Data B Conversion A EOC1 (AFEC_ISR1) Data C Conversion C Conversion B GOVRE (AFEC_ISR1) Read AFEC_CDR0 Read AFEC_CDR1 Read AFEC_SR DRDY (AFEC_ISR1) Read AFEC_OVER OVRE0 (AFEC_OVER) OVRE1 (AFEC_OVER) WARNING 52.6.5 If the corresponding channel is disabled during a conversion, or if it is disabled and then reenabled during a conversion, its associated data and its corresponding EOCx and GOVRE flags in AFEC_ISR and OVREx flags in AFEC_OVER are unpredictable. Conversion Results Format The conversion results can be signed (2’s complement) or unsigned depending on the value of the SIGNMODE field in AFEC_EMR. Four modes are available: • • • • Results of channels configured in Single-ended mode are unsigned; results of channels configured in Differential mode are signed. Results of channels configured in Single-ended mode are signed; results of channels configured in Differential mode are unsigned. Results of all channels are unsigned. Results of all channels are signed. If conversion results are signed and resolution is less than 16 bits, the sign is extended up to the bit 15 (e.g., 0xF43 for 12-bit resolution will be read as 0xFF43 and 0x467 will be read as 0x0467). © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1618 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.6.6 Conversion Triggers Conversions of the active analog channels are started with a software or hardware trigger. The software trigger is provided by writing a ‘1’ to the bit START in the Control Register (AFEC_CR). The hardware trigger can be one of the TIOA outputs of the Timer Counter channels, PWM Event line, or the external trigger input of the AFEC (ADTRG). The hardware trigger is selected with AFEC_MR.TRGSEL. The selected hardware trigger is enabled with AFEC_MR.TRGEN The minimum time between two consecutive trigger events must be strictly greater than the duration of the longest conversion sequence according to configuration of registers AFEC_MR, AFEC_CHSR, AFEC_SEQ1R, AFEC_SEQ2R. If a hardware trigger is selected, the start of a conversion is triggered after a delay starting at each rising edge of the selected signal. Due to asynchronous handling, the delay may vary in a range of two peripheral clock periods to one AFE clock period. This delay varies from trigger to trigger and so introduces a jitter error leading to a reduced Signal-to-Noise ratio performance. Figure 52-6. Conversion Start with the Hardware Trigger trigger start delay If one of the TIOA outputs is selected, the corresponding Timer Counter channel must be programmed in Waveform mode. Only one start command is necessary to initiate a conversion sequence on all the channels. The AFEC hardware logic automatically performs the conversions on the active channels, then waits for a new request. The Channel Enable (AFEC_CHER) and Channel Disable (AFEC_CHDR) registers permit the analog channels to be enabled or disabled independently. If the AFEC is used with a DMA, only the transfers of converted data from enabled channels are performed and the resulting data buffers should be interpreted accordingly. 52.6.7 Sleep Mode and Conversion Sequencer The AFEC Sleep mode maximizes power saving by automatically deactivating the AFE when it is not being used for conversions. Sleep mode is selected by setting AFEC_MR.SLEEP. Sleep mode is managed by a conversion sequencer, which automatically processes the conversions of all channels at lowest power consumption. This mode can be used when the minimum period of time between two successive trigger events is greater than the startup period of the AFEC. Refer to the AFE Characteristics in the section “Electrical Characteristics”. When a start conversion request occurs, the AFE is automatically activated. As the analog cell requires a startup time, the logic waits during this lapse and starts the conversion on the enabled channels. When all conversions are complete, the AFE is deactivated until the next trigger. Triggers occurring during the sequence are not taken into account. A fast wakeup mode is available in the AFEC_MR as a compromise between power-saving strategy and responsiveness. Setting the FWUP bit enables the Fast Wakeup mode. In Fast Wakeup mode, the AFE is not fully deactivated while no conversion is requested, thereby providing lower power savings but faster wakeup. The conversion sequencer allows automatic processing with minimum processor intervention and optimized power consumption. Conversion sequences are performed periodically using a Timer/Counter output or the PWM event line. The DMA can automatically process the periodic acquisition of several samples without processor intervention. The sequence can be customized by programming the Channel Sequence registers AFEC_SEQ1R and AFEC_SEQ2R and setting AFEC_MR.USEQ. The user selects a specific order of channels and can program up to 12 conversions by sequence. The user may create a personal sequence by writing channel numbers in AFEC_SEQ1R and AFEC_SEQ2R. Channel numbers can be written in any order and repeated several times. Only enabled USCHx fields are converted. Thus, to program a 15-conversion sequence, the user disables AFEC_CHSR.CH15, thus disabling AFEC_SEQ2R.USCH15. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1619 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) Note:  The reference voltage pins always remain connected in Normal mode as in Sleep mode. Related Links 58. Electrical Characteristics for SAM V70/V71 52.6.8 Comparison Window The AFEC features automatic comparison functions. It compares converted values to a low threshold, a high threshold or both, depending on the value of AFEC_EMR.CMPMODE. The comparison can be done on all channels or only on the channel specified in AFEC_EMR.CMPSEL. To compare all channels, AFEC_EMR.CMPALL must be set. Moreover, a filtering option can be set by writing the number of consecutive comparison errors needed to raise the flag. This number can be written and read in AFEC_EMR.CMPFILTER. The flag can be read on AFEC_ISR.COMPE and can trigger an interrupt. The high threshold and the low threshold can be read/written in the Compare Window Register (AFEC_CWR). Depending on the sign of the conversion, chosen by setting the SIGNMODE bit in the AFEC Extended Mode Register, the high threshold and low threshold values must be signed or unsigned to maintain consistency during the comparison. If the conversion is signed, both thresholds must also be signed; if the conversion is unsigned, both thresholds must be unsigned. If comparison occurs on all channels, the SIGNMODE bit must be set to ALL_UNSIGNED or ALL_SIGNED and thresholds must be set accordingly. 52.6.9 Differential Inputs The AFE can be used either as a single-ended AFE (AFEC_DIFFR.DIFF = 0) or as a fully differential AFE (AFEC_DIFFR.DIFF = 1). By default, after a reset, the AFE is in Single-ended mode. The AFEC can apply a different mode on each channel. The same inputs are used in Single-ended or Differential mode. Depending on the AFE mode, the analog multiplexer selects one or two inputs to map to a channel. The table below provides input mapping for both modes. Table 52-2. Input Pins and Channel Numbers Input Pins Channel Numbers Single-ended Mode Differential Mode AFE_AD0 CH0 CH0 AFE_AD1 CH1 ... ... ... AFE_AD10 CH10 CH10 AFE_AD11 CH11 52.6.10 Sample-and-Hold Modes The AFE can be configured in either single Sample-and-Hold mode (AFEC_SHMR.DUALx = 0) or dual Sample-andHold mode (AFEC_SHMR.DUALx = 1). By default, after a reset, the AFE is in single Sample-and-Hold mode. The AFEC can apply a different mode on each channel. The same inputs are used in single Sample-and-Hold mode or in dual Sample-and-Hold mode. Single-ended/ Differential mode and single/dual Sample-and-Hold mode can be combined. See the following tables. Table 52-3. Input Pins and Channel Numbers In Dual Sample-and-Hold Mode Single-Ended Input Pins Differential Input Pins Channel Numbers AFE_AD0 & AFE_AD6 AFE_AD0-AD1 & AFE_AD6–AFE_AD7 CH0 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1620 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) ...........continued Single-Ended Input Pins Differential Input Pins Channel Numbers AFE_AD1 & AFE_AD7 – CH1 ... ... ... AFE_AD4 & AFE_AD10 AFE_AD4–AFE_AD5 & AFE_AD10–AFE_AD11 CH4 AFE_AD5 & AFE_AD11 – CH5 Table 52-4. Input Pins and Channel Numbers in Single Sample-and-Hold Mode Single-Ended Input Pins Differential Input Pins Channel Numbers AFE_AD0 AFE_AD0-AFE_AD1 CH0 AFE_AD1 – CH1 ... ... ... AFE_AD10 AFE_AD10–AFE_AD11 CH10 AFE_AD11 – CH11 52.6.11 Input Gain and Offset The AFE has a built-in programmable gain amplifier (PGA) and programmable offset per channel through a DAC. The programmable gain amplifier can be set to gains of 1, 2 and 4 and can be used for single-ended applications or for fully differential applications. The AFEC can apply different gain and offset on each channel. The gain is configured in the GAIN field of the Channel Gain Register (AFEC_CGR) as shown in the following table. Table 52-5. Gain of the Sample-and-Hold Unit GAIN GAIN (DIFFx = 0) GAIN (DIFFx = 1) 0 1 1 1 2 2 2 4 4 3 4 4 The analog offset of the AFE is configured in the AOFF field in the Channel Offset Compensation register (AFEC_COCR). The offset is only available in Single-ended mode. The field AOFF must be configured to 512 (mid scale of the DAC) when there is no offset error to compensate.To compensate for an offset error of n LSB (positive or negative), the field AOFF must be configured to 512 + n. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1621 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) Figure 52-7. Analog Full Scale Ranges in Single-Ended/Differential Applications Versus Gain Single-ended Fully differential VVREFP VIN+ VIN+ gain=1 (½)VVREFP (00) VINVVREFN =0 VVREFP (¾)VVREFP gain=2 VIN+ (01) VIN+ (½)VVREFP VIN(¼)VVREFP VVREFN =0 VVREFP (¾)VVREFP gain=4 VIN+ (10 or 11) (5/8)VVREFP (½)VVREFP (3/8)VVREFP VIN+ VIN- (¼)VVREFP VVREFN =0 52.6.12 AFE Timings Each AFE has its own minimal startup time configured in AFEC_MR.STARTUP. WARNING No input buffer amplifier to isolate the source is included in the AFE. This must be taken into consideration. 52.6.13 Temperature Sensor The temperature sensor is internally connected to channel index 11. The AFEC manages temperature measurement in several ways. The different methods of measurement depend on the configuration bits TRGEN in the AFEC_MR and CH11 in AFEC_CHSR. Temperature measurement can be triggered at the same rate as other channels by enabling the conversion channel 11. If AFEC_CHSR.CH11 is enabled, the temperature sensor analog cell is switched on. If a user sequence is used, the last converted channel of the sequence is always the temperature sensor channel. A manual start can be performed only if AFEC_MR.TRGEN is disabled. When AFEC_CR.START is set, the temperature sensor channel conversion is scheduled together with the other enabled channels (if any). The result of the conversion is placed in an internal register that can be read in the AFEC_CDR (AFEC_CSELR must be programmed accordingly prior to reading AFEC_CDR) and the associated flag EOC11 is set in the AFEC_ISR. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1622 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) The channel of the temperature sensor is periodically converted together with the other enabled channels and the result is placed into AFEC_LCDR and an internal register (can be read in AFEC_CDR). Thus the temperature conversion result is part of the Peripheral DMA Controller buffer. The temperature channel can be enabled/disabled at any time, but this may not be optimal for downstream processing. Figure 52-8. Non-Optimized Temperature Conversion AFEC_CHSR[TEMP] = 1, AFEC_MR.TRGEN = 1 and AFEC_TEMPMR.RTCT = 0 Internal/External Trigger event C T AFEC_SEL AFEC_CDR[0] C0 AFEC_LCDR T1 T0 AFEC_CDR[TEMP] T0 C2 C1 C0 T1 C T C T C T C1 C4 C3 T3 T2 T2 C2 C T T3 C5 T5 T4 C3 T4 C4 T5 C: Classic AFE Conversion Sequence - T: Temperature Sensor Channel Assuming AFEC_CHSR[0] = 1 and AFEC_CHSR[TEMP] = 1 where TEMP is the index of the temperature sensor channel trig.event1 DMA Buffer Structure trig.event2 trig.event3 0 AFEC_CDR[0] DMA Transfer Base Address (BA) 0 AFEC_CDR[TEMP] BA + 0x02 0 AFEC_CDR[0] BA + 0x04 0 AFEC_CDR[TEMP] BA + 0x06 0 AFEC_CDR[0] BA + 0x08 0 AFEC_CDR[TEMP] BA + 0x0A The temperature factor has a slow variation rate and may be different from other conversion channels. As a result, the AFEC allows a different way of triggering temperature measurement when AFEC_TEMPMR.RTCT is set but AFEC_CHSR.CH11 is cleared. In this configuration, the measurement is triggered every second by means of an internal trigger generated by the RTC. This trigger is always enabled and independent of the triggers used for other channels. In this mode of operation, the temperature sensor is only powered for a period of time covering startup time and conversion time. Every second, a conversion is scheduled for channel 11 but the result of the conversion is only uploaded to an internal register read by means of AFEC_CDR, and not to AFEC_LCDR. Therefore, the temperature channel is not part of the Peripheral DMA Controller buffer; only the enabled channel are kept in the buffer. The end of conversion of the temperature channel is reported by means of the EOC11 flag in AFEC_ISR. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1623 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) Figure 52-9. Optimized Temperature Conversion Combined with Classical Conversions AFEC_CHSR[TEMP] = 0, AFEC_MR.TRGEN = 1 and AFEC_TEMPMR.RTCT = 1 1s Internal RTC Trigger event Internal/External Trigger event C T AFEC_SEL AFEC_CDR[0] & AFEC_LCDR C0 AFEC_CDR[TEMP] T0 C T C C C1 C2 C3 C C4 T1 C5 T2 C: Classic AFE Conversion Sequence - T: Temperature Sensor Channel Assuming AFEC_CHSR[0] = 1 and AFEC_CHSR[TEMP] = 1 where TEMP is the index of the temperature sensor channel trig.event1 DMA Buffer Structure trig.event2 trig.event3 0 AFEC_CDR[0] DMA Transfer Base Address (BA) 0 AFEC_CDR[0] BA + 0x02 0 AFEC_CDR[0] BA + 0x04 If RTCT is set and TRGEN is cleared, then all channels are disabled (AFEC_CHSR = 0) and only channel 11 is converted at a rate of one conversion per second. This mode of operation, when combined with Sleep mode operation, provides a low-power mode for temperature measurement assuming there is no other AFE conversion to schedule at a higher sampling rate or no other channel to convert. Figure 52-10. Temperature Conversion Only AFEC_CHSR = 0, AFE_MR.TRGEN = 0 and AFEC_TEMPMR.RTCT = 1 AFEC_TEMPMR.RTCT = 1 1s Internal RTC Trigger event on 30 µs Automatic “On” Temp. sensor off T AFEC_SEL AFEC_CDR[TEMP] T0 T T1 T2 Moreover, it is possible to raise a flag only if there is predefined change in the temperature measurement. The user can define a range of temperature or a threshold in AFEC_TEMPCWR and the mode of comparison in AFEC_TEMPMR. These values define the way the TEMPCHG flag will be raised in AFEC_ISR. The TEMPCHG flag can be used to trigger an interrupt if there is an update/modification to be made in the system resulting from a temperature change. In any case, if temperature sensor measurement is configured, the temperature can be read at any time in AFEC_CDR (AFEC_CSELR must be programmed accordingly prior to reading AFEC_CDR) . © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1624 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.6.14 Enhanced Resolution Mode and Digital Averaging Function The Enhanced Resolution mode is enabled when AFEC_EMR.RES is set to 13-bit resolution or higher. In this mode, the AFEC trades conversion performance for accuracy by averaging multiple samples, thus providing a digital low-pass filter function. The resolution mode selected determines the oversampling, which represents the performance reduction factor. To increase the accuracy by averaging multiple samples, some noise must be present in the input signal. The noise level should be between one and two LSB peak-to-peak to get good averaging performance. The following table summarizes the oversampling ratio depending on the resolution mode selected. Table 52-6. Resolution and Oversampling Ratio Resolution Mode Oversampling Ratio 13-bit 4 14-bit 16 15-bit 64 16-bit 256 Free Run mode is not supported if Enhanced Resolution mode is used. The selected oversampling ratio applies to all enabled channels except the temperature sensor channel if triggered by an RTC event. See 52.5.4. Temperature Sensor. The average result is valid into an internal register (read by means of the AFEC_CDR) only if EOCx (x corresponding to the index of the channel) flag is set in AFEC_ISR and OVREx flag is cleared in the AFEC_OVER. The average result is valid for all channels in the AFEC_LCDR only if DRDY is set and GOVRE is cleared in the AFEC_ISR. Note that the AFEC_CDR is not buffered. Therefore, when an averaging sequence is ongoing, the value in this register changes after each averaging sample. However, overrun flags in the AFEC_OVER rise as soon as the first sample of an averaging sequence is received. Thus the previous averaged value is not read, even if the new averaged value is not ready. As a result, when an overrun flag rises in the AFEC_OVER, this indicates only that the previous unread data is lost. It does not indicate that this data has been overwritten by the new averaged value, as the averaging sequence concerning this channel can still be on-going. The samples can be defined in different ways for the averaging function depending on the configuration of AFEC_EMR.STM and AFEC_MR.USEQ. When USEQ is cleared, there are two possible ways to generate the averaging through the trigger event. If AFEC_EMR.STM is cleared, every trigger event generates one sample for each enabled channel, as described in the figure below. Therefore, four trigger events are requested to get the result of averaging if RES = 2. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1625 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) Figure 52-11. Digital Averaging Function Waveforms over Multiple Trigger Events AFEC_EMR.RES = 2, STM = 0, AFEC_CHSR[1:0] = 0x3 and AFEC_MR.USEQ = 0 Internal/External Trigger event 0 1 0 1 AFEC_SEL Internal register CDR[0] 0 1 0i1 CH0_0 0i2 0 1 0 1 0i3 CH0_1 0i1 Read AFEC_CDR & AFEC_CSELR.CSEL = 0 EOC[0] OVR[0] Internal register CDR[1] CH1_0 1i1 1i2 1i3 CH1_1 Read AFEC_CDR Read AFEC_CDR & AFEC_CSELR.CSEL = 1 EOC[1] CH1_0 AFEC_LCDR 1i1 CH0_1 CH1_1 DRDY Read AFEC_LCDR Read AFEC_LCDR Note: 0i1,0i2,0i3, 1i1, 1i2, 1i3 are intermediate results and CH0/1_0/1 are final result of average function. If AFEC_EMR.STM is set and AFEC_MR.USEQ is cleared, the sequence to be converted, defined in the AFEC_CHSR, is automatically repeated n times, where n corresponds to the oversampling ratio defined AFEC_EMR.RES. As a result, only one trigger is required to get the result of the averaging function as shown in the figure below. Figure 52-12. Digital Averaging Function Waveforms on a Single Trigger Event AFEC_EMR.RES = 2, STM = 1, AFEC_CHSR[1:0] = 0x3 and AFEC_MR.USEQ = 0 Internal/External Trigger event 0 AFEC_SEL internal register CDR[0] CH0_0 1 0 1 0 1 0i1 0i2 0i3 1 0 1 CH0_1 Read AFEC_CDR & AFEC_CSELR.CSEL = 0 EOC[0] internal register CDR[1] 0 0 1 CH1_0 1i1 1i2 1i3 CH1_1 Read AFEC_CDR & AFEC_CSELR.CSEL = 1 EOC[1] AFEC_LCDR CH0_1 CH1_1 DRDY Read AFEC_LCDR Note: 0i1, 0i2, 0i3, 1i1, 1i2, 1i3 are intermediate results and CH0/1_0/1 are final result of average function. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1626 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) When USEQ is set, the user can define the channel sequence to be converted by configuring AFEC_SEQxR and AFEC_CHER so that channels are not interleaved during the averaging period. Under these conditions, a sample is defined for each end of conversion as described in the figure below. Therefore, if the same channel is configured to be converted four times consecutively and AFEC_EMR.RES = 2, the averaging result is placed in the corresponding channel internal data register (read by means of the AFEC_CDR) and the AFEC_LCDR for each trigger event. In this case, the AFE real sample rate remains the maximum AFE sample rate divided by 4. When USEQ is set and the RES field enables the Enhanced Resolution mode, it is important to note that the user sequence must be a sequence being an integer multiple of 4 (i.e., the number of the enabled channel in the Channel Status register (AFEC_CHSR) must be an integer multiple of 4 and the AFEC_SEQxR must be a series of 4 times the same channel index). Figure 52-13. Digital Averaging Function Waveforms on a Single Trigger Event, Non-interleaved AFEC_EMR.EMR = 2, STM = 1, AFEC_CHSR[7:0] = 0xFF and AFEC_MR.USEQ = 1 AFEC_SEQ1R = 0x1111_0000 Internal/External Trigger event 0 AFEC_SEL internal register CDR[0] 0 0 0 1 CH0_0 0i1 0i2 0i3 0 0 0 CH0_1 Read AFEC_CDR & AFEC_CSELR.CSEL = 0 EOC[0] internal register CDR[1] 0 1 1 1 CH1_0 1i1 1i2 1i3 CH1_1 Read AFEC_CDR & AFEC_CSELR.CSEL = 1 EOC[1] AFEC_LCDR CH0_1 CH1_1 DRDY Read AFEC_LCDR Note: 0i1, 0i2, 0i3, 1i1, 1i2, 1i3 are intermediate results and CH0/1_0/1 are final results of average function. 52.6.15 Automatic Error Correction The AFEC features automatic error correction of conversion results. Offset and gain error corrections are available. The correction can be enabled for each channel and correction values (offset and gain) are defined per Sample & Hold unit. To enable error correction, the ECORR bit must be set in the AFEC Channel Error Correction register (AFEC_CECR). The offset and gain values used to compensate the results are set per Sample & Hold unit basis using the AFEC Correction Select register (AFEC_COSR) and the AFEC Correction Values register (AFEC_CVR). AFEC_COSR is used to select the Sample & Hold unit to be displayed in AFEC_CVR. This selection applies both to read and write operations in AFEC_CVR. AFEC_CVR.OFFSETCORR and AFEC_CVR.GAINCORR must be filled with the values of corrective data. This data is computed from two measurement points in signed format. The correction is the same for all functional modes. The final conversion result after error correction is obtained using the following formula, which is implemented after averaging in 2’s complement format, with: • OFFSETCORR—the offset correction value. OFFSETCORR is a signed value. • GAINCORR—the gain correction value © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1627 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) • Gs—the value 15 Corrected Data = Converted Data+OFFSETCORR × GAINCORR 2 Gs Figure 52-14. AFE Digital Signal Processing RES ADC_CVR GAINCORR OFFSETCORR Average Calibration ADC_EMR VINP VINN AFE 12-bit 2’s complement data format 12- to 16-bit 2’s complement data format Sign Mode 12- to 16-bit 2’s complement data format AFE_LCDR 12- to 16-bit signed or unsigned data 52.6.16 Buffer Structure The DMA read channel is triggered each time a new data is stored in AFEC_LCDR. The same structure of data is repeatedly stored in AFEC_LCDR each time a trigger event occurs. Depending on the user mode of operation (AFEC_MR, AFEC_CHSR, AFEC_SEQ1R, AFEC_SEQ2R) the structure differs. When TAG is cleared, each data transferred to DMA buffer is carried on a half-word (16-bit) and consists of the last converted data right-aligned. When TAG is set, this data is carried on a word buffer (32-bit) and CHNB carries the channel number, thus simplifying post-processing in the DMA buffer and ensuring the integrity of the DMA buffer. 52.6.17 Fault Output The AFEC internal fault output is directly connected to the PWM fault input. Fault output may be asserted depending on the configuration of AFEC_EMR, AFEC_CWR, AFEC_TEMPMR and AFEC_TEMPCWR and converted values. Two types of comparison can trigger a compare event (fault output pulse). The first comparison type is based on AFEC_CWR settings, thus on all converted channels except the last one; the second type is linked to the last channel where temperature is measured. As an example, overcurrent and temperature exceeding limits can trigger a fault to PWM. When the compare occurs, the AFEC fault output generates a pulse of one peripheral clock cycle to the PWM fault input. This fault line can be enabled or disabled within the PWM. If it is activated and asserted by the AFEC, the PWM outputs are immediately placed in a safe state (pure combinational path). Note that the AFEC fault output connected to the PWM is not the COMPE bit. Thus the Fault Mode (FMOD) within the PWM configuration must be FMOD = 1. 52.6.18 Register Write Protection To prevent any single software error from corrupting AFEC behavior, certain registers in the address space can be write-protected by setting the WPEN bit in the AFEC Write Protection Mode Register (AFEC_WPMR). If a write access to a write-protected register is detected, the WPVS flag in the AFEC Write Protection Status Register (AFEC_WPSR) is set and the field WPVSRC indicates the register in which the write access has been attempted. The WPVS flag is automatically cleared by reading the AFEC_WPSR. The protected registers are: • • • • • • AFEC Mode Register AFEC Extended Mode Register AFEC Channel Sequence 1 Register AFEC Channel Sequence 2 Register AFEC Channel Enable Register AFEC Channel Disable Register © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1628 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) • • • • • • • • • • • • AFEC Compare Window Register AFEC Channel Gain 1 Register AFEC Channel Differential Register AFEC Channel Selection Register AFEC Channel Offset Compensation Register AFEC Temperature Sensor Mode Register AFEC Temperature Compare Window Register AFEC Analog Control Register AFEC Sample & Hold Mode Register AFEC Correction Select Register AFEC Correction Values Register AFEC Channel Error Correction Register © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1629 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7 Register Summary Offset Name 0x00 AFEC_CR 0x04 0x08 AFEC_MR AFEC_EMR 0x0C AFEC_SEQ1R 0x10 AFEC_SEQ2R 0x14 AFEC_CHER 0x18 AFEC_CHDR 0x1C AFEC_CHSR 0x20 AFEC_LCDR 0x24 0x28 0x2C 0x30 0x34 ... 0x4B Bit Pos. AFEC_IER AFEC_IDR AFEC_IMR AFEC_ISR 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7 FREERUN 6 5 FWUP 4 3 SLEEP 2 1 0 START SWRST TRGSEL[2:0] TRGEN PRESCAL[7:0] ONE USEQ STARTUP[3:0] TRACKTIM[3:0] CMPMODE[1:0] CMPALL RES[2:0] STM TAG USCH0[3:0] USCH2[3:0] USCH4[3:0] USCH6[3:0] USCH8[3:0] USCH10[3:0] TRANSFER[1:0] CMPSEL[4:0] CMPFILTER[1:0] SIGNMODE[1:0] USCH1[3:0] USCH3[3:0] USCH5[3:0] USCH7[3:0] USCH9[3:0] USCH11[3:0] CH7 CH6 CH5 CH4 CH3 CH11 CH2 CH10 CH1 CH9 CH0 CH8 CH7 CH6 CH5 CH4 CH3 CH11 CH2 CH10 CH1 CH9 CH0 CH8 CH7 CH6 CH5 CH4 CH3 CH11 CH2 CH10 CH1 CH9 CH0 CH8 LDATA[7:0] LDATA[15:8] CHNB[3:0] EOC1 EOC9 EOC7 EOC6 EOC5 EOC4 EOC3 EOC11 EOC2 EOC10 EOC7 TEMPCHG EOC6 EOC5 EOC4 EOC3 EOC11 COMPE EOC2 EOC10 GOVRE EOC1 EOC9 DRDY EOC0 EOC8 EOC7 TEMPCHG EOC6 EOC5 EOC4 EOC3 EOC11 COMPE EOC2 EOC10 GOVRE EOC1 EOC9 DRDY EOC0 EOC8 EOC7 TEMPCHG EOC6 EOC5 EOC4 EOC3 EOC11 COMPE EOC2 EOC10 GOVRE EOC1 EOC9 DRDY EOC0 EOC8 COMPE GOVRE DRDY TEMPCHG EOC0 EOC8 Reserved © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1630 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) ...........continued Offset Name 0x4C AFEC_OVER 0x50 AFEC_CWR 0x54 AFEC_CGR 0x58 ... 0x5F Reserved 0x60 0x64 0x68 0x6C 0x70 Bit Pos. 7 6 5 4 3 2 1 0 7:0 15:8 OVRE7 OVRE6 OVRE5 OVRE4 OVRE3 OVRE11 OVRE2 OVRE10 OVRE1 OVRE9 OVRE0 OVRE8 AFEC_DIFFR AFEC_CSELR AFEC_CDR AFEC_COCR AFEC_TEMPMR 0x74 AFEC_TEMPCWR 0x78 ... 0x93 Reserved 0x94 AFEC_ACR 0x98 ... 0x9F Reserved 0xA0 AFEC_SHMR 0xA4 ... 0xCF Reserved 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 GAIN3[1:0] GAIN7[1:0] GAIN11[1:0] DIFF7 DIFF5 DIFF4 DIFF2 DIFF10 DIFF1 DIFF9 DIFF0 DIFF8 DATA[7:0] DATA[15:8] AOFF[7:0] AOFF[9:8] TEMPCMPMOD[1:0] RTCT TLOWTHRES[7:0] TLOWTHRES[15:8] THIGHTHRES[7:0] THIGHTHRES[15:8] 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 DIFF3 DIFF11 GAIN0[1:0] GAIN4[1:0] GAIN8[1:0] CSEL[3:0] PGA1EN PGA0EN IBCTL[1:0] DUAL7 © 2021 Microchip Technology Inc. and its subsidiaries DIFF6 LOWTHRES[7:0] LOWTHRES[15:8] HIGHTHRES[7:0] HIGHTHRES[15:8] GAIN2[1:0] GAIN1[1:0] GAIN6[1:0] GAIN5[1:0] GAIN10[1:0] GAIN9[1:0] DUAL6 DUAL5 DUAL4 DUAL3 DUAL11 Complete Datasheet DUAL2 DUAL10 DUAL1 DUAL9 DUAL0 DUAL8 DS60001527F-page 1631 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) ...........continued Offset Name 0xD0 AFEC_COSR 0xD4 AFEC_CVR 0xD8 AFEC_CECR 0xDC ... 0xE3 Reserved 0xE4 0xE8 Bit Pos. AFEC_WPMR AFEC_WPSR 7 5 4 3 2 1 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 0 CSEL ECORR7 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 © 2021 Microchip Technology Inc. and its subsidiaries 6 ECORR6 ECORR5 OFFSETCORR[7:0] OFFSETCORR[15:8] GAINCORR[7:0] GAINCORR[15:8] ECORR4 ECORR3 ECORR11 ECORR2 ECORR10 ECORR1 ECORR9 ECORR0 ECORR8 WPEN WPKEY[7:0] WPKEY[15:8] WPKEY[23:16] WPVS WPVSRC[7:0] WPVSRC[15:8] Complete Datasheet DS60001527F-page 1632 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.1 AFEC Control Register Name:  Offset:  Reset:  Property:  Bit AFEC_CR 0x00 – Write-only 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 START W – 0 SWRST W – Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bit 1 – START Start Conversion Value Description 0 No effect. 1 Begins Analog Front-End conversion. Bit 0 – SWRST Software Reset Value Description 0 No effect. 1 Resets the AFEC simulating a hardware reset. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1633 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.2 AFEC Mode Register Name:  Offset:  Reset:  Property:  AFEC_MR 0x04 0x30000000 Read/Write This register can only be written if the WPEN bit is cleared in the AFEC Write Protection Mode Register. Bit Access Reset Bit Access Reset Bit Access Reset Bit Access Reset 31 USEQ R/W 0 30 29 28 TRANSFER[1:0] R/W R/W 0 0 23 ONE R/W 0 22 15 14 13 R/W 0 R/W 0 R/W 0 7 FREERUN R/W 0 6 FWUP R/W 0 5 SLEEP R/W 0 21 20 27 26 25 TRACKTIM[3:0] R/W R/W 0 0 R/W 0 19 12 11 PRESCAL[7:0] R/W R/W 0 0 3 R/W 0 R/W 0 18 17 STARTUP[3:0] R/W R/W 0 0 R/W 0 4 24 16 R/W 0 10 9 8 R/W 0 R/W 0 R/W 0 2 TRGSEL[2:0] R/W 0 1 0 TRGEN R/W 0 R/W 0 Bit 31 – USEQ User Sequence Enable Value Name Description 0 NUM_ORDER Normal mode: the controller converts channels in a simple numeric order. 1 REG_ORDER User Sequence mode: the sequence is as defined in AFEC_SEQ1R and AFEC_SEQ1R. Bits 29:28 – TRANSFER[1:0] Transfer Period Set the period (in number of ADC clock) between a start command and the selection of the analog channel. Value Description 0x0 Forbidden 0x1 Forbidden 0x2 Recommended to optimize the conversion ( 8 AFE clocks) 0x3 Default value (9 AFE clocks) Bits 27:24 – TRACKTIM[3:0] Tracking Time Inherent tracking time is always 15 AFE clock cycles. Do not modify this field. Bit 23 – ONE One This bit must be written to 1. Bits 19:16 – STARTUP[3:0] Startup Time Value Name Description 0 SUT0 0 periods of AFE clock 1 SUT8 8 periods of AFE clock 2 SUT16 16 periods of AFE clock 3 SUT24 24 periods of AFE clock 4 SUT64 64 periods of AFE clock 5 SUT80 80 periods of AFE clock © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1634 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) Value 6 7 8 9 10 11 12 13 14 15 Name SUT96 SUT112 SUT512 SUT576 SUT640 SUT704 SUT768 SUT832 SUT896 SUT960 Description 96 periods of AFE clock 112 periods of AFE clock 512 periods of AFE clock 576 periods of AFE clock 640 periods of AFE clock 704 periods of AFE clock 768 periods of AFE clock 832 periods of AFE clock 896 periods of AFE clock 960 periods of AFE clock Bits 15:8 – PRESCAL[7:0] Prescaler Rate Selection PRESCAL = fperipheral clock/ fAFE Clock - 1 When PRESCAL is cleared, no conversion is performed. Bit 7 – FREERUN Free Run Mode Value Name Description 0 OFF Normal mode 1 ON Free Run mode: never wait for any trigger. Bit 6 – FWUP Fast Wakeup Value Name Description 0 OFF Normal Sleep mode: the sleep mode is defined by the SLEEP bit. 1 ON Fast Wakeup Sleep mode: the voltage reference is ON between conversions and AFE is OFF. Bit 5 – SLEEP Sleep Mode Value Name Description 0 NORMAL Normal mode: the AFE and reference voltage circuitry are kept ON between conversions. 1 SLEEP Sleep mode: the AFE and reference voltage circuitry are OFF between conversions. Bits 3:1 – TRGSEL[2:0] Trigger Selection Value Name Description 0 AFEC_TRIG0 AFE0_ADTRG for AFEC0 / AFE1_ADTRG for AFEC1 1 AFEC_TRIG1 TIOA Output of the Timer Counter Channel 0 for AFEC0/TIOA Output of the Timer Counter Channel 3 for AFEC1 2 AFEC_TRIG2 TIOA Output of the Timer Counter Channel 1 for AFEC0/TIOA Output of the Timer Counter Channel 4 for AFEC1 3 AFEC_TRIG3 TIOA Output of the Timer Counter Channel 2 for AFEC0/TIOA Output of the Timer Counter Channel 5 for AFEC1 4 AFEC_TRIG4 PWM0 event line 0 for AFEC0 / PWM1 event line 0 for AFEC1 5 AFEC_TRIG5 PWM0 event line 1 for AFEC0 / PWM1 event line 1 for AFEC1 6 AFEC_TRIG6 Analog Comparator 7 Reserved Bit 0 – TRGEN Trigger Enable Value Name Description 0 DIS Hardware triggers are disabled. Starting a conversion is only possible by software. 1 EN The hardware trigger selected by the TRGSEL field is enabled. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1635 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.3 AFEC Extended Mode Register Name:  Offset:  Reset:  Property:  AFEC_EMR 0x08 0x00000000 Read/Write This register can only be written if the WPEN bit is cleared in the AFEC Write Protection Mode Register. Bit 31 30 23 22 29 28 SIGNMODE[1:0] R/W R/W 0 0 Access Reset Bit 21 20 27 26 25 STM R/W 0 24 TAG R/W 0 19 18 17 RES[2:0] R/W 0 16 Access Reset R/W 0 Bit 15 14 7 6 R/W 0 R/W 0 13 12 CMPFILTER[1:0] R/W R/W 0 0 Access Reset Bit Access Reset 5 CMPSEL[4:0] R/W 0 11 10 4 3 2 R/W 0 R/W 0 9 CMPALL R/W 0 R/W 0 8 1 0 CMPMODE[1:0] R/W R/W 0 0 Bits 29:28 – SIGNMODE[1:0] Sign Mode If conversion results are signed and resolution is below 16 bits, the sign is extended up to the bit 15 (for example, 0xF43 for 12-bit resolution will be read as 0xFF43 and 0x467 will be read as 0x0467). See Conversion Results Format. Value Name Description 0 SE_UNSG_DF_SIGN Single-Ended channels: unsigned conversions. 1 SE_SIGN_DF_UNSG Differential channels: signed conversions. Single-Ended channels: signed conversions. 2 3 ALL_UNSIGNED ALL_SIGNED Differential channels: unsigned conversions. All channels: unsigned conversions. All channels: signed conversions. Bit 25 – STM Single Trigger Mode Value Description 0 Multiple triggers are required to get an averaged result. 1 Only a single trigger is required to get an averaged value. Bit 24 – TAG Tag for AFEC_LCDR Value Description 0 Clears CHNB in AFEC_LCDR. 1 Appends the channel number to the conversion result in AFEC_LCDR. Bits 18:16 – RES[2:0] Resolution Value Name 0 NO_AVERAGE 1 LOW_RES 2 OSR4 © 2021 Microchip Technology Inc. and its subsidiaries Description 12-bit resolution, AFE sample rate is maximum (no averaging). 10-bit resolution, AFE sample rate is maximum (no averaging). 13-bit resolution, AFE sample rate divided by 4 (averaging). Complete Datasheet DS60001527F-page 1636 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) Value 3 4 5 Name OSR16 OSR64 OSR256 Description 14-bit resolution, AFE sample rate divided by 16 (averaging). 15-bit resolution, AFE sample rate divided by 64 (averaging). 16-bit resolution, AFE sample rate divided by 256 (averaging). Bits 13:12 – CMPFILTER[1:0] Compare Event Filtering Number of consecutive compare events necessary to raise the flag = CMPFILTER+1. When programmed to ‘0’, the flag rises as soon as an event occurs. Bit 9 – CMPALL Compare All Channels Value Description 0 Only the channel indicated in CMPSEL field is compared. 1 All channels are compared. Bits 7:3 – CMPSEL[4:0] Comparison Selected Channel If CMPALL = 0: CMPSEL indicates which channel has to be compared. If CMPALL = 1: No effect. Bits 1:0 – CMPMODE[1:0] Comparison Mode Value Name Description 0 LOW Generates an event when the converted data is lower than the low threshold of the window. 1 HIGH Generates an event when the converted data is higher than the high threshold of the window. 2 IN Generates an event when the converted data is in the comparison window. 3 OUT Generates an event when the converted data is out of the comparison window. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1637 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.4 AFEC Channel Sequence 1 Register Name:  Offset:  Reset:  Property:  AFEC_SEQ1R 0x0C 0x00000000 Read/Write This register can only be written if the WPEN bit is cleared in the AFEC Write Protection Mode Register. Bit 31 30 29 28 27 26 USCH7[3:0] Access Reset Bit R/W 0 R/W 0 23 22 R/W 0 R/W 0 R/W 0 R/W 0 21 20 19 18 USCH5[3:0] Access Reset Bit R/W 0 R/W 0 15 14 Bit R/W 0 R/W 0 7 6 R/W 0 R/W 0 R/W 0 R/W 0 13 12 11 10 R/W 0 R/W 0 R/W 0 R/W 0 17 16 R/W 0 R/W 0 9 8 R/W 0 R/W 0 1 0 R/W 0 R/W 0 USCH2[3:0] R/W 0 R/W 0 R/W 0 R/W 0 5 4 3 2 USCH1[3:0] Access Reset 24 USCH4[3:0] USCH3[3:0] Access Reset 25 USCH6[3:0] USCH0[3:0] R/W 0 R/W 0 R/W 0 R/W 0 Bits 0:3, 4:7, 8:11, 12:15, 16:19, 20:23, 24:27, 28:31 – USCHx User Sequence Number x The sequence number x (USCHx) can be programmed by the Channel number CHy where y is the value written in this field. The allowed range is 0 up to 11. So it is only possible to use the sequencer from CH0 to CH11. This register activates only if AFEC_MR.USEQ is set. Any USCHx field is taken into account only if AFEC_CHSR.CHx is set, else any value written in USCHx does not add the corresponding channel in the conversion sequence. Configuring the same value in different fields leads to multiple samples of the same channel during the conversion sequence. This can be done consecutively, or not, depending on user requirements. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1638 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.5 AFEC Channel Sequence 2 Register Name:  Offset:  Reset:  Property:  AFEC_SEQ2R 0x10 0x00000000 Read/Write This register can only be written if the WPEN bit is cleared in the AFEC Write Protection Mode Register. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 12 11 10 R/W 0 R/W 0 4 3 Access Reset Bit Access Reset Bit Access Reset Bit R/W 0 7 13 USCH11[3:0] R/W R/W 0 0 6 5 9 USCH10[3:0] R/W R/W 0 0 2 USCH9[3:0] Access Reset R/W 0 R/W 0 8 R/W 0 1 0 R/W 0 R/W 0 USCH8[3:0] R/W 0 R/W 0 R/W 0 R/W 0 Bits 0:3, 4:7, 8:11, 12:15 – USCHx User Sequence Number x The sequence number x (USCHx) can be programmed by the Channel number CHy where y is the value written in this field. The allowed range is 0 up to 11. So it is only possible to use the sequencer from CH0 to CH11. This register activates only if AFEC_MR.USEQ is set. Any USCHx field is taken into account only if AFEC_CHSR.CHx is written to one, else any value written in USCHx does not add the corresponding channel in the conversion sequence. Configuring the same value in different fields leads to multiple samples of the same channel during the conversion sequence. This can be done consecutively, or not, depending on user requirements. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1639 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.6 AFEC Channel Enable Register Name:  Offset:  Reset:  Property:  AFEC_CHER 0x14 – Write-only This register can only be written if the WPEN bit is cleared in the AFEC Write Protection Mode Register. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 CH11 W – 10 CH10 W – 9 CH9 W – 8 CH8 W – 7 CH7 W – 6 CH6 W – 5 CH5 W – 4 CH4 W – 3 CH3 W – 2 CH2 W – 1 CH1 W – 0 CH0 W – Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bits 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11 – CHx Channel x Enable If AFEC_MR.USEQ = 1, CHx corresponds to the xth channel of the sequence described in AFEC_SEQ1R, AFEC_SEQ2R. Value Description 0 No effect. 1 Enables the corresponding channel. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1640 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.7 AFEC Channel Disable Register Name:  Offset:  Reset:  Property:  AFEC_CHDR 0x18 – Write-only This register can only be written if the WPEN bit is cleared in the AFEC Write Protection Mode Register. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 CH11 W – 10 CH10 W – 9 CH9 W – 8 CH8 W – 7 CH7 W – 6 CH6 W – 5 CH5 W – 4 CH4 W – 3 CH3 W – 2 CH2 W – 1 CH1 W – 0 CH0 W – Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bits 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11 – CHx Channel x Disable WARNING Value 0 1 If the corresponding channel is disabled during a conversion, or if it is disabled and then reenabled during a conversion, its associated data and its corresponding EOCx and GOVRE flags in AFEC_ISR and OVREx flags in AFEC_OVER are unpredictable. Description No effect. Disables the corresponding channel. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1641 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.8 AFEC Channel Status Register Name:  Offset:  Reset:  Property:  Bit AFEC_CHSR 0x1C 0x00000000 Read-only 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 CH11 R 0 10 CH10 R 0 9 CH9 R 0 8 CH8 R 0 7 CH7 R 0 6 CH6 R 0 5 CH5 R 0 4 CH4 R 0 3 CH3 R 0 2 CH2 R 0 1 CH1 R 0 0 CH0 R 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bits 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11 – CHx Channel x Status Value Description 0 The corresponding channel is disabled. 1 The corresponding channel is enabled. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1642 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.9 AFEC Last Converted Data Register Name:  Offset:  Reset:  Property:  Bit 31 AFEC_LCDR 0x20 0x00000000 Read-only 30 29 28 27 26 25 24 CHNB[3:0] Access Reset Bit 23 22 21 20 15 14 13 12 R 0 R 0 R 0 R 0 19 18 17 16 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 Access Reset Bit LDATA[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 LDATA[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 27:24 – CHNB[3:0] Channel Number Indicates the last converted channel when AFEC_EMR.TAG is set. If AFEC_EMR.TAG is cleared, CHNB = 0. Bits 15:0 – LDATA[15:0] Last Data Converted The AFE conversion data is placed into this register at the end of a conversion and remains until a new conversion is completed. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1643 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.10 AFEC Interrupt Enable 1 Register Name:  Offset:  Reset:  Property:  AFEC_IER 0x24 – Write-only The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Enables the corresponding interrupt. Bit 31 30 TEMPCHG W – 29 28 27 26 COMPE W – 25 GOVRE W – 24 DRDY W – 23 22 21 20 19 18 17 16 15 14 13 12 11 EOC11 W – 10 EOC10 W – 9 EOC9 W – 8 EOC8 W – 7 EOC7 W – 6 EOC6 W – 5 EOC5 W – 4 EOC4 W – 3 EOC3 W – 2 EOC2 W – 1 EOC1 W – 0 EOC0 W – Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bit 30 – TEMPCHG Temperature Change Interrupt Enable Bit 26 – COMPE Comparison Event Interrupt Enable Bit 25 – GOVRE General Overrun Error Interrupt Enable Bit 24 – DRDY Data Ready Interrupt Enable Bits 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11 – EOCx End of Conversion Interrupt Enable x © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1644 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.11 AFEC Interrupt Disable Register Name:  Offset:  Reset:  Property:  AFEC_IDR 0x28 – Write-only The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Disables the corresponding interrupt. Bit 31 30 TEMPCHG W – 29 28 27 26 COMPE W – 25 GOVRE W – 24 DRDY W – 23 22 21 20 19 18 17 16 15 14 13 12 11 EOC11 W – 10 EOC10 W – 9 EOC9 W – 8 EOC8 W – 7 EOC7 W – 6 EOC6 W – 5 EOC5 W – 4 EOC4 W – 3 EOC3 W – 2 EOC2 W – 1 EOC1 W – 0 EOC0 W – Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bit 30 – TEMPCHG Temperature Change Interrupt Disable Bit 26 – COMPE Comparison Event Interrupt Disable Bit 25 – GOVRE General Overrun Error Interrupt Disable Bit 24 – DRDY Data Ready Interrupt Disable Bits 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11 – EOCx End of Conversion Interrupt Disable x © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1645 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.12 AFEC Interrupt Mask Register Name:  Offset:  Reset:  Property:  AFEC_IMR 0x2C 0x00000000 Read-only The following configuration values are valid for all listed bit names of this register: 0: The corresponding interrupt is disabled. 1: The corresponding interrupt is enabled. Bit 31 30 TEMPCHG R 0 29 28 27 26 COMPE R 0 25 GOVRE R 0 24 DRDY R 0 23 22 21 20 19 18 17 16 15 14 13 12 11 EOC11 R 0 10 EOC10 R 0 9 EOC9 R 0 8 EOC8 R 0 7 EOC7 R 0 6 EOC6 R 0 5 EOC5 R 0 4 EOC4 R 0 3 EOC3 R 0 2 EOC2 R 0 1 EOC1 R 0 0 EOC0 R 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bit 30 – TEMPCHG Temperature Change Interrupt Mask Bit 26 – COMPE Comparison Event Interrupt Mask Bit 25 – GOVRE General Overrun Error Interrupt Mask Bit 24 – DRDY Data Ready Interrupt Mask Bits 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11 – EOCx End of Conversion Interrupt Mask x © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1646 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.13 AFEC Interrupt Status Register Name:  Offset:  Reset:  Property:  Bit AFEC_ISR 0x30 0x00000000 Read-only 31 30 TEMPCHG R 0 29 28 27 26 COMPE R 0 25 GOVRE R 0 24 DRDY R 0 23 22 21 20 19 18 17 16 15 14 13 12 11 EOC11 10 EOC10 9 EOC9 8 EOC8 0 0 0 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset 7 EOC7 6 EOC6 5 EOC5 4 EOC4 3 EOC3 2 EOC2 1 EOC1 0 EOC0 0 0 0 0 0 0 0 0 Bit 30 – TEMPCHG Temperature Change (cleared on read) Value Description 0 No comparison match (defined in AFEC_TEMPCMPR) occurred since the last read of AFEC_ISR. 1 The temperature value reported on AFEC_CDR (AFEC_CSELR.CSEL = 11) has changed since the last read of AFEC_ISR, according to what is defined in the Temperature Mode register (AFEC_TEMPMR) and the Temperature Compare Window register (AFEC_TEMPCWR). Bit 26 – COMPE Comparison Error (cleared by reading AFEC_ISR) Value Description 0 No comparison error since the last read of AFEC_ISR. 1 At least one comparison error has occurred since the last read of AFEC_ISR. Bit 25 – GOVRE General Overrun Error (cleared by reading AFEC_ISR) Value Description 0 No general overrun error occurred since the last read of AFEC_ISR. 1 At least one general overrun error has occurred since the last read of AFEC_ISR. Bit 24 – DRDY Data Ready (cleared by reading AFEC_LCDR) Value Description 0 No data has been converted since the last read of AFEC_LCDR. 1 At least one data has been converted and is available in AFEC_LCDR. Bits 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11 – EOCx End of Conversion x (cleared by reading AFEC_CDRx) Value Description 0 The corresponding analog channel is disabled, or the conversion is not finished. This flag is cleared when reading the AFEC_CDR if the CSEL bit is programmed with ‘x’ in the AFEC_CSELR. 1 The corresponding analog channel is enabled and conversion is complete. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1647 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.14 AFEC Overrun Status Register Name:  Offset:  Reset:  Property:  Bit AFEC_OVER 0x4C 0x00000000 Read-only 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 OVRE11 R 0 10 OVRE10 R 0 9 OVRE9 R 0 8 OVRE8 R 0 7 OVRE7 R 0 6 OVRE6 R 0 5 OVRE5 R 0 4 OVRE4 R 0 3 OVRE3 R 0 2 OVRE2 R 0 1 OVRE1 R 0 0 OVRE0 R 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bits 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11 – OVREx Overrun Error x An overrun error does not always mean that the unread data has been replaced by a new valid data. See Enhanced Resolution Mode and Digital Averaging Function for details. Value Description 0 No overrun error on the corresponding channel since the last read of AFEC_OVER. 1 There has been an overrun error on the corresponding channel since the last read of AFEC_OVER. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1648 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.15 AFEC Compare Window Register Name:  Offset:  Reset:  Property:  AFEC_CWR 0x50 0x00000000 Read/Write This register can only be written if the WPEN bit is cleared in the AFEC Write Protection Mode Register. Bit Access Reset Bit Access Reset Bit Access Reset Bit Access Reset 31 30 29 R/W 0 R/W 0 R/W 0 23 22 21 R/W 0 R/W 0 R/W 0 15 14 13 R/W 0 R/W 0 R/W 0 7 6 5 R/W 0 R/W 0 R/W 0 28 27 HIGHTHRES[15:8] R/W R/W 0 0 20 19 HIGHTHRES[7:0] R/W R/W 0 0 12 11 LOWTHRES[15:8] R/W R/W 0 0 4 3 LOWTHRES[7:0] R/W R/W 0 0 26 25 24 R/W 0 R/W 0 R/W 0 18 17 16 R/W 0 R/W 0 R/W 0 10 9 8 R/W 0 R/W 0 R/W 0 2 1 0 R/W 0 R/W 0 R/W 0 Bits 31:16 – HIGHTHRES[15:0] High Threshold High threshold associated to compare settings of AFEC_EMR. For comparisons lower than 16 bits and signed, the sign should be extended up to the bit 15. Bits 15:0 – LOWTHRES[15:0] Low Threshold Low threshold associated to compare settings of AFEC_EMR. For comparisons lower than 16 bits and signed, the sign should be extended up to the bit 15. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1649 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.16 AFEC Channel Gain Register Name:  Offset:  Reset:  Property:  AFEC_CGR 0x54 0x00000000 Read/Write This register can only be written if the WPEN bit is cleared in the AFEC Write Protection Mode Register. Bit 31 30 29 28 27 26 25 18 17 24 Access Reset Bit 23 22 GAIN11[1:0] R/W R/W 0 0 Access Reset Bit 15 21 20 GAIN10[1:0] R/W R/W 0 0 14 13 GAIN7[1:0] Access Reset R/W 0 Bit 7 R/W 0 GAIN9[1:0] R/W 0 11 GAIN6[1:0] R/W 0 R/W 0 6 5 GAIN3[1:0] Access Reset 12 19 R/W 0 4 3 GAIN2[1:0] R/W 0 R/W 0 R/W 0 R/W 0 10 9 GAIN5[1:0] R/W 0 R/W 0 R/W 0 8 GAIN4[1:0] R/W 0 R/W 0 2 1 GAIN1[1:0] R/W 0 16 GAIN8[1:0] R/W 0 0 GAIN0[1:0] R/W 0 R/W 0 R/W 0 Bits 0:1, 2:3, 4:5, 6:7, 8:9, 10:11, 12:13, 14:15, 16:17, 18:19, 20:21, 22:23 – GAINx Gain for Channel x Gain applied on input of Analog Front-End. See section AFEC Channel Differential Register for a description of DIFFx. GAINx 0 1 2 3 © 2021 Microchip Technology Inc. and its subsidiaries Gain Applied DIFFx = 0 DIFFx = 1 1 2 4 4 1 2 4 4 Complete Datasheet DS60001527F-page 1650 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.17 AFEC Channel Differential Register Name:  Offset:  Reset:  Property:  AFEC_DIFFR 0x60 0x00000000 Read/Write This register can only be written if the WPEN bit is cleared in the AFEC Write Protection Mode Register. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 DIFF11 R/W 0 10 DIFF10 R/W 0 9 DIFF9 R/W 0 8 DIFF8 R/W 0 7 DIFF7 R/W 0 6 DIFF6 R/W 0 5 DIFF5 R/W 0 4 DIFF4 R/W 0 3 DIFF3 R/W 0 2 DIFF2 R/W 0 1 DIFF1 R/W 0 0 DIFF0 R/W 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bits 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11 – DIFFx Differential Inputs for Channel x Value Description 0 Single-ended mode. 1 Fully differential mode. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1651 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.18 AFEC Channel Selection Register Name:  Offset:  Reset:  Property:  Bit AFEC_CSELR 0x64 0x00000000 Read/Write 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 R/W 0 R/W 0 Access Reset Bit Access Reset Bit Access Reset Bit CSEL[3:0] Access Reset R/W 0 R/W 0 Bits 3:0 – CSEL[3:0] Channel Selection Value Description 0–11 Selects the channel to be displayed in AFEC_CDR and AFEC_COCR. To be configured with the appropriate channel number. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1652 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.19 AFEC Channel Data Register Name:  Offset:  Reset:  Property:  Bit AFEC_CDR 0x68 0x00000000 Read-only 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 R 0 R 0 R 0 R 0 3 2 1 0 R 0 R 0 R 0 R 0 Access Reset Bit Access Reset Bit DATA[15:8] Access Reset R 0 R 0 R 0 R 0 Bit 7 6 5 4 DATA[7:0] Access Reset R 0 R 0 R 0 R 0 Bits 15:0 – DATA[15:0] Converted Data Returns the AFE conversion data corresponding to channel CSEL (configured in the AFEC Channel Selection Register). At the end of a conversion, the converted data is loaded into one of the 12 internal registers (one for each channel) and remains in this internal register until a new conversion is completed on the same channel index. The AFEC_CDR together with AFEC_CSELR allows to multiplex all the internal channel data registers. The data carried on AFEC_CDR is valid only if AFEC_CHSR.CHx bit is set (where x = AFEC_CSELR.CSEL field value). © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1653 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.20 AFEC Channel Offset Compensation Register Name:  Offset:  Reset:  Property:  AFEC_COCR 0x6C 0x00000000 Read/Write This register can only be written if the WPEN bit is cleared in the AFEC Write Protection Mode Register. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 Access Reset Bit Access Reset Bit 8 AOFF[9:8] Access Reset Bit 7 6 5 4 R/W 0 R/W 0 3 2 1 0 R/W 0 R/W 0 R/W 0 R/W 0 AOFF[7:0] Access Reset R/W 0 R/W 0 R/W 0 R/W 0 Bits 9:0 – AOFF[9:0] Analog Offset Defines the analog offset to be used for channel CSEL (configured in the AFEC Channel Selection Register). This value is used as an input value for the DAC included in the AFE. Note:  The field AOFF must be configured to 512 (mid scale of the DAC) when there is no offset error to compensate. To compensate for an offset error of n LSB (positive or negative), the field AOFF must be configured to 512 + n. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1654 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.21 AFEC Temperature Sensor Mode Register Name:  Offset:  Reset:  Property:  AFEC_TEMPMR 0x70 0x00000000 Read/Write This register can only be written if the WPEN bit is cleared in the AFEC Write Protection Mode Register. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 3 2 1 0 RTCT R/W 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset 5 4 TEMPCMPMOD[1:0] R/W R/W 0 0 Bits 5:4 – TEMPCMPMOD[1:0] Temperature Comparison Mode Value Name Description 0 LOW Generates an event when the converted data is lower than the low threshold of the window. 1 HIGH Generates an event when the converted data is higher than the high threshold of the window. 2 IN Generates an event when the converted data is in the comparison window. 3 OUT Generates an event when the converted data is out of the comparison window. Bit 0 – RTCT Temperature Sensor RTC Trigger Mode Value Description 0 The temperature sensor measure is not triggered by RTC event. 1 The temperature sensor measure is triggered by RTC event (if TRGEN = 1). © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1655 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.22 AFEC Temperature Compare Window Register Name:  Offset:  Reset:  Property:  AFEC_TEMPCWR 0x74 0x00000000 Read/Write This register can only be written if the WPEN bit is cleared in the AFEC Write Protection Mode Register. Bit Access Reset Bit Access Reset Bit Access Reset Bit Access Reset 31 30 29 R/W 0 R/W 0 R/W 0 23 22 21 R/W 0 R/W 0 R/W 0 15 14 13 R/W 0 R/W 0 R/W 0 7 6 5 R/W 0 R/W 0 R/W 0 28 27 THIGHTHRES[15:8] R/W R/W 0 0 20 19 THIGHTHRES[7:0] R/W R/W 0 0 12 11 TLOWTHRES[15:8] R/W R/W 0 0 4 3 TLOWTHRES[7:0] R/W R/W 0 0 26 25 24 R/W 0 R/W 0 R/W 0 18 17 16 R/W 0 R/W 0 R/W 0 10 9 8 R/W 0 R/W 0 R/W 0 2 1 0 R/W 0 R/W 0 R/W 0 Bits 31:16 – THIGHTHRES[15:0] Temperature High Threshold High threshold associated to compare settings of the AFEC_TEMPMR. For comparisons less than 16 bits and signed, the sign should be extended up to the bit 15. Bits 15:0 – TLOWTHRES[15:0] Temperature Low Threshold Low threshold associated to compare settings of the AFEC_TEMPMR. For comparisons less than 16 bits and signed, the sign should be extended up to the bit 15. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1656 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.23 AFEC Analog Control Register Name:  Offset:  Reset:  Property:  AFEC_ACR 0x94 0x00000100 Read/Write This register can only be written if the WPEN bit is cleared in the AFEC Write Protection Mode Register. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 Access Reset Bit Access Reset Bit 8 IBCTL[1:0] Access Reset Bit 7 6 5 4 Access Reset 3 PGA1EN R/W 0 2 PGA0EN R/W 0 R/W 0 R/W 1 1 0 Bits 9:8 – IBCTL[1:0] AFE Bias Current Control Adapts performance versus power consumption. (Refer to AFE Characteristics in section “Electrical Characteristics”.) Bit 3 – PGA1EN  PGA1 Enable Value Description 0 Programmable Gain Amplifier is disabled. 1 Programmable Gain Amplifier is enabled. Bit 2 – PGA0EN  PGA0 Enable Value Description 0 Programmable Gain Amplifier is disabled. 1 Programmable Gain Amplifier is enabled. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1657 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.24 AFEC Sample & Hold Mode Register Name:  Offset:  Reset:  Property:  AFEC_SHMR 0xA0 0x00000000 Read/Write This register can only be written if the WPEN bit is cleared in the AFEC Write Protection Mode Register. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 DUAL11 R/W 0 10 DUAL10 R/W 0 9 DUAL9 R/W 0 8 DUAL8 R/W 0 7 DUAL7 R/W 0 6 DUAL6 R/W 0 5 DUAL5 R/W 0 4 DUAL4 R/W 0 3 DUAL3 R/W 0 2 DUAL2 R/W 0 1 DUAL1 R/W 0 0 DUAL0 R/W 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bits 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11 – DUALx Dual Sample & Hold for Channel x Value Description 0 Single Sample-and-Hold mode. 1 Dual Sample-and-Hold mode. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1658 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.25 AFEC Correction Select Register Name:  Offset:  Reset:  Property:  AFEC_COSR 0xD0 0x00000000 Read/Write This register can only be written if the WPEN bit is cleared in the AFEC Write Protection Mode Register. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 CSEL R/W 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bit 0 – CSEL  Sample & Hold unit Correction Select Selects the Sample & Hold unit to be displayed in the AFEC_CVR. 0 = Select lower channels 1 = Select upper channels © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1659 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.26 AFEC Correction Values Register Name:  Offset:  Reset:  Property:  AFEC_CVR 0xD4 0x00000000 Read/Write This register can only be written if the WPEN bit is cleared in the AFEC Write Protection Mode Register. Bit Access Reset Bit Access Reset Bit Access Reset Bit Access Reset 31 30 29 R/W 0 R/W 0 R/W 0 23 22 21 R/W 0 R/W 0 R/W 0 15 14 13 R/W 0 R/W 0 R/W 0 7 6 5 R/W 0 R/W 0 R/W 0 28 27 GAINCORR[15:8] R/W R/W 0 0 20 19 GAINCORR[7:0] R/W R/W 0 0 12 11 OFFSETCORR[15:8] R/W R/W 0 0 4 3 OFFSETCORR[7:0] R/W R/W 0 0 26 25 24 R/W 0 R/W 0 R/W 0 18 17 16 R/W 0 R/W 0 R/W 0 10 9 8 R/W 0 R/W 0 R/W 0 2 1 0 R/W 0 R/W 0 R/W 0 Bits 31:16 – GAINCORR[15:0] Gain Correction Gain correction to apply on converted data. Only bits 0 to 15 are relevant (other bits are ignored and read as 0). Bits 15:0 – OFFSETCORR[15:0] Offset Correction Offset correction to apply on converted data. The offset is signed (2’s complement), only bits 0 to 11 are relevant (other bits are ignored and read as 0). © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1660 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.27 AFEC Channel Error Correction Register Name:  Offset:  Reset:  Property:  AFEC_CECR 0xD8 0x00000000 Read/Write This register can only be written if the WPEN bit is cleared in the AFEC Write Protection Mode Register. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 ECORR11 R/W 0 10 ECORR10 R/W 0 9 ECORR9 R/W 0 8 ECORR8 R/W 0 7 ECORR7 R/W 0 6 ECORR6 R/W 0 5 ECORR5 R/W 0 4 ECORR4 R/W 0 3 ECORR3 R/W 0 2 ECORR2 R/W 0 1 ECORR1 R/W 0 0 ECORR0 R/W 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bits 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11 – ECORRx Error Correction Enable for Channel x Value Description 0 Automatic error correction is disabled for channel x. 1 Automatic error correction is enabled for channel x. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1661 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.28 AFEC Write Protection Mode Register Name:  Offset:  Reset:  Property:  Bit Access Reset Bit Access Reset Bit Access Reset Bit AFEC_WPMR 0xE4 0x00000000 Read/Write 31 30 29 R/W 0 R/W 0 R/W 0 23 22 21 R/W 0 R/W 0 R/W 0 15 14 13 R/W 0 R/W 0 R/W 0 7 6 5 28 27 WPKEY[23:16] R/W R/W 0 0 20 19 WPKEY[15:8] R/W R/W 0 0 12 11 WPKEY[7:0] R/W R/W 0 0 4 3 26 25 24 R/W 0 R/W 0 R/W 0 18 17 16 R/W 0 R/W 0 R/W 0 10 9 8 R/W 0 R/W 0 R/W 0 2 1 0 WPEN R/W 0 Access Reset Bits 31:8 – WPKEY[23:0] Write Protect KEY Value Name Description 0x414443 PASSWD Writing any other value in this field aborts the write operation of the WPEN bit. Always reads as 0. Bit 0 – WPEN Write Protection Enable See Register Write Protection for the list of registers which can be protected. Value Description 0 Disables the write protection if WPKEY corresponds to 0x414443 (“ADC” in ASCII). 1 Enables the write protection if WPKEY corresponds to 0x414443 (“ADC” in ASCII). © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1662 SAM E70/S70/V70/V71 Analog Front-End Controller (AFEC) 52.7.29 AFEC Write Protection Status Register Name:  Offset:  Reset:  Property:  Bit AFEC_WPSR 0xE8 0x00000000 Read-only 31 30 29 28 27 26 25 24 Bit 23 22 21 18 17 16 Access Reset R 0 R 0 R 0 20 19 WPVSRC[15:8] R R 0 0 R 0 R 0 R 0 Bit 15 14 13 10 9 8 Access Reset R 0 R 0 R 0 12 11 WPVSRC[7:0] R R 0 0 R 0 R 0 R 0 Bit 7 6 5 4 2 1 0 WPVS R 0 Access Reset 3 Access Reset Bits 23:8 – WPVSRC[15:0] Write Protect Violation Source When WPVS = 1, WPVSRC indicates the register address offset at which a write access has been attempted. Bit 0 – WPVS Write Protect Violation Status Value Description 0 No Write Protect Violation has occurred since the last read of the AFEC_WPSR. 1 A Write Protect Violation has occurred since the last read of the AFEC_WPSR. If this violation is an unauthorized attempt to write a protected register, the associated violation is reported into field WPVSRC. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1663 SAM E70/S70/V70/V71 Digital-to-Analog Converter Controller (DACC) 53. 53.1 Digital-to-Analog Converter Controller (DACC) Description The Digital-to-Analog Converter Controller (DACC) offers up to two single-ended analog outputs or one differential analog output, making it possible for the digital-to-analog conversion to drive up to two independent analog lines. The DACC supports 12-bit resolution. The DACC operates in Free-running mode, Max speed mode, Trigger mode or Interpolation mode. The DACC integrates a Bypass mode which minimizes power consumption in case of a limited sampling rate conversion. Each channel connects with a separate DMA channel. This feature reduces both power consumption and processor intervention. 53.2 Embedded Characteristics • • • • • • • • • Up to Two Independent Single-Ended Analog Outputs or One Differential Analog Output 12-bit Resolution Integrated Interpolation Filter with 2×, 4×, 8×, 16× or 32× Oversampling Ratio (OSR) Reduced Number of System Bus Accesses (Word Transfer Mode) Individual Control of Each Analog Channel Hardware Triggers – One Trigger Selection Per Channel – External trigger pin – Internal events DMA Support One Internal FIFO per Channel Register Write Protection © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1664 SAM E70/S70/V70/V71 Digital-to-Analog Converter Controller (DACC) 53.3 Block Diagram Figure 53-1. Block Diagram Event System Digital-to-Analog Converter Controller (DACC) Trigger Selection DAC Clock Control Logic Trigger Selection DATRG Interrupt Controller Analog Cell (DAC) VDDANA DMA VREFP DAC Core 0 DAC Core 1 Peripheral Bridge User Interface peripheral clock DAC0/DACP 53.4 PMC DAC1/DACN Signal Description Table 53-1. DACC Signal Description Name Description Direction DAC0/DACP Single-ended analog output channel 0 / Positive channel of differential analog output channel Output DAC1/DACN Single-ended analog output channel 1 / Negative channel of differential analog output channel Output DATRG Trigger Input VREFP Positive reference voltage connected Input to VREFP VREFN Negative reference voltage connected to VREFN © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet Input DS60001527F-page 1665 SAM E70/S70/V70/V71 Digital-to-Analog Converter Controller (DACC) 53.5 53.5.1 Product Dependencies I/O Lines The digital input DATRG is multiplexed with digital functions on the I/O line and is selected using the PIO Controller. The analog outputs DAC0/DACP, DAC1/DACN are multiplexed with digital functions on the I/O lines .The analog outputs of the DACC drive the pads and the digital functions are not selected when the corresponding DACC channels are enabled by writing to the DACC Channel Enable Register (DACC_CHER). 53.5.2 Power Management The programmer must first enable the DACC Clock in the Power Management Controller (PMC) before using the DACC. The DACC becomes active as soon as a conversion is requested and at least one channel is enabled. The DACC is automatically deactivated when no channels are enabled. 53.5.3 Interrupt Sources The DACC interrupt line is connected on one of the internal sources of the Interrupt controller. Using the DACC interrupt requires the Interrupt controller to be programmed first. 53.5.4 Conversion Performances For performance and electrical characteristics of the DACC, see the DACC Characteristics in the section “Electrical Characteristics”. 53.6 Functional Description 53.6.1 Digital-to-Analog Conversion To perform conversions, the DACC_CHSR.CHx bit must be set by writing a one to DACC_CHER.CHx. If both DACC_CHSR.CHx bits are cleared, the DAC analog cell is switched off. The DACx is ready to convert once DACC_CHSR.DACRDYx is read at ‘1’. The DACC divides the peripheral clock to perform conversions. This divided clock is named DAC clock. Once a conversion starts, the DACC takes 12 DAC clock periods to provide the analog result on the selected analog output. The minimum conversion period of the DAC is exactly 12 DAC clock periods when the Max speed mode is enabled (MAXSx = 1 in the DACC Mode Register (DACC_MR)). In this case the DAC is always clocked, slightly increasing the power consumption of the DAC. When the Max speed mode is not used (Trigger or Free-running mode), the DAC is only clocked when a conversion is requested and a new conversion can only occur when the DAC has ended its previous conversion. The power consumption is lower but the sampling rate is lower as the controller waits for the end of conversion of the previously sent data. In this case, one conversion lasts 12 DAC clock periods plus 2 cycles of resynchronization stage. The conversion mode of a channel can be modified only if this channel has been previously disabled. Power consumption of the DAC can be adapted to its sampling rate via the DACC_ACR.IBCTLCHx fields. In Bypass mode, the maximum sample rate and the power consumption of the DAC are lowered. 53.6.2 Conversion Results When a conversion is completed, the resulting analog value is available at the selected DAC channel output. The EOC bit in the DACC Interrupt Status Register (DACC_ISR) is set. Reading DACC_ISR clears the EOC bit. 53.6.3 Analog Output Mode Selection The analog outputs can be set to either Single-ended or Differential mode with the DIFF bit in the DACC_MR. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1666 SAM E70/S70/V70/V71 Digital-to-Analog Converter Controller (DACC) When set to Single-ended mode (DIFF = 0), each DAC channel can be configured independently. When set to Differential mode (DIFF = 1), the analog outputs DACP and DACN are located on DAC0 and DAC1 outputs, respectively. All operations are driven by channel 0 and activating this channel automatically activates channel 1. Sending a value on channel 0 (DACP) automatically generates the complementary signal to be sent to channel 1 (DACN). The signal sent to the DAC is centered around 2048. For example, sending 3000 = 2048 + 952 to the DAC0 channel will automatically send 1096 = 2048 - 952 to the DAC1 channel. 53.6.4 Conversion Modes The conversion modes available in the DACC are described below. 53.6.4.1 Trigger Mode Trigger mode is enabled by setting DACC_TRIGR.TRGENx. The conversion waits for a rising edge on the selected trigger to send the data to the DAC. In this mode, the maximum data rate (i.e., the maximum trigger event frequency) cannot exceed 12 DAC clock periods plus 2 cycles of resynchronization stage. Note:  Disabling Trigger mode (TRGENx = 0) automatically sets the DACC in Free-running or Max speed mode depending on the status of DACC_MR.MAXSx. Figure 53-2. Conversion Sequence in Trigger Mode TXRDY (used by software) Write DACC_CDR0 FIFO 0 is empty d0 d1 d2 d3 d4 FIFO 0 is ready FIFO 0 is full Trigger event Trigger Period SOC0 EOC0 (not required by software) DAC Channel 0 Output DAC conversion period DAC conversion period d0 d0 DAC conversion period d1 d1 d2 53.6.4.2 Free-Running Mode Free-running mode is enabled by clearing DACC_TRIGR.TRGENx and DACC_MR.MAXSx. The conversion starts as soon as at least one channel is enabled. Once data is written in the DACC Conversion Data Register (DACC_CDRx), 12 DAC clock periods later, the converted data is available at the corresponding analog output. The next data is converted only when the EOC of the previous data is set. If the FIFO is emptied, no conversion occurs and the data is maintained at the output of the DAC. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1667 SAM E70/S70/V70/V71 Digital-to-Analog Converter Controller (DACC) Figure 53-3. Conversion Sequence in Free-running Mode TXRDY Write DACC_CDR0 FIFO 0 is empty d0 d1 d2 d3 d4 FIFO 0 is full Waiting for next write DACC_CDR0 operation FIFO 0 is ready SOC0 DAC conversion period EOC0 DAC Channel 0 Output d0 d4 d1 Read DACC_ISR interrupt 53.6.4.3 Max Speed Mode Max speed mode is enabled by setting DACC_TRIGR.TRGENx and DACC_MR.MAXSx. The conversion rate is forced by the controller, which starts one conversion every 12 DAC clock periods. The controller does not wait for the EOC of the previous data to send a new data to the DAC and the DAC is always clocked. If the FIFO is emptied, the controller send the last converted data to the DAC at a rate of 12 DAC clock periods. The DACC_ACR.IBCTLCHx field must be configured for 1 MSps (see the section “Electrical Characteristics”). Figure 53-4. Conversion Sequence in Max Speed Mode TXRDY Write DACC_CDR0 FIFO 0 is empty d0 d1 d2 d3 d4 FIFO 0 is full FIFO 0 is ready SOC0 EOC0 DAC Channel 0 Output DAC conversion period d0 d1 d4 Read DACC_ISR interrupt 53.6.4.4 Bypass Mode Bypass mode disables the DAC output buffer and thus minimizes power consumption. This mode can be used to generate slow varying signals. Refer to the DAC Characteristics in the section “Electrical Characteristics” of this datasheet. To enter this mode, Free-running mode must be selected and the DACC_ACR.IBCTLCHx field configured in Bypass mode. Related Links 58. Electrical Characteristics for SAM V70/V71 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1668 SAM E70/S70/V70/V71 Digital-to-Analog Converter Controller (DACC) 53.6.4.5 Interpolation Mode The DACC integrates interpolation filters that allow OSR of 2×, 4×, 8×, 16× or 32×. This mode can be used only if Trigger mode is enabled and value in the field OSRx is not ‘0’. The OSR of the interpolator is configured in the OSRx field in the DACC Trigger Register (DACC_TRIGR). The data is sampled once every OSR trigger event and then recomputed at the trigger sample rate using a thirdorder SINC filter. This reduces the number of accesses to the DACC and increases the signal-to-noise ratio (SNR) of the converted output signal. The figures below show the spectral mask of the SINC filter depending on the selected OSR. fs is the sampling frequency of the input signal which corresponds to the trigger frequency divided by OSR. Figure 53-5. Interpolator Spectral Mask for OSR = 2 0 -24 -2.4 Gain (dB), 0–fs/2 mask Gain (dB), overall mask 3rd order SINC filter overall mask for OSR = 2 0 -48 -72 -96 -120 3rd order SINC filter 0–fs/2 mask for OSR = 2 -4.8 -7.2 -9.6 0 0.125*fs 0.25*fs 0.375*fs 0.5*fs 0.625*fs 0.75*fs Frequency (Hz), overall mask 0.875*fs -12 1*fs 0 fs/16 fs/8 3*fs/16 fs/4 5*fs/16 Frequency (Hz), 0–fs/2 mask 3*fs/8 7*fs/16 fs/2 7*fs/16 fs/2 Figure 53-6. Interpolator Spectral Mask for OSR = 4 0 -24 -2.4 Gain (dB), 0–fs/2 mask Gain (dB), overall mask 3rd order SINC filter overall mask for OSR = 4 0 -48 -72 -96 -120 3rd order SINC filter 0–fs/2 mask for OSR = 4 -4.8 -7.2 -9.6 0 0.25*fs 0.5*fs 0.75*fs 1*fs 1.25*fs Frequency (Hz), overall mask © 2021 Microchip Technology Inc. and its subsidiaries 1.5*fs 1.75*fs 2*fs -12 0 fs/16 Complete Datasheet fs/8 3*fs/16 fs/4 5*fs/16 Frequency (Hz), 0–fs/2 mask 3*fs/8 DS60001527F-page 1669 SAM E70/S70/V70/V71 Digital-to-Analog Converter Controller (DACC) Figure 53-7. Interpolator Spectral Mask for OSR = 8 0 -24 -2.4 Gain (dB), 0–fs/2 mask Gain (dB), overall mask 3rd order SINC filter overall mask for OSR = 8 0 -48 -72 -96 -120 3rd order SINC filter 0–fs/2 mask for OSR = 8 -4.8 -7.2 -9.6 0 0.5*fs 1*fs 1.5*fs 2*fs 2.5*fs Frequency (Hz), overall mask 3*fs 3.5*fs -12 4*fs 0 fs/16 fs/8 3*fs/16 fs/4 5*fs/16 Frequency (Hz), 0–fs/2 mask 3*fs/8 7*fs/16 fs/2 7*fs/16 fs/2 7*fs/16 fs/2 Figure 53-8. Interpolator Spectral Mask for OSR = 16 0 -24 -2.4 Gain (dB), 0–fs/2 mask Gain (dB), overall mask 3rd order SINC filter overall mask for OSR = 16 0 -48 -72 -96 -120 3rd order SINC filter 0–fs/2 mask for OSR = 16 -4.8 -7.2 -9.6 0 1*fs 2*fs 3*fs 4*fs 5*fs Frequency (Hz), overall mask 6*fs 7*fs -12 8*fs 0 fs/16 fs/8 3*fs/16 fs/4 5*fs/16 Frequency (Hz), 0–fs/2 mask 3*fs/8 Figure 53-9. Interpolator Spectral Mask for OSR = 32 0 -24 -2.4 Gain (dB), 0–fs/2 mask Gain (dB), overall mask 3rd order SINC filter overall mask for OSR = 32 0 -48 -72 -96 -120 53.6.5 3rd order SINC filter 0–fs/2 mask for OSR = 32 -4.8 -7.2 -9.6 0 2*fs 4*fs 6*fs 8*fs 10*fs Frequency (Hz), overall mask 12*fs 14*fs 16*fs -12 0 fs/16 fs/8 3*fs/16 fs/4 5*fs/16 Frequency (Hz), 0–fs/2 mask 3*fs/8 Conversion FIFO Each channel embeds a four half-word FIFO to handle the data to be converted. When the TXRDY flag of a channel in the DACC_ISR is active, the DACC is ready to accept conversion requests by writing data into the corresponding DACC_CDRx. Data which cannot be converted immediately are stored in the FIFO of the corresponding channel. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1670 SAM E70/S70/V70/V71 Digital-to-Analog Converter Controller (DACC) When the FIFO is full or the DACC is not ready to accept conversion requests, the TXRDY flag is inactive. The DACC also offers the possibility of writing two data words in one access by setting the bit WORD in the DACC_MR. In this case, bits 11:0 contain the first data to be converted and bits 27:16 contain the second data to be converted. The two data are written into the FIFO of the selected channel. The TXRDY flag takes into account this double write access. Changing this access mode implies first switching off all channels. WARNING 53.6.6 Writing in DACC_CDRx while TXRDY flag is inactive will corrupt FIFO data. Register Write Protection To prevent any single software error from corrupting DACC behavior, certain registers in the address space can be write-protected by setting the WPEN bit in the DACC Write Protection Mode Register (DACC_WPMR). If a write access to a write-protected register is detected, the WPVS bit in the DACC Write Protection Status Register (DACC_WPSR) is set and the field WPVSRC indicates the register in which the write access has been attempted. The WPVS bit is automatically cleared after reading the DACC_WPSR. The following registers can be write-protected : • • • • • DACC Mode Register DACC Channel Enable Register DACC Channel Disable Register DACC Analog Current Register DACC Trigger Register © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1671 SAM E70/S70/V70/V71 Digital-to-Analog Converter Controller (DACC) 53.7 Register Summary Offset Name 0x00 DACC_CR 0x04 DACC_MR 0x08 DACC_TRIGR 0x0C ... 0x0F Reserved 0x10 0x14 0x18 DACC_CHER DACC_CHDR DACC_CHSR 0x1C DACC_CDR0 0x20 DACC_CDR1 0x24 0x28 0x2C Bit Pos. DACC_IER DACC_IDR DACC_IMR 0x30 DACC_ISR 0x34 ... 0x93 Reserved 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7 5 4 3 2 1 0 SWRST ZERO WORD MAXS1 MAXS0 DIFF 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 © 2021 Microchip Technology Inc. and its subsidiaries 6 PRESCALER[3:0] TRGEN1 TRGSEL1[2:0] OSR0[2:0] TRGSEL0[2:0] OSR1[2:0] TRGEN0 CH1 CH0 CH1 CH0 CH1 DACRDY1 CH0 DACRDY0 EOC1 DATA0[7:0] DATA0[15:8] DATA1[7:0] DATA1[15:8] DATA0[7:0] DATA0[15:8] DATA1[7:0] DATA1[15:8] EOC0 TXRDY1 TXRDY0 EOC1 EOC0 TXRDY1 TXRDY0 EOC1 EOC0 TXRDY1 TXRDY0 EOC1 EOC0 TXRDY1 TXRDY0 Complete Datasheet DS60001527F-page 1672 SAM E70/S70/V70/V71 Digital-to-Analog Converter Controller (DACC) ...........continued Offset Name Bit Pos. 0x94 DACC_ACR 7:0 15:8 23:16 31:24 0x98 ... 0xE3 Reserved 0xE4 0xE8 DACC_WPMR DACC_WPSR 7 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 © 2021 Microchip Technology Inc. and its subsidiaries 6 5 4 3 2 IBCTLCH1[1:0] 1 0 IBCTLCH0[1:0] WPEN WPKEY[7:0] WPKEY[15:8] WPKEY[23:16] WPVS WPVSRC[7:0] Complete Datasheet DS60001527F-page 1673 SAM E70/S70/V70/V71 Digital-to-Analog Converter Controller (DACC) 53.7.1 DACC Control Register Name:  Offset:  Reset:  Property:  Bit DACC_CR 0x00 – Write-only 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 SWRST W – Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bit 0 – SWRST Software Reset Value Description 0 No effect. 1 Resets the DACC simulating a hardware reset. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1674 SAM E70/S70/V70/V71 Digital-to-Analog Converter Controller (DACC) 53.7.2 DACC Mode Register Name:  Offset:  Reset:  Property:  DACC_MR 0x04 0x00000000 Read/Write This register can only be written if the WPEN bit is cleared in the DACC Write Protection Mode Register. Bit 31 30 29 28 Access Reset Bit Access Reset Bit 27 R/W 0 26 25 PRESCALER[3:0] R/W R/W 0 0 24 R/W 0 23 DIFF R/W 0 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 ZERO R/W 0 4 WORD R/W 0 3 2 1 MAXS1 R/W 0 0 MAXS0 R/W 0 Access Reset Bit Access Reset Bits 27:24 – PRESCALER[3:0] Peripheral Clock to DAC Clock Ratio This field defines the division ratio between the peripheral clock and the DAC clock as per the following formula: fperipheral clock PRESCALER = −2 fDAC Bit 23 – DIFF Differential Mode Value Name Description 0 DISABLED DAC0 and DAC1 are single-ended outputs. 1 ENABLED DACP and DACN are differential outputs. The differential level is configured by the channel 0 value. Bit 5 – ZERO Must always be written to 0. Bit 4 – WORD Word Transfer Mode Value Name Description 0 DISABLED One data to convert is written to the FIFO per access to DACC. 1 ENABLED Two data to convert are written to the FIFO per access to DACC (reduces the number of requests to DMA and the number of system bus accesses). Bits 0, 1 – MAXSx Max Speed Mode for Channel x Value Name Description 0 TRIG_EVENT Trigger mode or Free-running mode enabled. (See TRGENx.DACC_TRIGR.) 1 MAXIMUM Max speed mode enabled. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1675 SAM E70/S70/V70/V71 Digital-to-Analog Converter Controller (DACC) 53.7.3 DACC Trigger Register Name:  Offset:  Reset:  Property:  DACC_TRIGR 0x08 0x00000000 Read/Write This register can only be written if the WPEN bit is cleared in the DACC Write Protection Mode Register. Bit 31 30 29 28 27 26 25 24 23 22 20 19 18 R/W 0 17 OSR0[2:0] R/W 0 16 R/W 0 21 OSR1[2:0] R/W 0 14 13 12 Access Reset Bit Access Reset Bit 15 R/W 0 11 Access Reset Bit 10 R/W 0 7 Access Reset 6 R/W 0 5 TRGSEL0[2:0] R/W 0 4 3 R/W 0 2 R/W 0 9 TRGSEL1[2:0] R/W 0 8 R/W 0 1 TRGEN1 R/W 0 0 TRGEN0 R/W 0 Bits 16:18, 20:22 – OSRx Oversampling Ratio of Channel x Value Name Description 0 OSR_1 OSR = 1 1 OSR_2 OSR = 2 2 OSR_4 OSR = 4 3 OSR_8 OSR = 8 4 OSR_16 OSR = 16 5 OSR_32 OSR = 32 Bits 4:6, 8:10 – TRGSELx Trigger Selection of Channel x Value Name Description 0 TRGSEL0 DATRG 1 TRGSEL1 TC0.Ch0 output 2 TRGSEL2 TC0.Ch1 output 3 TRGSEL3 TC0.Ch2 output 4 TRGSEL4 PWM0 Event 0 5 TRGSEL5 PWM0 Event 1 6 TRGSEL6 PWM1 Event 0 7 TRGSEL7 PWM1 Event 1 Bits 0, 1 – TRGENx Trigger Enable of Channel x Value Name Description 0 DIS Trigger mode disabled. DACC is in Free-running mode or Max speed mode. 1 EN Trigger mode enabled. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1676 SAM E70/S70/V70/V71 Digital-to-Analog Converter Controller (DACC) 53.7.4 DACC Channel Enable Register Name:  Offset:  Reset:  Property:  DACC_CHER 0x10 – Write-only This register can only be written if the WPEN bit is cleared in the DACC Write Protection Mode Register. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 CH1 W 0 0 CH0 W – Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bits 0, 1 – CHx Channel x Enable Value Description 0 No effect. 1 Enables the corresponding channel. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1677 SAM E70/S70/V70/V71 Digital-to-Analog Converter Controller (DACC) 53.7.5 DACC Channel Disable Register Name:  Offset:  Reset:  Property:  DACC_CHDR 0x14 – Write-only This register can only be written if the WPEN bit is cleared in the DACC Write Protection Mode Register. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 CH1 W 0 0 CH0 W – Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bits 0, 1 – CHx Channel x Disable WARNING Value 0 1 If the corresponding channel is disabled during a conversion or if it is disabled then re-enabled during a conversion, its associated analog value and its corresponding EOC flags in DACC_ISR are unpredictable. Description No effect. Disables the corresponding channel. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1678 SAM E70/S70/V70/V71 Digital-to-Analog Converter Controller (DACC) 53.7.6 DACC Channel Status Register Name:  Offset:  Reset:  Property:  Bit DACC_CHSR 0x18 0x00000000 Read-only 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 DACRDY1 R 0 8 DACRDY0 R 0 7 6 5 4 3 2 1 CH1 R 0 0 CH0 R 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bits 8, 9 – DACRDYx DAC Ready Flag Value Description 0 The DACx is not yet ready to receive data. 1 The DACx is ready to receive data. Bits 0, 1 – CHx Channel x Status Value Description 0 Corresponding channel is disabled. 1 Corresponding channel is enabled. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1679 SAM E70/S70/V70/V71 Digital-to-Analog Converter Controller (DACC) 53.7.7 DACC Conversion Data Register Name:  Offset:  Reset:  Property:  Bit DACC_CDRx 0x1C + x*0x04 [x=0..1] – Write-only 31 30 29 28 27 26 25 24 W 0 W 0 W 0 W 0 19 18 17 16 W 0 W 0 W 0 W – 11 10 9 8 W 0 W 0 W 0 W 0 3 2 1 0 W 0 W 0 W 0 W – DATA1[15:8] Access Reset W 0 W 0 W 0 W 0 Bit 23 22 21 20 DATA1[7:0] Access Reset W 0 W 0 W 0 W 0 Bit 15 14 13 12 DATA0[15:8] Access Reset W 0 W 0 W 0 W 0 Bit 7 6 5 4 DATA0[7:0] Access Reset W 0 W 0 W 0 W 0 Bits 31:16 – DATA1[15:0] Data to Convert for channel x If DACC_MR.WORD is set, DATA1 is written to the FIFO of channel x after DATA0. Bits 15:0 – DATA0[15:0] Data to Convert for channel x DATA0 is written to the FIFO of channel x. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1680 SAM E70/S70/V70/V71 Digital-to-Analog Converter Controller (DACC) 53.7.8 DACC Interrupt Enable Register Name:  Offset:  Reset:  Property:  DACC_IER 0x24 – Write-only The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Enables the corresponding interrupt. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 EOC1 W 0 4 EOC0 W – 3 2 1 TXRDY1 W 0 0 TXRDY0 W – Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bits 4, 5 – EOCx End of Conversion Interrupt Enable of channel x Bits 0, 1 – TXRDYx Transmit Ready Interrupt Enable of channel x © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1681 SAM E70/S70/V70/V71 Digital-to-Analog Converter Controller (DACC) 53.7.9 DACC Interrupt Disable Register Name:  Offset:  Reset:  Property:  DACC_IDR 0x28 – Write-only The following configuration values are valid for all listed bit names of this register: 0: No effect. 1: Disables the corresponding interrupt. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 EOC1 W 0 4 EOC0 W – 3 2 1 TXRDY1 W 0 0 TXRDY0 W – Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bits 4, 5 – EOCx End of Conversion Interrupt Disable of channel x Bits 0, 1 – TXRDYx Transmit Ready Interrupt Disable of channel x © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1682 SAM E70/S70/V70/V71 Digital-to-Analog Converter Controller (DACC) 53.7.10 DACC Interrupt Mask Register Name:  Offset:  Reset:  Property:  DACC_IMR 0x2C 0x00000000 Read-only The following configuration values are valid for all listed bit names of this register: 0: The corresponding interrupt is disabled. 1: The corresponding interrupt is enabled. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 EOC1 R 0 4 EOC0 R 0 3 2 1 TXRDY1 R 0 0 TXRDY0 R 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bits 4, 5 – EOCx End of Conversion Interrupt Mask of channel x Bits 0, 1 – TXRDYx Transmit Ready Interrupt Mask of channel x © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1683 SAM E70/S70/V70/V71 Digital-to-Analog Converter Controller (DACC) 53.7.11 DACC Interrupt Status Register Name:  Offset:  Reset:  Property:  Bit DACC_ISR 0x30 0x00000000 Read-only 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 EOC1 R 0 4 EOC0 R 0 3 2 1 TXRDY1 R 0 0 TXRDY0 R 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bits 4, 5 – EOCx End of Conversion Interrupt Flag of channel x Value Description 0 No conversion has been performed since the last read of DACC_ISR. 1 At least one conversion has been performed since the last read of DACC_ISR. Bits 0, 1 – TXRDYx Transmit Ready Interrupt Flag of channel x Value Description 0 DACC is not ready to accept new conversion requests. 1 DACC is ready to accept new conversion requests. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1684 SAM E70/S70/V70/V71 Digital-to-Analog Converter Controller (DACC) 53.7.12 DACC Analog Current Register Name:  Offset:  Reset:  Property:  DACC_ACR 0x94 0x00000000 Read/Write This register can only be written if the WPEN bit is cleared in the DACC Write Protection Mode Register. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset 3 2 IBCTLCH1[1:0] R/W R/W 0 0 1 0 IBCTLCH0[1:0] R/W R/W 0 0 Bits 0:1, 2:3 – IBCTLCHx Analog Output Current Control Allows to adapt the slew rate of the analog output. For more details, refer to the DAC Characteristics in the section “Electrical Characteristics” of this datasheet. Related Links 58. Electrical Characteristics for SAM V70/V71 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1685 SAM E70/S70/V70/V71 Digital-to-Analog Converter Controller (DACC) 53.7.13 DACC Write Protection Mode Register Name:  Offset:  Reset:  Property:  DACC_WPMR 0xE4 0x00000000 Read/Write Bit 31 30 29 26 25 24 W 0 28 27 WPKEY[23:16] W W 0 0 Access Reset W 0 W 0 W 0 W 0 W 0 Bit 23 22 21 20 19 18 17 16 W 0 W 0 W 0 W 0 11 10 9 8 WPKEY[15:8] Access Reset W 0 W 0 W 0 W 0 Bit 15 14 13 12 WPKEY[7:0] Access Reset W 0 W 0 W 0 W 0 W 0 W 0 W 0 W – Bit 7 6 5 4 3 2 1 0 WPEN R/W 0 Access Reset Bits 31:8 – WPKEY[23:0] Write Protect Key Value Name Description 0x444143 PASSWD Writing any other value in this field aborts the write operation of bit WPEN. Always reads as 0. Bit 0 – WPEN Write Protection Enable See Register Write Protection for list of write-protected registers. Value Description 0 Disables the write protection if WPKEY corresponds to 0x444143 (“DAC” in ASCII). 1 Enables the write protection if WPKEY corresponds to 0x444143 (“DAC” in ASCII). © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1686 SAM E70/S70/V70/V71 Digital-to-Analog Converter Controller (DACC) 53.7.14 DACC Write Protection Status Register Name:  Offset:  Reset:  Property:  Bit DACC_WPSR 0xE8 0x00000000 Read-only 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 Bit 15 14 13 10 9 8 Access Reset R 0 R 0 R 0 12 11 WPVSRC[7:0] R R 0 0 R 0 R 0 R 0 Bit 7 6 5 4 2 1 0 WPVS R 0 Access Reset Bit Access Reset 3 Access Reset Bits 15:8 – WPVSRC[7:0] Write Protection Violation Source When WPVS = 1, WPVSRC indicates the register address offset at which a write access has been attempted. Bit 0 – WPVS Write Protection Violation Status Value Description 0 No write protection violation has occurred since the last read of the DACC_WPSR. 1 A write protection violation has occurred since the last read of the DACC_WPSR. If this violation is an unauthorized attempt to write a protected register, the associated violation is reported into field WPVSRC. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1687 SAM E70/S70/V70/V71 Analog Comparator Controller (ACC) 54. Analog Comparator Controller (ACC) 54.1 Description The Analog Comparator Controller (ACC) configures the analog comparator and generates an interrupt depending on user settings. The analog comparator embeds two 8-to-1 multiplexers that generate two internal inputs. These inputs are compared, resulting in a compare output. The hysteresis level, edge detection and polarity are configurable. The ACC also generates a compare event which can be used by the Pulse Width Modulator (PWM). 54.2 Embedded Characteristics • • • • 54.3 ANA_INPUTS User Analog Inputs Selectable for Comparison VOLT_REF Voltage References Selectable for Comparison: External Voltage Reference, DAC0, DAC1, Temperature Sensor (TS) Interrupt Generation Compare Event Fault Generation for PWM Block Diagram Figure 54-1. Analog Comparator Controller Block Diagram Regulator PWM Interrupt Controller PMC Peripheral Clock Digital Controller Analog Comparator AND AND FE bias External Analog Data Inputs inp Mux inn on ACC_IMR. CE + SCO AND - AND on TS Peripheral Clock Synchro and Edge Detect DAC0 DAC1 Mux External Analog Data Inputs on Write Detect and Mask Timer on SELPLUS SELMINUS ACEN ISEL HYST SELFS INV User Interface © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet Write EDGETYP SCO CE ACC_CR ACC_MR/ACR DS60001527F-page 1688 SAM E70/S70/V70/V71 Analog Comparator Controller (ACC) 54.4 Signal Description Table 54-1. ACC Signal Description Pin Name Description Type AFE0_AD[5:0] External analog data inputs Input TS On-chip temperature sensor Input VREFP AFE and DAC voltage reference Input DAC0, DAC1 On-chip DAC outputs Input AFE1_AD[1:0] 54.5 54.5.1 Product Dependencies I/O Lines The analog input pins are multiplexed with digital functions (PIO) on the IO line. By writing the SELMINUS and SELPLUS fields in the ACC Mode Register (ACC_MR), the associated IO lines are set to Analog mode. 54.5.2 Power Management The ACC is clocked through the Power Management Controller (PMC), thus the programmer must first configure the PMC to enable the ACC clock. Note that the voltage regulator must be activated to use the analog comparator. 54.5.3 Interrupt Sources The ACC has an interrupt line connected to the Interrupt Controller (IC). In order to handle interrupts, the Interrupt Controller must be programmed before configuring the ACC. 54.5.4 Fault Output The ACC has the FAULT output connected to the FAULT input of PWM. See Fault Mode and the implementation of the PWM in the product. 54.6 Functional Description 54.6.1 Description The Analog Comparator Controller (ACC) controls the analog comparator settings and performs postprocessing of the analog comparator output. When the analog comparator settings are modified, the output of the analog cell may be invalid. The ACC masks the output for the invalid period. A comparison flag is triggered by an event on the output of the analog comparator and an interrupt is generated. The event on the analog comparator output can be selected among falling edge, rising edge or any edge. The ACC registers are listed in the Register Summary. 54.6.2 Analog Settings The user can select the input hysteresis and configure two different options, characterized as follows: • • High-speed: shortest propagation delay/highest current consumption Low-power: longest propagation delay/lowest current consumption Refer to ACC Analog Control Register. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1689 SAM E70/S70/V70/V71 Analog Comparator Controller (ACC) 54.6.3 Output Masking Period As soon as the analog comparator settings change, the output is invalid for a duration depending on ISEL current. A masking period is automatically triggered as soon as a write access is performed on the ACC_MR or ACC Analog Control Register (ACC_ACR) (regardless of the register data content). When ISEL = 0, the mask period is 8 × tperipheral clock. When ISEL = 1, the mask period is 128 × tperipheral clock. The masking period is reported by reading a negative value (bit 31 set) on the ACC Interrupt Status Register (ACC_ISR). 54.6.4 Fault Mode In Fault mode, a comparison match event is communicated by the ACC fault output which is directly and internally connected to a PWM fault input. The source of the fault output can be configured as either a combinational value derived from the analog comparator output or as the peripheral clock resynchronized value. Refer to Analog Comparator Controller Block Diagram. 54.6.5 Register Write Protection To prevent any single software error from corrupting ACC behavior, certain registers in the address space can be write-protected by setting the WPEN bit in the ACC Write Protection Mode Register (ACC_WPMR). If a write access to a write-protected register is detected, the WPVS flag in the ACC Write Protection Status Register (ACC_WPSR) is set and the field WPVSRC indicates the register in which the write access has been attempted. The WPVS bit is automatically cleared after reading the ACC_WPSR register. The following registers can be write-protected: • • ACC Mode Register ACC Analog Control Register © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1690 SAM E70/S70/V70/V71 Analog Comparator Controller (ACC) 54.7 Register Summary Offset Name 0x00 ACC_CR 0x04 ACC_MR 0x08 ... 0x23 Reserved 0x24 0x28 0x2C 0x30 0x34 ... 0x93 ACC_IER ACC_IDR ACC_IMR ACC_ISR ACC_ACR 0x98 ... 0xE3 Reserved 0xE8 7 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 6 5 4 3 2 1 0 SWRST FE SELPLUS[2:0] SELFS INV SELMINUS[2:0] EDGETYP[1:0] ACEN CE CE CE SCO CE MASK Reserved 0x94 0xE4 Bit Pos. ACC_WPMR ACC_WPSR 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 7:0 15:8 23:16 31:24 © 2021 Microchip Technology Inc. and its subsidiaries HYST[1:0] ISEL WPEN WPKEY[7:0] WPKEY[15:8] WPKEY[23:16] WPVS Complete Datasheet DS60001527F-page 1691 SAM E70/S70/V70/V71 Analog Comparator Controller (ACC) 54.7.1 ACC Control Register Name:  Offset:  Reset:  Property:  Bit ACC_CR 0x00 – Write-only 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 SWRST W – Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bit 0 – SWRST Software Reset Value Description 0 No effect. 1 Resets the module. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1692 SAM E70/S70/V70/V71 Analog Comparator Controller (ACC) 54.7.2 ACC Mode Register Name:  Offset:  Reset:  Property:  ACC_MR 0x04 0x00000000 Read/Write This register can only be written if the WPEN bit is cleared in the ACC Write Protection Mode Register. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 FE R/W 0 13 SELFS R/W 0 12 INV R/W 0 11 7 6 5 SELPLUS[2:0] R/W 0 4 3 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset R/W 0 R/W 0 10 9 EDGETYP[1:0] R/W R/W 0 0 2 R/W 0 1 SELMINUS[2:0] R/W 0 8 ACEN R/W 0 0 R/W 0 Bit 14 – FE Fault Enable 0 (DIS): The FAULT output is tied to 0. 1 (EN): The FAULT output is driven by the signal defined by SELFS. Bit 13 – SELFS Selection Of Fault Source 0 (CE): The CE flag is used to drive the FAULT output. 1 (OUTPUT): The output of the analog comparator flag is used to drive the FAULT output. Bit 12 – INV Invert Comparator Output 0 (DIS): Analog comparator output is directly processed. 1 (EN): Analog comparator output is inverted prior to being processed. Bits 10:9 – EDGETYP[1:0] Edge Type Value Name Description 0 RISING Only rising edge of comparator output 1 FALLING Falling edge of comparator output 2 ANY Any edge of comparator output Bit 8 – ACEN Analog Comparator Enable 0 (DIS): Analog comparator disabled. 1 (EN): Analog comparator enabled. Bits 6:4 – SELPLUS[2:0] Selection For Plus Comparator Input 0..7: Selects the input to apply on analog comparator SELPLUS comparison input. Value Name Description 0 AFE0_AD0 Select AFE0_AD0 1 AFE0_AD1 Select AFE0_AD1 2 AFE0_AD2 Select AFE0_AD2 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1693 SAM E70/S70/V70/V71 Analog Comparator Controller (ACC) Value 3 4 5 6 7 Name AFE0_AD3 AFE0_AD4 AFE0_AD5 AFE1_AD6 AFE1_AD7 Description Select AFE0_AD3 Select AFE0_AD4 Select AFE0_AD5 Select AFE1_AD0 Select AFE1_AD1 Bits 2:0 – SELMINUS[2:0] Selection for Minus Comparator Input 0..7: Selects the input to apply on analog comparator SELMINUS comparison input. Value Name Description 0 TS Select Temperature Sensor (TS) output voltage 1 VREFP Select VREFP 2 DAC0 Select DAC0 3 DAC1 Select DAC1 4 AFE0_AD0 Select AFE0_AD0 5 AFE0_AD1 Select AFE0_AD1 6 AFE0_AD2 Select AFE0_AD2 7 AFE0_AD3 Select AFE0_AD3 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1694 SAM E70/S70/V70/V71 Analog Comparator Controller (ACC) 54.7.3 ACC Interrupt Enable Register Name:  Offset:  Reset:  Property:  Bit ACC_IER 0x24 – Write-only 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 CE W – Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bit 0 – CE Comparison Edge Value Description 0 No effect. 1 Enables the interrupt when the selected edge (defined by EDGETYP) occurs. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1695 SAM E70/S70/V70/V71 Analog Comparator Controller (ACC) 54.7.4 ACC Interrupt Disable Register Name:  Offset:  Reset:  Property:  Bit ACC_IDR 0x28 – Write-only 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 CE W – Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bit 0 – CE Comparison Edge Value Description 0 No effect. 1 Disables the interrupt when the selected edge (defined by EDGETYP) occurs. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1696 SAM E70/S70/V70/V71 Analog Comparator Controller (ACC) 54.7.5 ACC Interrupt Mask Register Name:  Offset:  Reset:  Property:  Bit ACC_IMR 0x2C 0x00000000 Read-only 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 CE R 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bit 0 – CE Comparison Edge Value Description 0 The interrupt is disabled. 1 The interrupt is enabled. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1697 SAM E70/S70/V70/V71 Analog Comparator Controller (ACC) 54.7.6 ACC Interrupt Status Register Name:  Offset:  Reset:  Property:  Bit Access Reset Bit ACC_ISR 0x30 0x00000000 Read-only 31 MASK R 0 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 SCO R 0 0 CE R 0 Access Reset Bit Access Reset Bit Access Reset Bit 31 – MASK Flag Mask Value Description 0 The CE flag and SCO value are valid. 1 The CE flag and SCO value are invalid. Bit 1 – SCO Synchronized Comparator Output Returns an image of the analog comparator output after being preprocessed (refer to ACC Block Diagram). If INV = 0 • SCO = 0 if inn > inp • SCO = 1 if inp > inn If INV = 1 • SCO = 1 if inn > inp • SCO = 0 if inp > inn Bit 0 – CE Comparison Edge (cleared on read) Value Description 0 No edge occurred (defined by EDGETYP) on analog comparator output since the last read of ACC_ISR. 1 A selected edge (defined by EDGETYP) on analog comparator output occurred since the last read of ACC_ISR. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1698 SAM E70/S70/V70/V71 Analog Comparator Controller (ACC) 54.7.7 ACC Analog Control Register Name:  Offset:  Reset:  Property:  ACC_ACR 0x94 0 Read/Write This register can only be written if the WPEN bit is cleared in ACC Write Protection Mode Register. Bit 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 ISEL R/W 0 Access Reset Bit Access Reset Bit Access Reset Bit HYST[1:0] Access Reset R/W 0 R/W 0 Bits 2:1 – HYST[1:0] Hysteresis Selection Refer to the ACC characteristics in the section “Electrical Characteristics”. Value Name Description 0 NONE No hysteresis 1 MEDIUM Medium hysteresis 2 MEDIUM Medium hysteresis 3 HIGH High hysteresis Bit 0 – ISEL Current Selection Refer to the ACC characteristics in the section “Electrical Characteristics”. 0 (LOPW): Low-power option. 1 (HISP): High-speed option. Related Links 58. Electrical Characteristics for SAM V70/V71 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1699 SAM E70/S70/V70/V71 Analog Comparator Controller (ACC) 54.7.8 ACC Write Protection Mode Register Name:  Offset:  Reset:  Property:  ACC_WPMR 0xE4 0x00000000 Read/Write Bit 31 30 29 26 25 24 W 0 28 27 WPKEY[23:16] W W 0 0 Access Reset W 0 W 0 W 0 W 0 W 0 Bit 23 22 21 20 19 18 17 16 W 0 W 0 W 0 W 0 11 10 9 8 WPKEY[15:8] Access Reset W 0 W 0 W 0 W 0 Bit 15 14 13 12 WPKEY[7:0] Access Reset W 0 W 0 W 0 W 0 W 0 W 0 W 0 W – Bit 7 6 5 4 3 2 1 0 WPEN R/W 0 Access Reset Bits 31:8 – WPKEY[23:0] Write Protection Key Value Name Description 0x414343 PASSWD Writing any other value in this field aborts the write operation of the WPEN bit. Always reads as 0. Bit 0 – WPEN Write Protection Enable Refer to Register Write Protection for the list of registers that can be write-protected. Value Description 0 Disables the write protection if WPKEY corresponds to 0x414343 (“ACC” in ASCII). 1 Enables the write protection if WPKEY corresponds to 0x414343 (“ACC” in ASCII). © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1700 SAM E70/S70/V70/V71 Analog Comparator Controller (ACC) 54.7.9 ACC Write Protection Status Register Name:  Offset:  Reset:  Property:  Bit ACC_WPSR 0xE8 0x00000000 Read-only 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 WPVS R 0 Access Reset Bit Access Reset Bit Access Reset Bit Access Reset Bit 0 – WPVS Write Protection Violation Status Value Description 0 No write protection violation has occurred since the last read of ACC_WPSR. 1 A write protection violation (WPEN = 1) has occurred since the last read of ACC_WPSR. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1701 SAM E70/S70/V70/V71 Integrity Check Monitor (ICM) 55. 55.1 Integrity Check Monitor (ICM) Description The Integrity Check Monitor (ICM) is a DMA controller that performs hash calculation over multiple memory regions through the use of transfer descriptors located in memory (ICM Descriptor Area). The Hash function is based on the Secure Hash Algorithm (SHA). The ICM integrates two modes of operation. The first one is used to hash a list of memory regions and save the digests to memory (ICM Hash Area). The second mode is an active monitoring of the memory. In that mode, the hash function is evaluated and compared to the digest located at a predefined memory address (ICM Hash Area). If a mismatch occurs, an interrupt is raised. See the figure below for an example of four-region monitoring. Hash and Descriptor areas are located in Memory instance i2, and the four regions are split in memory instances i0 and i1. Figure 55-1. Four-region Monitoring Example Processor Interrupt Controller ICM System Interconnect Memory i0 Memory Region 0 Memory Region 1 Memory i1 Memory i2 Memory Region 2 ICM Hash Area Memory Region 3 ICM Descriptor Area The ICM SHA engine is compliant with the American FIPS (Federal Information Processing Standard) Publication 180-2 specification. The following terms are concise definitions of the ICM concepts used throughout this document: • • • • • • • Region—a partition of instruction or data memory space Region Descriptor—a data structure stored in memory, defining region attributes Region Attributes—region start address, region size, region SHA engine processing mode, Write Back or Compare function mode Context Registers—a set of ICM non-memory-mapped, internal registers which are automatically loaded, containing the attributes of the region being processed Main List—a list of region descriptors. Each element associates the start address of a region with a set of attributes. Secondary List—a linked list defined on a per region basis that describes the memory layout of the region (when the region is non-contiguous) Hash Area—predefined memory space where the region hash results (digest) are stored © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1702 SAM E70/S70/V70/V71 Integrity Check Monitor (ICM) 55.2 Embedded Characteristics • • • • • • • 55.3 DMA AHB Host Interface Supports Monitoring of up to 4 Non-Contiguous Memory Regions Supports Block Gathering Using Linked Lists Supports Secure Hash Algorithm (SHA1, SHA224, SHA256) Compliant with FIPS Publication 180-2 Configurable Processing Period: – When SHA1 algorithm is processed, the runtime period is either 85 or 209 clock cycles. – When SHA256 or SHA224 algorithm is processed, the runtime period is either 72 or 194 clock cycles. Programmable Bus Burden Block Diagram Figure 55-2. Integrity Check Monitor Block Diagram APB Host Interface Configuration Registers SHA Hash Engine Context Registers Monitoring FSM Integrity Scheduler Host DMA Interface Bus Layer © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1703 SAM E70/S70/V70/V71 Integrity Check Monitor (ICM) 55.4 55.4.1 Product Dependencies Power Management The peripheral clock is not continuously provided to the ICM. The programmer must first enable the ICM clock in the Power Management Controller (PMC) before using the ICM. 55.4.2 Interrupt Sources The ICM interface has an interrupt line connected to the Interrupt Controller. Handling the ICM interrupt requires programming the interrupt controller before configuring the ICM. 55.5 55.5.1 Functional Description Overview The Integrity Check Monitor (ICM) is a DMA controller that performs SHA-based memory hashing over memory regions. As shown in figure Integrity Check Monitor Block Diagram, it integrates a DMA interface, a Monitoring Finite State Machine (FSM), an integrity scheduler, a set of context registers, a SHA engine, an interface for configuration and status registers. The ICM integrates a Secure Hash Algorithm engine (SHA). This engine requires a message padded according to FIPS180-2 specification when used as a SHA calculation unit only. Otherwise, if the ICM is used as integrated check for memory content, the padding is not mandatory. The SHA module produces an N-bit message digest each time a block is read and a processing period ends. N is 160 for SHA1, 224 for SHA224, 256 for SHA256. When the ICM module is enabled, it sequentially retrieves a circular list of region descriptors from the memory (Main List described in figure ICM Region Descriptor and Hash Areas). Up to four regions may be monitored. Each region descriptor is composed of four words indicating the layout of the memory region (see figure Region Descriptor ). It also contains the hashing engine configuration on a per-region basis. As soon as the descriptor is loaded from the memory and context registers are updated with the data structure, the hashing operation starts. A programmable number of blocks (see TRSIZE field of the ICM_RCTRL structure member) is transferred from the memory to the SHA engine. When the desired number of blocks have been transferred, the digest is either moved to memory (Write Back function) or compared with a digest reference located in the system memory (Compare function). If a digest mismatch occurs, an interrupt is triggered if unmasked. The ICM module passes through the region descriptor list until the end of the list marked by an end of list marker (WRAP or EOM bit in ICM_RCFG structure member set to one). To continuously monitor the list of regions, the WRAP bit must be set to one in the last data structure and EOM must be cleared. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1704 SAM E70/S70/V70/V71 Integrity Check Monitor (ICM) Figure 55-3. ICM Region Descriptor and Hash Areas Main List infinite loop when wrap bit is set End of Region N WRAP=1 Region N Descriptor Secondary List ICM Descriptor Area - Contiguous Read-only Memory End of Region 1 List WRAP=0 Region 1 Descriptor End of Region 0 WRAP=0 Region 0 Descriptor Region N Hash ICM Hash Area Contiguous Read-write once Memory Region 1 Hash Region 0 Hash Each region descriptor supports gathering of data through the use of the Secondary List. Unlike the Main List, the Secondary List cannot modify the configuration attributes of the region. When the end of the Secondary List has been encountered, the ICM returns to the Main List. Memory integrity monitoring can be considered as a background service and the mandatory bandwidth shall be very limited. In order to limit the ICM memory bandwidth, use ICM_CFG.BBC to control the ICM memory load. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1705 SAM E70/S70/V70/V71 Integrity Check Monitor (ICM) Figure 55-4. Region Descriptor Main List Region 3 Descriptor Region 2 Descriptor Optional Region 0 Secondary List Region 1 Descriptor ICM_DSCR Region 0 Descriptor End of Region 0 0x00C Region NEXT 0x00C Region NEXT 0x008 Region CTRL 0x008 Region CTRL 0x004 Region CFG 0x004 Unused 0x000 Region ADDR 0x000 Region ADDR The figure below shows an example of the mandatory ICM settings required to monitor three memory data blocks of the system memory (defined as two regions) with one region being not contiguous (two separate areas) and one contiguous memory area. For each region, the SHA algorithm may be independently selected (different for each region). The wrap allows continuous monitoring. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1706 SAM E70/S70/V70/V71 Integrity Check Monitor (ICM) Figure 55-5. Example: Monitoring of 3 Memory Data Blocks (Defined as 2 Regions) Size of region 1 block (S1) R Si egi Bl n g o n oc l e 1 k Da ta System Memory, data areas System Memory, region descriptor structure WRAP=1 effect NEXT=0 @md+28 S1 @md+24 @r1d WRAP=1, etc @md+20 Size of region 0 block 1 (S0B1) R D egi at o n a Bl 0 oc k 1 3 2 @md+16 NEXT=@sd @md+12 S0B0 @md+8 1 WRAP=0, etc @md+4 @r0db0 @md @r0db1 Region 0 Main Descriptor 1 3 2 R D egi at o n a Bl 0 oc k 0 Size of region 0 block 0 (S0B0) @r1d Region 1 Single Descriptor NEXT=0 @sd+12 S0B1 @sd+8 unused @sd+4 @r0db1 @sd Region 0 Second Descriptor @r0db0 55.5.2 ICM Region Descriptor Structure The ICM Region Descriptor Area is a contiguous area of system memory that the controller and the processor can access. When the ICM is activated, the controller performs a descriptor fetch operation at *(ICM_DSCR) address. If the Main List contains more than one descriptor (i.e., more than one region is to be monitored), the fetch address is *(ICM_DSCR) + (RID VDDIN -0.3V, DACC_ACR.IBCTLCHx =3, RLOAD = 5 kOhm – 550 – Ohm VDACx < 0.3V, DACC_ACR.IBCTLCHx = 3, RLOAD= 5 kOhm – 550 – Ohm VDACx = VVREFP/2, DACC_ACR.IBCTLCHx = 0 (Bypass mode, buffer off), No RLOAD – 300 – kOhm 99.5 99.9 Unit Embedded Flash Characteristics Table 59-49. Flash Characteristics Parameter Conditions Min. Typ. Max. ERASE Line Assertion Time – 230 – – ms Program Cycle Time Write Page – 1.5 – ms Erase Page – 10 50 ms Erase Small Sector (8 Kbytes) – 80 200 ms 800 1500 ms Erase Larger Sector (112 or 128 Kbytes) Full Chip Erase Data Retention Endurance 512 Kbytes – 3 6 s 1 Mbytes – 6 12 s 2 Mbytes (only for SAMV71) – 13 24 s At TA = 85°C, after 10K cycles (see Note 1) 10 – – Years At TA = 85°C, after 1K cycles (see Note 1) 20 – – Years At TA = 105°C, after 1K cycles (see Note 1) 5.5 – – Years Write/Erase cycles per page, block or sector at 25°C 100K Write/Erase cycles per page, block or sector at 105°C 10K © 2021 Microchip Technology Inc. and its subsidiaries Unit Complete Datasheet Cycles – – Cycles DS60001527F-page 1849 SAM E70/S70/V70/V71 Electrical Characteristics for SAM E70/S70 ...........continued Parameter Conditions Min. Typ. Max. Flash Active Current Random 128-bit read at maximum frequency at 25°C on VDDCORE =1.2V – 16 20 on VDDIO – 2 10 Program at 25°C on VDDCORE =1.2V – 2 3 on VDDIO – 8 12 on VDDCORE =1.2V – 2 2 on VDDIO – 8 12 Erase at 25°C Unit mA Note:  1. Cycling over full temperature range. Maximum operating frequencies are shown in the following table, but are limited by the Embedded Flash access time when the processor is fetching code out of it. These tables provide the device maximum operating frequency defined by the field FWS of the EEFC_FMR register. This field defines the number of wait states required to access the Embedded Flash Memory. Table 59-50. Embedded Flash Wait States for Worst-Case Conditions 59.13 FWS Read Operations 0 Maximum Operating Frequency (MHz) VDDIO = 1.7V VDDIO = 3.0V 1 cycle 21 23 1 2 cycles 42 46 2 3 cycles 63 69 3 4 cycles 84 92 4 5 cycles 106 115 5 6 cycles 125 138 6 7 cycles 137 150 Timings The following characteristics are applicable to the operating temperature range: TA [-40°C : +105°C], and VDDCORE = 1.2V min; unless otherwise specified. 59.13.1 AC Characteristics 59.13.1.1 Processor Clock Characteristics Table 59-51. Processor Clock Waveform Parameters Symbol Parameter Conditions Min. Max. Unit 1/(tCPPCK) Processor Clock Frequency Worst case – 300 MHz 59.13.1.2 Host Clock Characteristics Table 59-52. Host Clock Waveform Parameters Symbol Parameter Conditions Min Max Unit 1/(tCPMCK) Host Clock Frequency Worst case – 150 MHz © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1850 SAM E70/S70/V70/V71 Electrical Characteristics for SAM E70/S70 59.13.1.3 I/O Characteristics Criteria used to define the maximum frequency of the I/Os: • • • Output duty cycle (40%-60%) Minimum output swing: 100 mV to VDDIO - 100 mV Addition of rising and falling time inferior to 75% of the period Table 59-53. I/O Characteristics Symbol FreqMax1 Parameter Conditions Pin Group 1 (1) Maximum output frequency Max Unit MHz Load VDDIO Drive Level 10 pF 1.7V Low – 40 High – 65 Low – 20 High – 33 Low – 65 High – 115 Low – 28 High – 55 25 pF 10 pF 2.7V 25 pF (1) Min PulseminH1 Pin Group 1 High Level Pulse Width 10 pF 1.7V High 6.1 9.2 ns PulseminL1 Pin Group 1 (1) Low Level Pulse Width 10 pF 1.7V High 6.1 9.2 ns (3)Maximum 10 pF 3.0V High – 125 MHz Low – 100 FreqMax2 PulseminH2 Pin Group 2 output frequency Pin Group 2 (2) High Level Pulse Width (2) PulseminL2 Pin Group 2 FreqMax3 Pin Group3(3) Maximum output frequency (3) Low Level Pulse Width 10 pF 3.0V High 3.4 4.1 ns 10 pF 3.0V High 3.4 4.1 ns 30 pF 3.0V High – 75 MHz Low – 50 High 6.0 7.3 ns High 6.0 7.3 ns PulseminH3 Pin Group 3 High Level Pulse Width 30 pF 3.0V PulseminL3 Pin Group 3 (3) Low Level Pulse Width 30 pF FreqMax4 Pin Group 4 Maximum output frequency 40 pF 2.7V – – 51 MHz PulseminH4 Pin Group 4 High Level Pulse Width 40 pF 2.7V – 7.8 11.2 ns PulseminL4 Pin Group 4 Low Level Pulse Width 40 pF 2.7V – 7.8 11.2 ns 59.13.1.4 MediaLB Characteristics The system has been constrained to achieve the timings in 256×Fs and 512×Fs in compliance with the MediaLB (MLB) specification. Note:  1024×Fs timings are achieved under STH conditions only. (Worst process -Typical voltage - High temperature) © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1851 SAM E70/S70/V70/V71 Electrical Characteristics for SAM E70/S70 59.13.1.5 QSPI Characteristics Figure 59-17. QSPI Host Mode with (CPOL= NCPHA = 0) or (CPOL= NCPHA= 1) QSCK QSPI0 QSPI1 QIOx_DIN QSPI2 QIOx_DOUT Figure 59-18. QSPI Host Mode with (CPOL = 0 and NCPHA=1) or (CPOL=1 and NCPHA= 0) QSCK QSPI3 QSPI4 QIOx_DIN QSPI5 QIOx_DOUT 59.13.1.5.1 Maximum QSPI Frequency The following sections provide maximum QSPI frequency in host read and write modes. Host Write Mode The QSPI sends data to a Client device only, for example, an LCD. The limit is given by QSPI2 (or QSPI5) timing. Because it gives a maximum frequency above the maximum pad speed (Refer to the I/O Characteristics), the maximum QSPI frequency is the one from the pad. Host Read Mode fQSCKmax = 1 QSPI0 or QSPI3 + tVALID tVALID is the Client time response to output data after detecting a QSCK edge. For a QSPI Client device with tVALID (or tV) = 12 ns, fQSCKmax = 66 MHz at VDDIO = 3.3V. For a QSPI Flash memory device with tVALID (or tV) = 6 ns, the formula returns a value of 112 MHz. In worst case conditions, this exceeds 66 MHz, which is the maximum allowed frequency of the QSPI Host. In this case, the limitation is due to the controller and not the Client. 59.13.1.5.2 QSPI Timings Timings are given in the following domains: • • 1.8V domain: VDDIO from 1.7V to 1.95V, maximum external capacitor = 20 pF 3.3V domain: VDDIO from 2.85V to 3.6V, maximum external capacitor = 40 pF. Table 59-54. QSPI Timings Symbol Parameter Conditions Min Max Unit QSPI0 QIOx data in to QSCK rising edge (input setup time) 3.3V domain 2.5 – ns 1.8V domain 2.9 – ns © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1852 SAM E70/S70/V70/V71 Electrical Characteristics for SAM E70/S70 ...........continued Symbol Parameter Conditions Min Max Unit QSPI1 QIOx data in to QSCK rising edge (input hold time) 3.3V domain 0 – ns 1.8V domain 0 – ns 3.3V domain -1.3 1.9 ns 1.8V domain -2.5 3.0 ns 3.3V domain 2.9 – ns 1.8V domain 3.2 – ns 3.3V domain 0 – ns 1.8V domain 0 – ns 3.3V domain -1.6 1.8 ns 1.8V domain -2.7 3.1 ns QSPI2 QSPI3 QSPI4 QSPI5 QSCK rising edge to QIOx data out valid QIOx data in to QSCK falling edge (input setup time) QIOx data in to QSCK falling edge(input hold time) QSCK falling edge to QIOx data out valid Timings are given for the 3.3V domain, with VDDIO from 2.85V to 3.6V, maximum external capacitor = 40 pF. 59.13.1.6 SPI Characteristics In the figures below, the MOSI line shifting edge is represented with a hold time equal to 0. However, it is important to note that for this device, the MISO line is sampled prior to the MOSI line shifting edge. As shown further below, the device sampling point extends the propagation delay (tp) for Client and routing delays to more than half the SPI clock period, whereas the common sampling point allows only less than half the SPI clock period. As an example, an SPI Client working in Mode 0 can be safely driven if the SPI Host is configured in Mode 0. Figure 59-19. MISO Capture in Host Mode 0 < delay < SPI0 or SPI3 SPCK (generated by the host) MISO Bit N (client answer) Bit N+1 MISO cannot be provided before the edge tp Common sampling point Device sampling point Safe margin, always >0 Extended tp Internal shift register Bit N Figure 59-20. SPI Host Mode with (CPOL= NCPHA = 0) or (CPOL= NCPHA= 1) SPCK SPI0 SPI1 MISO SPI2 MOSI © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1853 SAM E70/S70/V70/V71 Electrical Characteristics for SAM E70/S70 Figure 59-21. SPI Host Mode with (CPOL = 0 and NCPHA=1) or (CPOL=1 and NCPHA= 0) SPCK SPI4 SPI3 MISO SPI5 MOSI Figure 59-22. SPI Client Mode with (CPOL=0 and NCPHA=1) or (CPOL=1 and NCPHA=0) NPCSS SPI13 SPI12 SPCK SPI6 MISO SPI7 SPI8 MOSI Figure 59-23. SPI Client Mode with (CPOL = NCPHA = 0) or (CPOL= NCPHA= 1) NPCS0 SPI15 SPI14 SPCK SPI9 MISO SPI10 SPI11 MOSI 59.13.1.6.1 Maximum SPI Frequency The following formulas provide maximum SPI frequency in host read and write modes and in client read and write modes. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1854 SAM E70/S70/V70/V71 Electrical Characteristics for SAM E70/S70 Host Write Mode The SPI sends data to a client device only, for example, an LCD. The limit is given by SPI2 (or SPI5) timing because it gives a maximum frequency above the maximum pad speed, refer to I/O Characteristics), the max SPI frequency is the one from the pad. Host Read Mode fSPCKmax = 1 SPI0 or SPI3 + tvalid tvalid is the client time response to output data after detecting an SPCK edge. For a nonvolatile memory with tvalid (or tv) = 5 ns, fSPCKmax = 57 MHz at VDDIO = 3.3V. Client Read Mode In client mode, SPCK is the input clock for the SPI. The max SPCK frequency is given by setup and hold timings SPI7/SPI8(or SPI10/SPI11). Since this gives a frequency well above the pad limit, the limit in Client read mode is given by SPCK pad. Client Write Mode fSPCKmax = 1 2x SPI6max or SPI9max + tsetup tsetup is the setup time from the host before sampling data. 59.13.1.6.2 SPI Timings Timings are given in the following domains: • • 1.8V domain: VDDIO from 1.7V to 1.95V, maximum external capacitor = 20 pF 3.3V domain: VDDIO from 2.85V to 3.6V, maximum external capacitor = 40 pF Table 59-55. SPI Timings Symbol Parameter Conditions Min Max Unit SPI0 MISO Setup time before SPCK rises (Host) 3.3V domain 12.4 – ns 1.8V domain 14.6 – ns 3.3V domain 0 – ns 1.8V domain 0 – ns 3.3V domain -3.7 2.2 ns 1.8V domain -3.8 2.7 ns 3.3V domain 12.6 – ns 1.8V domain 15.13 – ns 3.3V domain 0 – ns 1.8V domain 0 – ns 3.3V domain -3.6 2.0 ns 1.8V domain -3.3 2.8 ns 3.3V domain 3.0 11.9 ns 1.8V domain 3.5 13.9 ns 3.3V domain 1.2 – ns 1.8V domain 1.5 – ns 3.3V domain 0.6 – ns 1.8V domain 0.8 – ns SPI1 SPI2 SPI3 SPI4 SPI5 SPI6 SPI7 SPI8 MISO Hold time after SPCK rises (Host) SPCK rising to MOSI Delay (Host) MISO Setup time before SPCK falls (Host) MISO Hold time after SPCK falls (Host) SPCK falling to MOSI Delay (Host) SPCK falling to MISO Delay (Client) MOSI Setup time before SPCK rises (Client) MOSI Hold time after SPCK rises (Client) © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1855 SAM E70/S70/V70/V71 Electrical Characteristics for SAM E70/S70 ...........continued Symbol Parameter Conditions Min Max Unit SPI9 SPCK rising to MISO Delay (Client) 3.3V domain 3.0 12.0 ns 1.8V domain 3.4 13.7 ns 3.3V domain 1.2 – ns 1.8V domain 1.5 – ns 3.3V domain 0.6 – ns 1.8V domain 0.8 – ns 3.3V domain 3.9 – ns 1.8V domain 4.4 – ns 3.3V domain 0 – ns 1.8V domain 0 – ns 3.3V domain 4.0 – ns 1.8V domain 4.1 – ns 3.3V domain 0 – ns 1.8V domain 0 – ns SPI10 SPI11 SPI12 SPI13 SPI14 SPI15 MOSI Setup time before SPCK falls (Client) MOSI Hold time after SPCK falls (Client) NPCS setup to SPCK rising (Client) NPCS hold after SPCK falling (Client) NPCS setup to SPCK falling (Client) NPCS hold after SPCK falling (Client) Note that in SPI Host mode, the device does not sample the data (MISO) on the opposite edge where the data clocks out (MOSI), but the same edge is used. See Figure 58-19 and Figure 58-20. 59.13.1.7 HSMCI Timings The High-speed MultiMedia Card Interface (HSMCI) supports the MultiMedia Card (MMC) Specification V4.3, the SD Memory Card Specification V2.0, the SDIO V2.0 specification and CE-ATA V1.1. 59.13.1.8 SDRAM Timings The SDRAM Controller satisfies the timings of standard SDR-133 and LP-SDR-133 modules. SDR-133 and LPSDR-133 timings are specified by the JEDEC standard. 59.13.1.9 SMC Timings Timings are given in the 3.3V domain, with VDDIO from 2.85V to 3.6V, maximum external capacitor = 50 pF. Timings are given assuming a capacitance load on data, control and address pads. In the tables that follow, tCPMCK is MCK period. 59.13.1.9.1 Read Timings Table 59-56. SMC Read Signals - NRD Controlled (READ_MODE = 1) Symbol VDDIO Supply Parameter 1.8V Domain 3.3V Domain Min 1.8V Domain 3.3V Domain Unit Max NO HOLD Settings (NRD_HOLD = 0) SMC1 Data Setup before NRD High 17.2 14.3 – – ns SMC2 Data Hold after NRD High 0 0 – – ns HOLD Settings (NRD_HOLD ≠ 0) © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1856 SAM E70/S70/V70/V71 Electrical Characteristics for SAM E70/S70 ...........continued Symbol VDDIO Supply 1.8V Domain 3.3V Domain 1.8V Domain 3.3V Domain Unit Parameter Min Max SMC3 Data Setup before NRD High 15.2 12.1 – – ns SMC4 Data Hold after NRD High 0 0 – – ns HOLD or NO HOLD Settings (NRD_HOLD ≠ 0, NRD_HOLD = 0) SMC5 A0–A22 Valid before NRD High (NRD_SETUP + (NRD_SETUP + – NRD_PULSE) × tCPMCK - NRD_PULSE) × tCPMCK 5.1 4.3 – ns SMC6 NCS low before NRD High (NRD_SETUP + NRD_PULSE NCS_RD_SETUP) × tCPMCK - 3.5 (NRD_SETUP + NRD_PULSE NCS_RD_SETUP) × tCPMCK - 2.4 – – ns SMC7 NRD Pulse Width NRD_PULSE × tCPMCK 0.7 NRD_PULSE × tCPMCK 0.3 – – ns 3.3V Domain Unit Table 59-57. SMC Read Signals - NCS Controlled (READ_MODE = 0) Symbol VDDIO Supply Parameter 1.8V Domain 3.3V Domain Min 1.8V Domain Max NO HOLD Settings (NCS_RD_HOLD = 0) SMC8 Data Setup before NCS High 24.9 21.4 – – ns SMC9 Data Hold after NCS High 0 0 – – ns HOLD Settings (NCS_RD_HOLD ≠ 0) SMC10 Data Setup before NCS High 13.4 11.7 – – ns SMC11 Data Hold after NCS High 0 0 – – ns HOLD or NO HOLD Settings (NCS_RD_HOLD ≠ 0, NCS_RD_HOLD = 0) SMC12 A0–A22 valid before NCS High (NCS_RD_SETUP + NCS_RD_PULSE) × tCPMCK - 4.0 (NCS_RD_SETUP + NCS_RD_PULSE) × tCPMCK - 3.9 – – ns SMC13 NRD low before NCS High (NCS_RD_SETUP + NCS_RD_PULSE NRD_SETUP) × tCPMCK 2.8 (NCS_RD_SETUP + – NCS_RD_PULSE NRD_SETUP) × tCPMCK 4.2 – ns SMC14 NCS Pulse Width NCS_RD_PULSE length × tCPMCK - 0.9 NCS_RD_PULSE length × tCPMCK - 0.2 – ns © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet – DS60001527F-page 1857 SAM E70/S70/V70/V71 Electrical Characteristics for SAM E70/S70 59.13.1.9.2 Write Timings Table 59-58. SMC Write Signals - NWE Controlled (WRITE_MODE = 1) Symbol VDDIO Supply Parameter 1.8V Domain 3.3V Domain Min 1.8V Domain 3.3V Domain Unit Max HOLD or NO HOLD Settings (NWE_HOLD ≠ 0, NWE_HOLD = 0) SMC15 Data Out Valid before NWE High NWE_PULSE × tCPMCK - NWE_PULSE × tCPMCK - – 5.4 4.6 – ns SMC16 NWE Pulse Width NWE_PULSE × tCPMCK - NWE_PULSE × tCPMCK - – 0.7 0.3 – ns SMC17 A0–A22 valid before NWE low NWE_SETUP × tCPMCK - NWE_SETUP × tCPMCK - – 4.9 4.2 – ns SMC18 NCS low before NWE high (NWE_SETUP NCS_RD_SETUP + NWE_PULSE) × tCPMCK - 3.2 (NWE_SETUP NCS_RD_SETUP + NWE_PULSE) × tCPMCK - 2.2 – – ns HOLD Settings (NWE_HOLD ≠ 0) SMC19 NWE High to Data NWE_HOLD × tCPMCK OUT, NBS0/A0 4.6 NBS1, A1, A2–A25 change NWE_HOLD × tCPMCK 3.9 – – ns SMC20 NWE High to NCS Inactive (1) (NWE_HOLD NCS_WR_HOLD) × tCPMCK - 3.6 – – ns 1.5 – – ns (NWE_HOLD NCS_WR_HOLD) × tCPMCK - 3.9 NO HOLD Settings (NWE_HOLD = 0) SMC21 NWE High to Data OUT, NBS0/A0 NBS1, A1, A2–A25, NCS change(1) © 2021 Microchip Technology Inc. and its subsidiaries 2.1 Complete Datasheet DS60001527F-page 1858 SAM E70/S70/V70/V71 Electrical Characteristics for SAM E70/S70 Note:  Hold length = total cycle duration - setup duration - pulse duration. “hold length” is for “NCS_WR_HOLD length” or “NWE_HOLD length” Table 59-59. SMC Write NCS Controlled (WRITE_MODE = 0) Symbol VDDIO Supply 1.8V Domain 3.3V Domain 1.8V Domain 3.3V Domain Unit Parameter Min Max SMC22 Data Out Valid before NCS High NCS_WR_PULSE × tCPMCK - 2.8 NCS_WR_PULSE × tCPMCK - 3.9 — — ns SMC23 NCS Pulse Width NCS_WR_PULSE × tCPMCK - 0.9 NCS_WR_PULSE × tCPMCK - 0.2 — — ns SMC24 A0–A22 valid before NCS low NCS_WR_SETUP × tCPMCK - 4.0 NCS_WR_SETUP × tCPMCK - 4.6 — — ns SMC25 NWE low before NCS high (NCS_WR_SETUP NWE_SETUP + NCS pulse) × tCPMCK - 4.6 (NCS_WR_SETUP NWE_SETUP + NCS pulse) × tCPMCK - 4.6 — — ns SMC26 NCS High to Data NCS_WR_HOLD × tCPMCK NCS_WR_HOLD × tCPMCK — Out, A0–A25, - 4.4 - 3.4 change — ns SMC27 NCS High to NWE Inactive — ns (NCS_WR_HOLD NWE_HOLD) × tCPMCK 2.8 (NCS_WR_HOLD NWE_HOLD) × tCPMCK 2.4 — Timings are given in the 3.3V domain, with VDDIO from 2.85V to 3.6V, maximum external capacitor = 50 pF. Timings are given assuming a capacitance load on data, control and address pads. In the tables that follow, tCPMCK is MCK period. 59.13.1.10 USART in Asynchronous Modes In Asynchronous modes, the maximum baud rate that can be achieved is MCK2/8, if the bit USART_MR.OVER = 1. Example: if peripheral clock = 150 MHz, the maximum achievable baud rate is 18.75 MBit/s. 59.13.1.11 USART in SPI Mode Timings Figure 59-24. USART SPI Host Mode • The MOSI line is driven by the output pin TXD • The MISO line drives the input pin RXD • The SCK line is driven by the output pin SCK • The NSS line is driven by the output pin RTS NSS SPI5 SPI3 CPOL=1 SPI0 SCK CPOL=0 SPI4 MISO SPI4 SPI1 SPI2 LSB MSB MOSI © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1859 SAM E70/S70/V70/V71 Electrical Characteristics for SAM E70/S70 Figure 59-25. USART SPI Client Mode (Mode 1 or 2) • The MOSI line drives the input pin RXD • The MISO line is driven by the output pin TXD • The SCK line drives the input pin SCK • The NSS line drives the input pin CTS NSS SPI13 SPI12 SCK SPI6 MISO SPI7 SPI8 MOSI Figure 59-26. USART SPI Client Mode (Mode 0 or 3) NSS SPI14 SPI15 SCK SPI9 MISO SPI10 SPI11 MOSI 59.13.1.11.1 USART SPI Timings Timings are given in the following domains: • 3.3V domain: VDDIO from 2.85V to 3.6V, maximum external capacitor = 40 pF Table 59-60. USART SPI Timings Symbol Parameter Conditions Min Max 1.7V domain MCK/6 – 3.3V domain MCK/6 – 1.7V domain 2.8 – 3.3V domain 2.5 – Unit Host Mode SPI0 SCK Period SPI1 Input Data Setup Time © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet ns ns DS60001527F-page 1860 SAM E70/S70/V70/V71 Electrical Characteristics for SAM E70/S70 ...........continued Symbol Parameter SPI2 Input Data Hold Time SPI3 Chip Select Active to Serial Clock SPI4 Output Data Setup Time SPI5 Serial Clock to Chip Select Inactive Conditions Min Max 1.7V domain 0.5 – 3.3V domain 0.2 – 1.7V domain -1.1 – 3.3V domain -0.9 – 1.7V domain -1.9 10.9 3.3V domain -1.9 10.4 1.7V domain -2.4 -1.9 3.3V domain -2.4 -1.9 1.7V domain 3.6 16.8 3.3V domain 2.9 13.9 1.7V domain 2.4 – 3.3V domain 2.0 – 1.7V domain 0.4 – 3.3V domain 0.2 – 1.7V domain 3.5 16.2 3.3V domain 3.0 13.5 1.7V domain 2.2 – 3.3V domain 2.1 – 1.7V domain 0.6 – 3.3V domain 0.4 – 1.7V domain 1.6 – 3.3V domain 0.6 – 1.7V domain 1.1 – 3.3V domain 0.6 – 1.7V domain 1.3 – 3.3V domain 0.6 – 1.7V domain 0.9 – 3.3V domain 0.7 – Unit ns ns ns ns Client Mode SPI6 SCK falling to MISO SPI7 MOSI Setup time before SCK rises SPI8 MOSI Hold time after SCK rises SPI9 SCK rising to MISO SPI10 MOSI Setup time before SCK falls SPI11 MOSI Hold time after SCK falls SPI12 NPCS0 setup to SCK rising SPI13 NPCS0 hold after SCK falling SPI14 NPCS0 setup to SCK falling SPI15 NPCS0 hold after SCK rising ns ns ns ns ns ns ns ns ns ns 59.13.1.12 Two-wire Serial Interface Characteristics The following table describes the requirements for devices connected to the Two-Wire Serial Bus. For additional information on timing symbols, refer to the figure below. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1861 SAM E70/S70/V70/V71 Electrical Characteristics for SAM E70/S70 Table 59-61. Two-wire Serial Bus Requirements Symbol Parameter Condition Min. Max. Unit VIL Low-level Input Voltage – -0.3 0.3 VDDIO V VIH High-level Input Voltage – 0.7 × VDDIO VCC + 0.3 V Vhys Hysteresis of Schmitt Trigger Inputs – 0.150 – V VOL Low-level Output Voltage 3 mA sink current – 0.4 V tR Rise Time for both TWD and TWCK 20 + 0.1Cb(1)(2) 300 ns (1)(2) 250 ns tOF Output Fall Time from VIHmin to VILmax 10 pF < Cb < 400 pF 20 + 0.1Cb see the figure below Ci(1) Capacitance for each I/O Pin – – 10 pF fTWCK TWCK Clock Frequency – 0 400 kHz RP Value of Pull-up resistor fTWCK ≤ 100 kHz (VDDIO - 0.4V) ÷ 3mA 1000ns ÷ Cb Ω fTWCK > 100 kHz tLOW tHIGH tHD;STA tSU;STA tHD;DAT tSU;DAT tSU;STO tHD;STA Low Period of the TWCK clock High period of the TWCK clock Hold Time (repeated) START Condition Set-up time for a repeated START condition Data hold time Data setup time Setup time for STOP condition Hold Time (repeated) START Condition 300ns ÷ Cb Ω fTWCK ≤ 100 kHz (3) – µs fTWCK > 100 kHz (3) – μs fTWCK ≤ 100 kHz (4) – μs fTWCK > 100 kHz (4) – μs fTWCK ≤ 100 kHz tHIGH – μs fTWCK > 100 kHz tHIGH – μs fTWCK ≤ 100 kHz tHIGH – μs fTWCK > 100 kHz tHIGH – μs (5) fTWCK ≤ 100 kHz 0 3 × tCPMCK μs fTWCK > 100 kHz 0 3 ×tCPMCK(5) μs fTWCK ≤ 100 kHz tLOW - 3 × tCPMCK (5) – ns fTWCK > 100 kHz tLOW - 3 × tCPMCK(5) – ns fTWCK ≤ 100 kHz tHIGH – μs fTWCK > 100 kHz tHIGH – μs fTWCK ≤ 100 kHz tHIGH – μs fTWCK > 100 kHz tHIGH – μs Notes:  1. Required only for fTWCK > 100 kHz. 2. Cb = capacitance of one bus line in pF. Per I2C standard, Cb max = 400pF. 3. The TWCK low period is defined as follows: tLOW = ((CLDIV × 2CKDIV) + 4) × tMCK. 4. The TWCK high period is defined as follows: tHIGH = ((CHDIV × 2CKDIV) + 4) × tMCK. 5. tCPMCK = MCK bus period © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1862 SAM E70/S70/V70/V71 Electrical Characteristics for SAM E70/S70 Figure 59-27. Two-wire Serial Bus Timing tHIGH tof tr tLOW tLOW TWCK tSU;STA tHD;STA tHD;DAT tSU;DAT tSU;STO TWD tBUF 59.13.1.13 GMAC Characteristics 59.13.1.13.1 Timing Conditions Table 59-62. Load Capacitance on Data, Clock Pads Supply CL 3.3V Max. Min. 20 pF 0 pF 59.13.1.13.2 Timing Constraints The GMAC must be constrained so as to satisfy the timings of standards shown below and in 58.13.1.13.3. MII Mode, in MAX corner. Table 59-63. GMAC Signals Relative to GMDC Symbol Parameter Min Max Unit GMAC1 Setup for GMDIO from GMDC rising 10 – ns GMAC2 Hold for GMDIO from GMDC rising 10 – GMDIO toggling from GMDC falling 0(1) 10(1) GMAC3 Note: 1. For GMAC output signals, min and max access time are defined. The min access time is the time between the GMDC falling edge and the signal change. The max access timing is the time between the GMDC falling edge and the signal stabilizes. The figure below illustrates min and max accesses for GMAC3. Figure 59-28. Min and Max Access Time of GMAC Output Signals GMDC GMAC1 GMAC2 GMAC3 max GMDIO GMAC4 GMAC5 GMAC3 min © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1863 SAM E70/S70/V70/V71 Electrical Characteristics for SAM E70/S70 59.13.1.13.3 MII Mode Table 59-64. GMAC MII Mode Timings Symbol Parameter Min Max Unit GMAC4 Setup for GCOL from GTXCK rising 10 – ns GMAC5 Hold for GCOL from GTXCK rising 10 – GMAC6 Setup for GCRS from GTXCK rising 10 – GMAC7 Hold for GCRS from GTXCK rising 10 – GMAC8 GTXER toggling from GTXCK rising 10 25 GMAC9 GTXEN toggling from GTXCK rising 10 25 GMAC10 GTX toggling from GTXCK rising 10 25 GMAC11 Setup for GRX from GRXCK 10 – GMAC12 Hold for GRX from GRXCK 10 – GMAC13 Setup for GRXER from GRXCK 10 – GMAC14 Hold for GRXER from GRXCK 10 – GMAC15 Setup for GRXDV from GRXCK 10 – GMAC16 Hold for GRXDV from GRXCK 10 – © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1864 SAM E70/S70/V70/V71 Electrical Characteristics for SAM E70/S70 Figure 59-29. GMAC MII Mode Signals EMDC GMAC1 GMAC3 GMAC2 EMDIO GMAC4 GMAC5 GMAC6 GMAC7 ECOL ECRS ETXCK GMAC8 ETXER GMAC9 ETXEN GMAC10 ETX[3:0] ERXCK GMAC11 GMAC12 ERX[3:0] GMAC13 GMAC14 GMAC15 GMAC16 ERXER ERXDV 59.13.1.13.4 RMII Mode Table 59-65. GMAC RMII Mode Timings Symbol Parameter Min Max Unit GMAC21 ETXEN toggling from EREFCK rising 2 16 ns GMAC22 ETX toggling from EREFCK rising 2 16 GMAC23 Setup for ERX from EREFCK rising 4 – GMAC24 Hold for ERX from EREFCK rising 2 – GMAC25 Setup for ERXER from EREFCK rising 4 – GMAC26 Hold for ERXER from EREFCK rising 2 – GMAC27 Setup for ECRSDV from EREFCK rising 4 – GMAC28 Hold for ECRSDV from EREFCK rising 2 – © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1865 SAM E70/S70/V70/V71 Electrical Characteristics for SAM E70/S70 Figure 59-30. GMAC RMII Mode Signals EREFCK GMAC21 ETXEN GMAC22 ETX[1:0] GMAC23 GMAC24 ERX[1:0] GMAC25 GMAC26 GMAC27 GMAC28 ERXER ECRSDV 59.13.1.14 SSC Timings 59.13.1.14.1 Timing Conditions Timings are given assuming the load capacitance as shown in the following table. Table 59-66. Load Capacitance Supply CL Max. 3.3V 30 pF 59.13.1.14.2 Timing Extraction Figure 59-31. SSC Transmitter, TK and TF in Output TK (CKI =0) TK (CKI =1) SSC0 TF/TD Figure 59-32. SSC Transmitter, TK in Input and TF in Output TK (CKI =0) TK (CKI =1) SSC1 TF/TD © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1866 SAM E70/S70/V70/V71 Electrical Characteristics for SAM E70/S70 Figure 59-33. SSC Transmitter, TK in Output and TF in Input TK (CKI=0) TK (CKI=1) SSC2 SSC3 TF SSC4 TD Figure 59-34. SSC Transmitter, TK and TF in Input TK (CKI=0) TK (CKI=1) SSC5 SSC6 TF SSC7 TD Figure 59-35. SSC Receiver RK and RF in Input RK (CKI=0) RK (CKI=1) SSC8 SSC9 RF/RD Figure 59-36. SSC Receiver, RK in Input and RF in Output RK (CKI=0) RK (CKI=1) SSC8 SSC9 RD SSC10 RF © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1867 SAM E70/S70/V70/V71 Electrical Characteristics for SAM E70/S70 Figure 59-37. SSC Receiver, RK and RF in Output RK (CKI=0) RK (CKI=1) SSC11 SSC12 RD SSC13 RF Figure 59-38. SSC Receiver, RK in Output and RF in Input RK (CKI=0) RK (CKI=1) SSC11 SSC12 RF/RD Table 59-67. SSC Timings with 3.3V Peripheral Supply Symbol Parameter Condition Min. Max. Unit Transmitter SSC0 TK edge to TF/TD (TK output, TF output) – -3.9(1) 4.0 (1) ns SSC1 TK edge to TF/TD (TK input, TF output) – 3.1(1) 12.7(1) ns SSC2 TF setup time before TK edge (TK output) – 13.6 – ns SSC3 TF hold time after TK edge (TK output) – 0 – ns – -3.9(1) 3.0(1) ns -3.9 + (2× tCPMCK)(1) 3.0 + (2 × tCPMCK)(1) SSC4 TK edge to TF/TD (TK output, TF input) STTDLY = 0 START = 4, 5 or 7 SSC5 TF setup time before TK edge (TK input) – 0 – ns SSC6 TF hold time after TK edge (TK input) – tCPMCK – ns SSC7 TK edge to TF/TD (TK input, TF input) – 3.1(1) 11.8(1) ns 3.1 + (3 × tCPMCK)(1) 11.8 + (3 × tCPMCK)(1) STTDLY = 0 START = 4, 5 or 7 Receiver SSC8 RF/RD setup time before RK edge (RK input) – 0 – ns SSC9 RF/RD hold time after RK edge (RK input) – tCPMCK – ns SSC10 RK edge to RF (RK input) – 2.9(1) 9.2(1) ns SSC11 RF/RD setup time before RK edge (RK output) – 10.1 - tCPMCK – ns © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1868 SAM E70/S70/V70/V71 Electrical Characteristics for SAM E70/S70 ...........continued Symbol Parameter SSC12 SSC13 Condition RF/RD hold time after RK edge (RK output) – RK edge to RF (RK output) – Min. Max. Unit tCPMCK - 2.8 – ns -2.1(1) 1.9(1) ns Note:  For output signals (TF, TD, RF), minimum and maximum access times are defined. The minimum access time is the time between the TK (or RK) edge and the signal change. The maximum access timing is the time between the TK edge and the signal stabilization. The figure below illustrates the minimum and maximum accesses for SSC0, and the same is applicable for SSC1, SSC4, SSC7, SSC10, and SSC13. Figure 59-39. Min and Max Access Time of Output Signals TK (CKI =0) TK (CKI =1) SSC0min SSC0max TF/TD 59.13.1.15 ISI Timings 59.13.1.15.1 Timing Conditions Timings are given assuming the load capacitance as shown in the following table. Table 59-68. Load Capacitance Supply CL Max. 3.3V 30 pF 59.13.1.15.2 Timing Extraction Table 59-69. ISI Timings with Peripheral Supply 3.3V Symbol Parameter Min. Max. Unit ISI1 DATA/VSYNC/HSYNC setup time 1.5 – ns ISI2 DATA/VSYNC/HSYNC hold time -1.2 – ns ISI3 PIXCLK frequency – 75 MHz Figure 59-40. ISI Timing Diagram PIXCLK 3 DATA[7:0] VSYNC HSYNC © 2021 Microchip Technology Inc. and its subsidiaries Valid Data 1 Valid Data Valid Data 2 Complete Datasheet DS60001527F-page 1869 SAM E70/S70/V70/V71 Electrical Characteristics for SAM E70/S70 59.13.1.16 TRNG Warm-Up Time AC Characteristics Standard Operating Conditions: VDDIO=AVDD 1.7V to 3.6V (unless otherwise stated) Operating temperature: -40°C ≤ TA ≤ +85°C for Industrial -40°C ≤ TA ≤ +105°C for V-temp -40°C ≤ TA ≤ +125°C for Extended Temp Param. No. Symbol Characteristics Min. Typical Max. Units. TRNG_1 TRNGWUP TRNG Warm-Up Time 100 - - ms © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet Conditions Required warm-up time after user enable but before use DS60001527F-page 1870 SAM E70/S70/V70/V71 Schematic Checklist 60. Schematic Checklist The schematic checklist provides the user with the requirements regarding the different pin connections that must be considered before starting any new board design. It also provides information on the minimum hardware resources required to quickly develop an application with the SAM E70/S70/V70/V71 device. It does not consider PCB layout constraints. This information is not intended to be exhaustive. Its objective is to cover as many configurations of use as possible. The checklist contains a column for use by designers, making it easy to track and verify each line item. 60.1 Power Supplies 60.1.1 Supplying the Device With Only One Supply CAUTION To guarantee reliable operation of the device, the board design must comply with power-up and powerdown sequence guidelines provided in the “Power Considerations” chapter. Power Supplies Schematic Example with Internal Regulator Use VDDUTMII 100nF GNDUTMI 10μH - 60mA 2.2R VDDPLLUSB 4.7μF GNDPLLUSB VDDIO 5 x 100nF GND VDDIN MAIN SUPPLY 4.7μF 100nF GND,GNDANA VDDOUT 1μF Voltage Regulator 100nF GND VDDCORE 5 x 100nF GND 470R @ 100MHz VDDPLL 100nF GNDPLL 470R @ 100MHz VDDUTMIC 100nF GNDUTMI Note: Component values are given only as a typical example. Note: Restrictions With main supply < 2.5V, USB and DACC are not usable. With main supply > 2.5V and < 3V, USB is not usable. With main supply > 3.0 V, all peripherals are usable. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1871 SAM E70/S70/V70/V71 Schematic Checklist Check Signal Name Recommended Pin Connection VDDIN VDDIO Decoupling/filtering capacitors (100 nF and 4.7 μF)(1)(2) Decoupling/filtering capacitors (100 nF)(1)(2) Description Powers the voltage regulator, AFE, DAC, and Analog comparator power supply Supply ripple must not exceed 20 mVrms for 10 kHz to 20 MHz range. WARNING VDDIN and VDDIO must have the same level and must be higher than VDDCORE. WARNING Powerup and powerdown sequences given in the “Power Considerations” chapter must be respected. Powers the Peripheral I/O lines (Input/Output Buffers), backup part, 1 Kbyte of Backup SRAM, 32 kHz crystal oscillator, oscillator pads Decoupling/filtering capacitors must be added to improve startup stability and reduce source voltage drop. Supply ripple must not exceed 30 mVrms for 10 kHz to 10 MHz range. VDDUTMII Decoupling capacitor (100 nF)(1)(2) WARNING VDDIN and VDDIO must have the same level and must be higher than VDDCORE. WARNING Powerup and powerdown sequences given in the “Power Considerations” chapter must be respected. Powers the USB transceiver interface. Must be connected to VDDIO. For USB operations, VDDUTMII and VDDIO voltage ranges must be from 3.0V to 3.6V. Must always be connected even when the USB is not used. Decoupling/filtering capacitors must be added to improve startup stability and reduce source voltage drop. Supply ripple must not exceed 20 mVrms for 10 kHz to 10 MHz range. VDDPLLUSB Decoupling/filtering RLC circuit(1) Powers the UTMI PLL and the 3 to 20 MHz oscillator. The VDDPLLUSB power supply pin draws small current, but it is noise sensitive. Care must be taken in VDDPLLUSB power supply routing, decoupling and also on bypass capacitors. Supply ripple must not exceed 10 mVrms for 10 kHz to 10 MHz range. VDDOUT Decoupling capacitor (100 nF + 1 μF)(1)(2) © 2021 Microchip Technology Inc. and its subsidiaries Voltage Regulator Output Complete Datasheet DS60001527F-page 1872 SAM E70/S70/V70/V71 Schematic Checklist ...........continued Check Signal Name Recommended Pin Connection VDDCORE Decoupling capacitor (100 nF)(1)(2) Description Powers the core, embedded memories and peripherals. Decoupling/filtering capacitors must be added to improve startup stability and reduce source voltage drop. WARNING Powerup and powerdown sequences given in the “Power Considerations” chapter must be respected. VDDPLL Decoupling/filtering capacitors ferrite beads (100 nF and 470 Ohm @ 100MHz)(1)(2) VDDUTMIC Decoupling/filtering Powers the USB transceiver core. capacitors ferrite beads Must always be connected even if the USB is not used. (100 nF and 470 Ohm @ Decoupling/filtering capacitors/ferrite beads must be added to 100MHz)(1)(2) improve startup stability and reduce source voltage drop. GND Voltage Regulator, Core Chip and Peripheral I/O lines ground GND pins are common to VDDIN, VDDCORE and VDDIO pins. GND pins should be connected as shortly as possible to the system ground plane. GNDUTMI UDPHS and UHPHS UTMI+ Core and interface ground GNDUTMI pins are common to VDDUTMII and VDDUTMIC pins. GNDUTMI pins should be connected as shortly as possible to the system ground plane. GNDPLL PLLA cell and Main Oscillator ground GNDPLL pin is provided for VDDPLL pin. GNDPLL pin should be connected as shortly as possible to the system ground plane. GNDANA Analog ground GNDANA pins are common to AFE, DAC and ACC supplied by VDDIN pin. GNDANA pins should be connected as shortly as possible to the system ground plane. GNDPLLUSB USB PLL ground Powers the PLLA and the fast RC oscillator. The VDDPLL power supply pin draws small current, but it is noise sensitive. Care must be taken in VDDPLL power supply routing, decoupling and also on bypass capacitors. The GNDPLLUSB pin is provided for VDDPLLUSB pin. The GNDPLLUSB pin should be connected as shortly as possible to the system ground plane. Notes:  1. These values are given only as a typical example. 2. Decoupling capacitors must be connected as close as possible to the microcontroller and on each concerned pin, vias should be avoided. 100nF VDDCORE 100nF VDDCORE 100nF VDDCORE GND © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1873 SAM E70/S70/V70/V71 Schematic Checklist 60.1.2 Supplying the Device With Two Separate Supplies CAUTION The board design must comply with power-up and power-down sequence guidelines provided in the “Power Considerations” chapter. Power Supplies Schematic Example With Separate Power Supplies 60.3. Boot Program Hardware Constraints VDDUTMII 100nF GNDUTMI 2.2R 10μH - 60mA VDDPLLUSB 4.7μF GNDPLLUSB VDDIO 5 x 100nF GND VDDIN MAIN SUPPLY 4.7μF 100nF GND,GNDANA VDDOUT Voltage Regulator VDDCORE VDDCORE SUPPLY 4.7μF 5 x 100nF GND 470R @ 100MHz VDDPLL 100nF GNDPLL 470R @ 100MHz VDDUTMIC 100nF GNDUTMI Component values are given only as a typical example. Note: Note: Restrictions With main supply < 3.0 V, USB is not usable. With main supply < 2.0 V, AFE, DAC and Analog comparator are not usable. With main supply and VDDIN > 3.0 V, all peripherals are usable. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1874 SAM E70/S70/V70/V71 Schematic Checklist Signal Name Recommended Pin Connection Description VDDIN Powers the voltage regulator, AFE, DAC, and Analog comparator power supply Supply ripple must not exceed 20 mVrms for 10 kHz to 20 MHz range. VDDIO Decoupling/filtering capacitors (100 nF and 4.7 μF) (1, 2) Decoupling/filtering capacitors (100 nF) (1, 2) WARNING VDDIN and VDDIO must have the same level and must always be higher than VDDCORE. WARNING Power up and power down sequences given in the “Power Considerations” chapter must be respected. Powers the Peripheral I/O lines (Input/Output Buffers), backup part, 1 Kbytes of Backup SRAM, 32 kHz crystal oscillator, oscillator pads Decoupling/filtering capacitors must be added to improve startup stability and reduce source voltage drop. Supply ripple must not exceed 30 mVrms for 10 kHz to 10 MHz range. VDDUTMII Decoupling capacitor (100 nF) (1) (2) WARNING VDDIN and VDDIO must have the same level and must always be higher than VDDCORE. WARNING Powerup and powerdown sequences given in the “Power Considerations” chapter must be respected. Powers the USB transceiver interface. Must be connected to VDDIO. For USB operations, VDDUTMII and VDDIO voltage ranges must be from 3.0V to 3.6V. Must always be connected even if the USB is not used. Decoupling/filtering capacitors must be added to improve startup stability and reduce source voltage drop. Supply ripple must not exceed 20 mVrms for 10 kHz to 10 MHz range. VDDPLLUSB Decoupling/filtering RLC circuit (1) Powers the UTMI PLL and the 3 to 20 MHz oscillator. For USB operations, VDDPLLUSB should be between 3.0V and 3.6V. The VDDPLLUSB power supply pin draws small current, but it is noise sensitive. Care must be taken in VDDPLLUSB power supply routing, decoupling and also on bypass capacitors. Supply ripple must not exceed 10 mVrms for 10 kHz to 10 MHz range. VDDOUT Left unconnected © 2021 Microchip Technology Inc. and its subsidiaries Voltage Regulator Output Complete Datasheet DS60001527F-page 1875 SAM E70/S70/V70/V71 Schematic Checklist ...........continued Signal Name Recommended Pin Connection Description VDDCORE Powers the core, embedded memories and peripherals. Decoupling/filtering capacitors must be added to improve startup stability and reduce source voltage drop. Decoupling capacitor (100 nF) (1) (2) Supply ripple must not exceed 20 mVrms for 10 kHz to 20 MHz range. WARNING VDDPLL Decoupling/filtering capacitors ferrite beads (100 nF and 470 Ohm @ 100 MHz) (1) (2) Powerup and powerdown sequences given in the “Power Considerations” chapter must be respected. Powers the PLLA and the fast RC oscillator. The VDDPLL power supply pin draws small current, but it is noise sensitive. Care must be taken in VDDPLL power supply routing, decoupling and also on bypass capacitors. Supply ripple must not exceed 20 mVrms for 10 kHz to 10 MHz range and 10 mVrms for higher frequencies. VDDUTMIC Decoupling/filtering capacitors ferrite beads (100 nF and 470 Ohm @ 100 MHz) (1) (2) Powers the USB transceiver core. Must always be connected even if the USB is not used. Decoupling/filtering capacitors/ferrite beads must be added to improve startup stability and reduce source voltage drop. Supply ripple must not exceed 10 mVrms for 10 kHz to 10 MHz range. GND Voltage Regulator, Core Chip and Peripheral I/O lines ground GND pins are common to VDDIN, VDDCORE and VDDIO pins. GND pins should be connected as shortly as possible to the system ground plane. GNDUTMI UDPHS and UHPHS UTMI+ Core and interface ground GNDUTMI pins are common to VDDUTMII and VDDUTMIC pins. GNDUTMI pins should be connected as shortly as possible to the system ground plane. GNDPLL PLLA cell and Main Oscillator ground GNDPLL pin is provided for VDDPLL pin. GNDPLL pin should be connected as shortly as possible to the system ground plane. GNDANA Analog ground GNDANA pins are common to AFE, DAC and ACC supplied by VDDIN pin. GNDANA pins should be connected as shortly as possible to the system ground plane. GNDPLLUSB USB PLL ground GNDPLLUSB pin is provided for VDDPLLUSB pin. GNDPLLUSB pin should be connected as shortly as possible to the system ground plane. Notes:  1. These values are given only as a typical example. 2. Decoupling capacitors must be connected as close as possible to the microcontroller and on each concerned pin, vias should be avoided. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1876 SAM E70/S70/V70/V71 Schematic Checklist 100nF VDDCORE 100nF VDDCORE 100nF VDDCORE GND 60.2 General Hardware Recommendations 60.2.1 Crystal Oscillators Signal Name Recommended Pin Connection Description XIN XOUT Crystals between 3 and 20 MHz USB High/Full Speed Host/Device peripherals require a 12 or 16 MHz clock. Crystal Load Capacitance to check (CCRYSTAL). 3 to 20 MHz Crystal Oscillator in Normal Mode Capacitors on XIN and XOUT Microchip MCU XIN XOUT GND (Crystal Load Capacitance dependent) CCRYSTAL CLEXT CLEXT Example: for a 12 MHz crystal with a load capacitance of CCRYSTAL = 15 pF, external capacitors are required: CLEXT = 12 pF. Refer to 58. Electrical Characteristics for SAM V70/ V71. XIN XOUT XIN: external clock source XOUT: can be left unconnected VDDIO square wave signal External clock source up to 20 MHz 3 to 20 MHz Crystal Oscillator in Bypass Mode USB High/Full speed Host/Device peripherals require a 12 or 16 MHz clock. Duty Cycle: 40 to 60% XIN XOUT XIN: can be left unconnected XOUT: can be left unconnected Typical nominal frequency 12 MHz (Main RC Oscillator) 3 to 20 MHz Crystal Oscillator Disabled USB High/Full-speed Host/Device peripherals are not functional with Main RC oscillator. Duty Cycle: 45 to 55% © 2021 Microchip Technology Inc. and its subsidiaries Refer to 58. Electrical Characteristics for SAM V70/ V71. Refer to 58. Electrical Characteristics for SAM V70/ V71. Complete Datasheet DS60001527F-page 1877 SAM E70/S70/V70/V71 Schematic Checklist ...........continued Signal Name Recommended Pin Connection Description XIN32 XOUT32 32.768 kHz Crystal Capacitors on XIN32 and XOUT32 Crystal load capacitance to check (CCRYSTAL32). Slow Clock Oscillator (Crystal Load Capacitance dependent) Microchip MCU XIN32 XOUT32 GND C CRYSTAL32 CLEXT32 CLEXT32 Example: for a 32.768 kHz crystal with a load capacitance of CCRYSTAL32 = 7 pF, external capacitors are required: CLEXT32 = 11 pF. Refer to 58. Electrical Characteristics for SAM V70/ V71. XIN32 XOUT32 XIN32: external clock source XOUT32: can be left unconnected Slow Clock Oscillator in Bypass Mode XIN32 XOUT32 Duty Cycle: 40 to 60% Refer to 58. Electrical Characteristics for SAM V70/ V71. XIN32: can be left unconnected XOUT32: can be left unconnected Slow Clock Oscillator Disabled 60.2.2 VDDIO square wave signal External clock source up to 44 kHz Typical nominal frequency 32 kHz (internal 32 kHz RC oscillator) Duty Cycle: 45 to 55% Refer to 58. Electrical Characteristics for SAM V70/ V71. Serial Wire Debug Interface Signal Name SWCLK/TCK Recommended Pin Connection kΩ)(1) Pullup (15 kΩ to 50 If debug mode is not required, this pin can be used as GPIO. Description Serial Wire Clock / Test Clock (Boundary scan mode only) This pin is a Schmitt trigger input. No internal pullup resistor at reset. SWDIO/TMS Pullup (15 kΩ to 50 kΩ) (1) If debug mode is not required, this pin can be used as GPIO. Serial Wire Input-Output / Test Mode Select (Boundary scan mode only). This pin is a Schmitt trigger input. No internal pullup resistor at reset. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1878 SAM E70/S70/V70/V71 Schematic Checklist ...........continued Signal Name Recommended Pin Connection Description TDI Pullup (15 kΩ to 50 kΩ)(1) If boundary mode is not required, this pin can be used as GPIO. Test Data In (Boundary scan mode only) This pin is a Schmitt trigger input. TRACESWO/TD O Floating. If boundary mode is not required, this pin can be used as GPIO. Test Data Out (Boundary scan mode only) Output driven at up to VDDIO JTAGSEL In harsh environments(2), it is recommended to tie this pin to GND if not used or to add an external low-value resistor, such as 1 kOhm. JTAG Selection. Internal permanent pull-down resistor to GNDBU (15 kOhm). No internal pullup resistor at reset. Must be tied to VDDIO to enter JTAG Boundary Scan with TST tied to VDDIO and PD0 tied to GND. Figure 60-1. SWD Schematic Example with a 10-pin Connector VDDIO VDDIO R 33K R 33K R 33K 1 2 SWDIO 3 4 SWCLK 5 6 TRACESWO 7 8 9 10 nRST Figure 60-2. SWD Schematic Example with a 20-pin Connector VDDIO VDDIO R 33K 2 VDDIO R 33K R 33K 1 4 3 6 5 8 7 SWDIO 10 9 SWCLK 12 11 14 13 TRACESWO 16 15 nRST 18 17 20 19 Notes:  1. These values are given only as a typical example. 2. In a well-shielded environment subject to low magnetic and electric field interference, the pin may be left unconnected. In noisy environments, a connection to ground is recommended. 60.2.3 Flash Memory Signal Name Recommended Pin Connection Description ERASE Low level at startup is mandatory when not used. If ERASE mode is not required, this pin can be used as GPIO. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1879 SAM E70/S70/V70/V71 Schematic Checklist 60.2.4 Reset and Test Pins Signal Name Recommended Pin Connection Description NRST Application dependent. Can be connected to a push button for hardware reset. NRST is a bidirectional pin (Schmitt trigger input). It is handled by the on-chip reset controller and can be driven low to provide a reset signal to the external components or asserted low externally to reset the microcontroller. By default, the user reset is enabled after a general reset so that it is possible for a component to assert low and reset the microcontroller. A permanent internal pullup resistor to VDDIO (100 kOhm) is available for user reset and external reset control. TST TST pin can be left unconnected in normal mode. To enter in FFPI mode, TST pin must be tied to VDDIO. This pin is a Schmitt trigger input. Permanent internal pulldown resistor to GND (15 kOhm). Must be tied to VDDIO to enter JTAG Boundary Scan, with JTAGSEL tied to VDDIO and PD0 tied to GND. In harsh environments (1), it is strongly recommended to tie this pin to GND if not used or to add an external low-value resistor (such as 10 kOhm). Note: 1. In a well-shielded environment subject to low magnetic and electric field interference, the pin may be left unconnected. In noisy environments, a connection to ground is recommended. 60.2.5 PIOs Signal Name Recommended Pin Connection PAx Application dependent. All PIOs are pulled-up inputs (100 kOhm) at reset except those which are (Pull up at VDDIO if multiplexed with Oscillators Drivers and Debug interface that require to needed) be enabled as peripherals. Refer to the column “Reset State” of the pin description tables in the section "Package and Pinout". Schmitt trigger on all inputs, except XIN32/XOUT32 (PA7/PA8) and XIN/ XOUT (PB8/PB9). If XIN32/XOUT32 and XIN/XOUT are not used, they must be setup as outputs and attached to a dedicated trace to reduce current consumption. PBx PCx PDx PEx Description To reduce power consumption if not used, the concerned PIO can be configured as an output, driven at ‘0’ with internal pull up disabled. Related Links 6. Package and Pinout 60.2.6 Parallel Capture Mode Signal Name Recommended Pin Connection Description PIODC0–7 Application dependent. (Pullup at VDDIO) Parallel mode capture data All are pulled-up inputs (100 kOhm) to VDDIO at reset. PIODCCLK Application dependent. (Pullup at VDDIO) Parallel mode capture clock Pulled-up input (100 kOhm) to VDDIO at reset. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1880 SAM E70/S70/V70/V71 Schematic Checklist ...........continued 60.2.7 Signal Name Recommended Pin Connection Description PIODCEN1–2 Application dependent. (Pullup at VDDIO) Parallel mode capture mode enable All are pulled-up inputs (100 kOhm) to VDDIO at reset. Analog Reference, Analog Front-End and DAC Signal Name Recommended Pin Connection Description Analog Voltage References VREFP 1.7V to VDDIN LC Filter is required. Positive reference voltage. VREFP is a pure analog input. VREFP is the voltage reference for the AFEC (ADC, PGA DAC and Analog Comparator). To reduce power consumption, if analog features are not used, connect VREFP to GND. Noise must be lower than 100 μVrms VREFN Analog Negative Reference AFE, DAC and Analog Comparator negative reference VREFN must be connected to GND or GNDANA. AFEx_AD0– AFEx_AD11 0 to VREFP AFE inputs channels All are pulled-up inputs (100 kOhm) to VDDIO at reset. AFEx_ADTRG Application dependent. (Pulled-up on VREFP) AFE external trigger input All are pulled-up inputs (100 kOhm) to VDDIO at reset. 12-bit Analog Front-End 12-bit Digital-to-Analog Converter 60.2.8 DAC0–DAC1 Application dependent. 0 to VREFP Analog output All are pulled-up inputs (100 kOhm) to VDDIO at reset. DATRG Application dependent. DAC external trigger input Pulled-up input (100 kOhm) to VDDIO at reset. USB Host/Device Signal Name Recommended Pin Connection © 2021 Microchip Technology Inc. and its subsidiaries Description Complete Datasheet DS60001527F-page 1881 SAM E70/S70/V70/V71 Schematic Checklist ...........continued Signal Name Recommended Pin Connection VBG 0.9 - 1.1V (1) (2) Description Bias Voltage Reference for USB To reduce the noise on the VBG pin to a minimum, implement the layout considerations below: - Keep the VBG path as short as possible - Ensure a ground connection to GNDUTMI 5K62 ± 1% VBG 10 pF GNDUTMI VBG can be left unconnected if USB is not used. HSDM / HSDP Application dependent (1) (2) © 2021 Microchip Technology Inc. and its subsidiaries USB High Speed Data Pulldown output at reset. Complete Datasheet DS60001527F-page 1882 SAM E70/S70/V70/V71 Schematic Checklist Notes:  1. The following schematic shows an example of USB High Speed host connection. For more information, refer to 39. USB High-Speed Interface PIO (VBUS ENABLE) "A" Receptacle 1 = VBUS 2 = D3 = D+ 4 = GND +5V HSDM 3 4 Shell = Shield 1 2 HSDP 5K62 ± 1% VBG 10 pF GNDUTMI 2. (USBHS) The following schematic shows a typical USB High Speed device connection: For more information, refer to 39. USB High-Speed Interface PIO (VBUS DETECT) 15k (1) "B" Receptacle 1 = VBUS 2 = D3 = D+ 4 = GND 1 2 3 4 HSDM Shell = Shield 22k (1) CRPB HSDP CRPB:1µF to 10µF 5K62 ± 1% VBG 10 pF GNDUTMI (USBHS). Note:  The values shown on the 22 kΩ and 15 kΩ resistors are only valid with 3.3V supplied PIOs. 60.2.9 Memory Controllers Signal Name Recommended Pin Connection Description External Bus Interface D[15:0] Application dependent. Data Bus (D0 to D15) All data lines are pullup inputs to VDDIO at reset. A[23:0] Application dependent. Address Bus (A0 to A23) All address lines pullup inputs to VDDIO at reset. NWAIT Application dependent. External Wait Signal. Pulled-up input (100 kOhm) to VDDIO at reset. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1883 SAM E70/S70/V70/V71 Schematic Checklist ...........continued Signal Name Recommended Pin Connection Description Static Memory Controller NCS0-NCS3 Application dependent. (Pullup at VDDIO) Chip Select Lines All are pulled-up inputs (100 kOhm) to VDDIO at reset. NRD Application dependent. Read Signal Pulled-up input (100 kOhm) to VDDIO at reset. NWE Application dependent. Write Enable All are pulled-up inputs (100 kOhm) to VDDIO at reset. NWR0–NWR1 Application dependent. Write Signals All are pulled-up inputs (100 kOhm) to VDDIO at reset. NBS0–NBS1 Application dependent. Byte Mask Signals All are pulled-up inputs (100 kOhm) to VDDIO at reset. NAND Flash Logic NANDOE Application dependent. NAND Flash Output Enable Pulled-up input (100 kOhm) to VDDIO at reset. NANDWE Application dependent. NAND Flash Write Enable Pulled-up input (100 kOhm) to VDDIO at reset. SDR-SDRAM Controller Logic SDCK Application dependent. SDRAM Clock Pulled-up input (100 kOhm) to VDDIO at reset. SDCKE Application dependent. SDRAM Clock Enable Pulled-up input (100 kOhm) to VDDIO at reset. SDCS Application dependent. (Pullup at VDDIO) SDRAM Controller Chip Select Pulled-up input (100 kOhm) to VDDIO at reset. BA0–BA1 Application dependent. Bank Select Pulled-up inputs (100 kOhm) to VDDIO at reset. SDWE Application dependent. SDRAM Write Enable Pulled-up input (100 kOhm) to VDDIO at reset. RAS–CAS Application dependent. Row and Column Signal Pulled-up inputs (100 kOhm) to VDDIO at reset. SDA10 Application dependent. SDRAM Address 10 Line Pulled-up input (100 kOhm) to VDDIO at reset. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1884 1 2 BA0 R459 22R B Complete Datasheet 21 20 26 31 105 109 79 89 141 142 87 144 C PE00 PE01 PE02 PE03 PE04 PE05 R476 R477 R478 R479 R480 R481 D8 D9 D10 D11 D12 D13 22R 22R 22R 22R 22R 22R 4 6 7 10 27 28 PC00 PC01 PC02 PC03 PC04 PC05 PC06 PC07 PC08 PC09 PC10 PC11 PC12 PC13 PC14 PC15 PC16 PC17 PC18 PC19 PC20 PC21 PC22 PC23 PC24 PC25 PC26 PC27 PC28 PC29 PC30 PC31 PB00 PB01 PB02 PB03 PB04 PB05 PB06 PB07 PB08 PB09 PB12 PB13 PD00 PD01 PD02 PD03 PD04 PD05 PD06 PD07 PD08 PD09 PD10 PD11 PD12 PD13 PD14 PD15 PD16 PD17 PD18 PD19 PD20 PD21 PD22 PD23 PD24 PD25 PD26 PD27 PD28 PD29 PD30 PD31 PE00 PE01 PE02 PE03 PE04 PE05 9 VREFP 8 VREFN VBG VDDPLL VDDUTMIC 139 123 VDDCORE_01 VDDCORE_02 VDDCORE_03 VDDCORE_04 VDDCORE_05 29 33 50 81 107 VDDPLLUSB VDDUTMII VDDIO_01 VDDIO_02 VDDIO_03 VDDIO_04 VDDIO_05 30 43 72 80 96 5 1 132 131 128 126 125 121 119 113 110 101 98 92 88 84 106 78 74 69 67 65 63 60 57 55 52 53 47 71 108 34 2 22R 22R 22R 22R 22R 22R 22R 22R 22R 22R 22R 22R 22R 22R 22R 22R 22R 22R 22R R468 R469 R470 R471 R472 R473 R474 R475 D0 D1 D2 D3 D4 D5 D6 D7 PC00 PC01 PC02 PC03 PC04 PC05 PC06 PC07 A PC00 PC01 PC02 PC03 PC04 PC05 PC06 PC07 PE00 PE01 PE02 PE03 PE04 PE05 PA15 PA16 R466 A0/NBS0 PC18 R448 R449 R450 R451 R452 R453 R454 R455 R456 R457 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 PC20 PC21 PC22 PC23 PC24 PC25 PC26 PC27 PC28 PC29 D0 D1 D2 D3 D4 D5 D6 D7 D8 D9 D10 D11 D12 D13 D14 D15 B J1000 SDRAM (16MBit) 22R 22R 22R 22R 22R 22R 22R R458 SDA10 R461 SDCKE R467 NWR1/NBS1 R463 RASB R464 CASB R460 SDCK R465 SDWE PD13 PD14 PD15 PD16 PD17 PD23 PD29 PC20 A2 PC21 A3 PC22 A4 PC23 A5 PC24 A6 PC25 A7 PC26 A8 PC27 A9 PC28 A10 PC29 A11 PD13 SDA10 N6 P7 P6 R6 R2 P2 P1 N2 N1 M2 N7 U701 A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 PA20 BA0 M1 PD23 SDCK PD14 SDCKE K2 CLK L1 CKE PC15 SDCS PD16 RASB PD17 CASB L7 CS K6 RAS K7 CAS PD29 SDWE J7 DQ0 DQ1 DQ2 DQ3 DQ4 DQ5 DQ6 DQ7 DQ8 DQ9 DQ10 DQ11 DQ12 DQ13 DQ14 DQ15 A11 A6 B7 C7 D7 D6 E7 F7 G7 G1 F1 E1 D2 D1 C1 B1 A2 DQ0 DQ1 DQ2 DQ3 DQ4 DQ5 DQ6 DQ7 DQ8 DQ9 DQ10 DQ11 DQ12 DQ13 DQ14 DQ15 R712 R713 R714 R715 R716 R717 R718 R719 R720 R721 R722 R723 R724 R725 R726 R727 PC00 PC01 PC02 PC03 PC04 PC05 PC06 PC07 PE00 PE01 PE02 PE03 PE04 PE05 PA15 PA16 33R 33R 33R 33R 33R 33R 33R 33R 33R 33R 33R 33R 33R 33R 33R 33R 200R 200R 200R 200R 200R 200R 200R 200R 200R 200R 200R 200R 200R 200R 200R 200R R728 R729 R730 R731 R732 R733 R734 R735 R736 R737 R738 R739 R740 R741 R742 R743 D0_LCD D1_LCD D2_LCD D3_LCD D4_LCD D5_LCD D6_LCD D7_LCD D8_LCD D9_LCD D10_LCD D11_LCD D12_LCD D13_LCD D14_LCD D15_LCD WE PC18 A0/NBS0 J6 LDQM PD15 NWR1/NBS1J2 UDQM A7 VDD R7 VDD H6 VDD 143 VDDIN GND_01 GND_02 GND_03 GND_04 GND_05 GND_06 11 38 39 40 41 58 54 48 82 86 90 94 17 19 97 18 100 103 111 117 120 122 124 127 130 133 13 12 76 16 15 14 B6 VDDQ C2 VDDQ E6 VDDQ F2 VDDQ 2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 42 44 46 48 50 52 54 56 58 60 62 64 66 1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 41 43 45 47 49 51 53 55 57 59 61 63 65 67 B2 VSSQ C6 VSSQ E2 VSSQ F6 VSSQ A1 VSS R1 VSS D 16Mbit SDRAM IS42S16100H-7BLI SDRAM Title DS60001527F-page 1885 Size Number Revision B Date: File: 1 2 3 4 C 5 11/20/2018 Sheet of D:\ALTIUM_WORK\..\RESISTIVE TERMINATION Drawn By: DIAGRAM.SchDoc 6 Schematic Checklist D 6 SAM E70/S70/V70/V71 PA00 PA01 PA02 PA03 PA04 PA05 PA06 PA07 PA08 PA09 PA10 PA11 PA12 PA13 PA14 PA15 PA16 PA17 PA18 PA19 PA20 PA21 PA22 PA23 PA24 PA25 PA26 PA27 PA28 PA29 PA30 PA31 83 NRST 85 TST 104 JTAGSEL 44 61 95 115 135 138 5 ATSAME70Q21B-ANT U400 137 HSDP 136 HSDM 140 4 68 69 PA20 22R 22R 3 VDDOUT R482 R483 PA15 D14 PA16 D15 rotatethispage90 3 A 102 99 93 91 77 73 114 35 36 75 66 64 68 42 51 49 45 25 24 23 22 32 37 46 56 59 62 70 112 129 116 118 134 and its subsidiaries © 2021 Microchip Technology Inc. Figure 60-3. Schematic Example with a 16 Mb/16-bit SDRAM SAM E70/S70/V70/V71 Schematic Checklist Figure 60-4. Schematic Example with a 2 Gb/8-bit NAND Flash VDDIO VDDIO NFC RE WE CE NFC CLE ALE R/B R 47k R 47k NAND FLASH NANDOE PC9 PC10 NANDWE WE PC12 NCS3/NANDCS (or Any PIO) CE PC17 NANDCLE CLE PC16 NANDALE Pxx ALE (Any PIO) EBI D7 D6 D5 D4 D3 D2 D1 D0 I/O-7 I/O-6 I/O-5 I/O-4 I/O-3 I/O-2 I/O-1 I/O-0 RE PC7 PC6 PC5 PC4 PC3 PC2 PC1 PC0 D7 D6 D5 D4 D3 D2 D1 D0 EBI VDDIO R/B VCC VCC WP C 100n NC0 NC1 NC2 NC3 NC4 .... .... NCn NC NC NC NC GND C 100n GND C 1u GND GND GND GND 60.2.10 High-Speed Multimedia Card Interface (HSMCI) Signal Name Recommended Pin Connection Description MCCK Application dependent Multimedia Card Clock Pulled-up input (100 kOhm) to VDDIO at reset. MCCDA Application dependent (Pullup at VDDIO) Multimedia Card Slot A Command Pulled-up input (100 kOhm) to VDDIO at reset. MCDA0–MCDA3 Application dependent (Pullup at VDDIO) Multimedia Card Slot A Data Pulled-up inputs (100 kOhm) to VDDIO at reset. Figure 60-5. Schematic Example with SD/MMC Card Interface VDDIO R 10k R 10k VDDIO R 100k R 100k R 100k R 100k R 10k VDDIO HSMCI MCDA0 MCDA1 MCDA2 MCDA3 HSM CI MCCK MCCDA DETECT VDDIO SD/MMC Card socket PA30 PA31 PA26 PA27 MCDA0 MCDA1 MCDA2 MCDA3 7 8 9 1 PA25 MCCK PA28 MCCDA 5 2 Pxx (Any PIO) 10 12 11 GND DAT0 DAT1 DAT2 DAT3 VDD VSS1 VSS2 SHELL SHELL SHELL SHELL C 10uF C 100n 3 6 GND GND CLK CM D C/D W /P COM 4 GND 13 14 15 16 GND 60.2.11 QSPI Interface Signal Name Recommended Pin Connection Description QSCK Application dependent. QSPI Serial Clock Pulled-up input (100 kOhm) to VDDIO at reset. QCS Application dependent. (Pullup at VDDIO) QSPI Chip Select Pulled-up input (100 kOhm) to VDDIO at reset. QIO0–QIO3 Application dependent. QSPI I/O Pulled-up inputs (100 kOhm) to VDDIO at reset. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1886 SAM E70/S70/V70/V71 Schematic Checklist Figure 60-6. Schematic Example with QSPI Data Flash VDDIO QSPI QSPI IO0 IO1 IO2 IO3 CLK CS PA13 PA12 PA17 PD31 PA14 PA11 QIO0 QIO1 QIO2 QIO3 QSCK QCS 5 2 3 7 6 1 R605 100k VDDIO SI/IO0 VCC SO/IO1 W P/IO2 HOLD/IO3 SCK VSS CS PAD (NC) 8 C 100n 4 0 PAD GND 60.2.12 Other Interfaces Signal Name Recommended Pin Connection Description Universal Synchronous Asynchronous Receiver Transmitter SCKx Application dependent. USARTx Serial Clock Pulled-up inputs (100 kOhm) to VDDIO at reset. TXDx Application dependent. USARTx Transmit Data Pulled-up inputs (100 kOhm) to VDDIO at reset. RXDx Application dependent. USARTx Receive Data Pulled-up inputs (100 kOhm) to VDDIO at reset. RTSx Application dependent. USARTx Request To Send Pulled-up inputs (100 kOhm) to VDDIO at reset. CTSx Application dependent. USARTx Clear To Send Pulled-up inputs (100 kOhm) to VDDIO at reset. DTRx Application dependent. USARTx Data Terminal Ready Pulled-up inputs (100 kOhm) to VDDIO at reset. DSRx Application dependent. USARTx Data Set Ready Pulled-up inputs (100 kOhm) to VDDIO at reset. DCDx Application dependent. USARTx Data Carrier Detect Pulled-up inputs (100 kOhm) to VDDIO at reset. RIx Application dependent. USARTx Ring Indicator Pulled-up inputs (100 kOhm) to VDDIO at reset. LONCOL1 Application dependent. LON Collision Detection Pulled-up input (100 kOhm) to VDDIO at reset. Synchronous Serial Controller TD Application dependent. SSC Transmit Data Pulled-up input (100 kOhm) to VDDIO at reset. RD Application dependent. SSC Receive Data Pulled-up input (100 kOhm) to VDDIO at reset. TK Application dependent. SSC Transmit Clock Pulled-up input (100 kOhm) to VDDIO at reset. RK Application dependent. SSC Receive Clock I Pulled-up input (100 kOhm) to VDDIO at reset. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1887 SAM E70/S70/V70/V71 Schematic Checklist ...........continued Signal Name Recommended Pin Connection Description TF Application dependent. SSC Transmit Frame Sync Pulled-up input (100 kOhm) to VDDIO at reset. RF Application dependent. SSC Receive Frame Sync Pulled-up input (100 kOhm) to VDDIO at reset. Image Sensor Interface ISI_D0–ISI_D11 Application dependent. Image Sensor Data (Signal can be level-shifted Pulled-up inputs (100 kOhm) to VDDIO at reset. depending on the image sensor characteristics) ISI_MCK Application dependent. Image sensor reference clock. No dedicated signal, (Signal can be level-shifted PCK1 can be used. depending on the image sensor Pulled-up input (100 kOhm) to VDDIO at reset. characteristics) ISI_HSYNC Application dependent. Image sensor horizontal synchro (Signal can be level-shifted Pulled-up input (100 kOhm) to VDDIO at reset. depending on the image sensor characteristics) ISI_VSYNC Application dependent. Image sensor vertical synchro (Signal can be level-shifted Pulled-up input (100 kOhm) to VDDIO at reset. depending on the image sensor characteristics) ISI_PCK Application dependent. Image sensor data clock (Signal can be level-shifted Pulled-up input (100 kOhm) to VDDIO at reset. depending on the image sensor characteristics) Timer/Counter TCLKx Application dependent. TC Channel x External Clock Input Pulled-up inputs (100 kOhm) to VDDIO at reset. TIOAx Application dependent. TC Channel x I/O Line A Pulled-up inputs (100 kOhm) to VDDIO at reset. TIOBx Application dependent. TC Channel x I/O Line B Pulled-up inputs (100 kOhm) to VDDIO at reset. Pulse Width Modulation Controller PWMC0_PWMHx PWMC1_PWMHx Application dependent. Waveform Output High for Channel x Pulled-up inputs (100 kOhm) to VDDIO at reset. PWMC0_PWMLx PWMC1_PWMLx Application dependent. Waveform Output Low for Channel x Pulled-up inputs (100 kOhm) to VDDIO at reset. PWMC0_PWMFI0– PWMC0_PWMFI2 Application dependent. Fault Inputs Pulled-up inputs (100 kOhm) to VDDIO at reset. PWMC1_PWMFI0– PWMC1_PWMFI2 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1888 SAM E70/S70/V70/V71 Schematic Checklist ...........continued Signal Name Recommended Pin Connection Description PWMC0_PWMEXTRG0 Application dependent. PWMC0_PWMEXTRG1 External Trigger Inputs Pulled-up inputs (100 kOhm) to VDDIO at reset. PWMC1_PWMEXTRG0 PWMC1_PWMEXTRG1 Serial Peripheral Interface SPIx_MISO Application dependent. Host In Client Out Pulled-up inputs (100 kOhm) to VDDIO at reset. SPIx_MOSI Application dependent. Host Out Client In Pulled-up inputs (100 kOhm) to VDDIO at reset. SPIx_SPCK Application dependent. SPI Serial Clock Pulled-up inputs (100 kOhm) to VDDIO at reset. SPIx_NPCS0 Application dependent. (Pullup at VDDIO) SPI Peripheral Chip Select 0 Pulled-up inputs (100 kOhm) to VDDIO at reset. SPIx_NPCS1– SPIx_NPCS3 Application dependent. (Pullup at VDDIO) SPI Peripheral Chip Select Pulled-up inputs (100 kOhm) to VDDIO at reset. Two-Wire Interface TWDx Application dependent. TWIx Two-wire Serial Data (4.7kOhm Pulled-up on VDDIO) Pulled-up inputs (100 kOhm) to VDDIO at reset. TWCKx Application dependent. TWIx Two-wire Serial Clock (4.7kOhm Pulled-up on VDDIO) Pulled-up inputs (100 kOhm) to VDDIO at reset. Fast Flash Programming Interface 60.3 PGMEN0–PGMEN1 To enter in FFPI mode TST pins must be tied to VDDIO. Programming Enabling Pulled-up inputs (100 kOhm) to VDDIO at reset. PGMM0–PGMM3 Application dependent. Programming Mode Pulled-up inputs (100 kOhm) to VDDIO at reset. PGMD0–PGMD15 Application dependent. Programming Data Pulled-up inputs (100 kOhm) to VDDIO at reset. PGMRDY Application dependent. Programming Ready Pulled-up input (100 kOhm) to VDDIO at reset. PGMNVALID Application dependent. Data Direction Pulled-up input (100 kOhm) to VDDIO at reset. PGMNOE Application dependent. Programming Read Pulled-up input (100 kOhm) to VDDIO at reset. PGMNCMD Application dependent. Programming Command Pulled-up input (100 kOhm) to VDDIO at reset. Boot Program Hardware Constraints Refer to 17. SAM-BA Boot Program for more details on the boot program. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1889 SAM E70/S70/V70/V71 Schematic Checklist 60.3.1 Boot Program Supported Crystals (MHz) A 12 MHz or a 16 MHz crystal or external clock (in Bypass mode) is mandatory in order to generate USB and PLL clocks correctly for the following boots. 60.3.2 SAM-BA Boot The SAM-BA Boot Assistant supports serial communication via the UART or USB device port: • UART0 hardware requirements: None • USB Device hardware requirements: Eexternal crystal or external clock (see Note) with a frequency of 12 MHz or 16 MHz Note:  Must be 2500 ppm and VDDIO square wave signal. Table 60-1. Pins Driven During SAM-BA Boot Program Execution Peripheral Pin UART0 URXD0 UART0 UTXD0 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1890 SAM E70/S70/V70/V71 Marking 61. Marking All devices are marked with the Atmel logo and the ordering code. Additional marking is as follows: YYWW V XXXXXXXXX ARM where, • • • • “YY”: Manufacturing year “WW”: Manufacturing week “V”: Revision “XXXXXXXXX”: Lot number © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1891 SAM E70/S70/V70/V71 Packaging Information 62. Packaging Information 62.1 LQFP144, 144-lead LQFP LQFP144, 144-lead LQFP, 20x20 mm, pitch 0.5 mm © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1892 SAM E70/S70/V70/V71 Packaging Information Table 62-1. Device and LQFP Package Maximum Weight 1365 mg Table 62-2. LQFP Package Reference JEDEC Drawing Reference JEDEC J-STD-609 Classification e3 This package respects the recommendations of the NEMI user group. For up-to-date packaging information, visit www.microchip.com/packaging. 62.2 LFBGA144, 144-ball LFBGA LFBGA144, 144-ball LFBGA, 10x10 mm, pitch 0.8 mm © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1893 SAM E70/S70/V70/V71 Packaging Information © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1894 SAM E70/S70/V70/V71 Packaging Information Table 62-3. Device and LFBGA Package Maximum Weight 220 mg Table 62-4. LFBGA Package Reference JEDEC Drawing Reference JEDEC JESD97 Classification e8 This package respects the recommendations of the NEMI user group. For up-to-date packaging information, visit www.microchip.com/packaging. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1895 SAM E70/S70/V70/V71 Packaging Information 62.3 TFBGA144, 144-ball TFBGA TFBGA144, 144-ball TFBGA, 10x10mm, pitch 0.8 mm TOP VIEW lclddd le� -=-11- � I//I bbb le PIN A1 CORNER \ 1 I � 0 3 4 5 7 6 8 9 10 11 12 EB 00000000000 -EB 00000000000 [d 0 2 000000000000 000000000000 000000000000 000000000000 000000000000 000000000000 000000000000 000000000000 000000000000 000000000 $ T. � � E1 Al lcl aaa(4X) '- T. [ID M E BOTTOM VIEW I- -A ► I A1 - s C M L K J H F E D C B A l+I '\.._ lllb(n X) llleee@lclAIBI 1111m @lcl Symbol I I X y E D Ball Pitch : e A I Maid Thickness : M 0.530 Ref. Substrate Thickness : s 0.260 Ref. A1 stand Off : b 0.2201 0.3201 - Package Edge Tolerance : aaa 0.150 0.200 Maid Parallelism : bbb Coplanarfty: ddd Ball Offset (Package ) : eee 0.150 Ball Offset (Ball) : fff 0.080 Ball Count : Edge Ball Center ta Center : Atme[ 11.200 0.350 Ball Width : TITLE 10.000 10. 1111 0.800 Total Thickness : Ball Diameter : Balls: Body: © 2021 Microchip Technology Inc.Pitch: Ball and its subsidiaries Ball Diameter: I NOM, I MAX. TFBGA Package : Body Size: Common Dimensions MIN. X y E1 D1 lo.420 0.080 144 8.800 8.800 GPC:I CGQ Drawing No.: TFBGA 144 _CGQ_01 A REV.: DS60001527F-page 1896 6/28 Date: /2016 Jedec Code: Thin Fine Pitch Ball Grid Array 144 1 0x1 0x1 .2 mm Complete Datasheet 0.8 mm 0.35 mm n I I l o.320 SAM E70/S70/V70/V71 Packaging Information Table 62-5. Device and TFBGA Package Maximum Weight 220 mg Table 62-6. TFBGA Package Reference JEDEC Drawing Reference JEDEC J-STD-609 Classification e8 This package respects the recommendations of the NEMI user group. For up-to-date packaging information, visit www.microchip.com/packaging. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1897 SAM E70/S70/V70/V71 Packaging Information 62.4 UFBGA144, 144-ball UFBGA UFBGA144, 144-ball UFBGA, 6x6 mm, pitch 0.4 mm Table 62-7. Device and UFBGA Package Maximum Weight 36.300 © 2021 Microchip Technology Inc. and its subsidiaries mg Complete Datasheet DS60001527F-page 1898 SAM E70/S70/V70/V71 Packaging Information Table 62-8. UFBGA Package Reference JEDEC Drawing Reference JEDEC JESD97 Classification e8 This package respects the recommendations of the NEMI user group. For up-to-date packaging information, visit www.microchip.com/packaging. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1899 SAM E70/S70/V70/V71 Packaging Information 62.5 LQFP100, 100-lead LQFP LQFP100, 100-lead LQFP, 14x14 mm, pitch 0.5 mm Table 62-9. Device and LQFP Package Maximum Weight 680 mg Table 62-10. LQFP Package Reference JEDEC Drawing Reference JEDEC J-STD-609 Classification e3 This package respects the recommendations of the NEMI user group. For up-to-date packaging information, visit www.microchip.com/packaging. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1900 SAM E70/S70/V70/V71 Packaging Information 62.6 TFBGA100, 100-ball TFBGA TFBGA100, 100-ball TFBGA, 9x9 mm, pitch 0.8 mm BOTTOM VIEW TOP VIEW A1 CORNER 1 2 3 4 5 6 A1 CORNER @C T 910.15 @ C A B ____l'.6__ 7 8 9 10 10 A 910.08 910.35~910.45(1OOX) 9 8 7 6 5 4 3 -,----+---ffl @ @ 0 0 0 0 0 0 I{) 0 c:i +I 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 A B C D E F G H J K A (.) Qi 0::: 0 ('J ��-��-�----+---�_j_ � t +- u.. · w 0::: --�-, -,-, -s-, -T-N1 -G ,-+ - -NEA L6- £1 c -"- , - , - , - , -I : I � IO x � C c:i E 2 I{) 0 ('J � c:i Ball Pitch Substrate Thickness 0.80 0.21 Ball Diameter Mold Thickness 0.4 0.53 Table 62-11. Device and TFBGA Package Maximum Weight 142 mg Table 62-12. TFBGA Package Reference Atme[ TITLE JEDEC 100 J-STD-609 Classification e8 Body: Ball Pitch: Ball Diameter: © 2021 Microchip Technology Inc. and its subsidiaries GPC: CPR Drawing No.: TFBGA100_CPR_01 REV.: A 6/28/2016 Date: Jedec Code: Thin Fine Pitch Ball Grid Array JEDEC Drawing Reference Balls: 9x9x1.1 mm 0.8 mm 0.4 mm Complete Datasheet DS60001527F-page 1901 SAM E70/S70/V70/V71 Packaging Information This package respects the recommendations of the NEMI user group. For up-to-date packaging information, visit www.microchip.com/packaging. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1902 SAM E70/S70/V70/V71 Packaging Information 62.7 VFBGA100, 100-ball VFBGA VFBGA100, 100-ball VFBGA, 7x7 mm, pitch 0.65 mm Table 62-13. Device and VFBGA Package Maximum Weight 76 © 2021 Microchip Technology Inc. and its subsidiaries mg Complete Datasheet DS60001527F-page 1903 SAM E70/S70/V70/V71 Packaging Information Table 62-14. VFBGA Package Reference JEDEC Drawing Reference JEDEC JESD97 Classification e8 This package respects the recommendations of the NEMI user group. For up-to-date packaging information, visit www.microchip.com/packaging. 62.8 LQFP64, 64-lead LQFP LQFP64, 64-lead LQFP, 10x10 mm, pitch 0.5 mm Table 62-15. Device and LQFP Package Maximum Weight 370 mg Table 62-16. LQFP Package Reference JEDEC Drawing Reference JEDEC J-STD-609 Classification e3 This package respects the recommendations of the NEMI user group. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1904 SAM E70/S70/V70/V71 Packaging Information For up-to-date packaging information, visit www.microchip.com/packaging. 62.9 QFN64, 64-pad QFN QFN64, 64-pad QFN 9x9 mm, pitch 0.5 mm with wettable flanks �1 I @] 64 C SEATING PLANE M B TOTAL lHICKNESS STAND OFF i.10LD lHICKNESS /F lHICKNESS EAD WIDlH PIN 1 CORNER 30DY SIZE EAD PITCH + P SIZE E A1 R (A3) O!aaa!C I I I I SYMBOL A A1 A2 A3 X y X y EAD LENGlH �ACKAGE EDGE TOLERANCE i.10LD FLATNESS OPLANARITY EAD OFFSET XPOSED PAD OFFSET �ALF-CUT DEPlH �ALF-CUT WIDlH M b D E e J K L aaa ION 0.8 0 --0.2 7.5 7.5 0.35 bbb CCC ddd eee R s 0.1 --- NOM llAX 0.9 0.85 0.035 0.05 --0.65 0.203 REF 0.3 0.25 9 BSC 9 BSC 0.5 BSC 7.6 7.7 7.6 7.7 0.4 0.45 0.1000 0.1000 0.0800 0.1000 0.1000 0.125 0.15 --0.04 TOP VIEW K l ♦leeelCIAIBI -l l- 64X b BOTTOM VIEW l 17 ♦lddd@ICIAIBI EXPOSED DIE ATTACH PAD YJEW M-M Atme( TITLE Table 62-17. Device and QFN Package Maximum Weight Pins: 228 Quad Flat No Lead Package Body: Lead Pitch:mg 641 9x9x0.9lmm 0.51mm !Drawing No.: IREV.: I Date: Jedec Code: GPC:I Z TC R-QFN064_W A 7/3/2014 Table 62-18. QFN Package Reference JEDEC Drawing Reference JEDEC JESD97 Classification e3 This package respects the recommendations of the NEMI user group. For up-to-date packaging information, visit www.microchip.com/packaging. 62.10 Soldering Profile The following table provides the recommended soldering profile from J-STD-020C. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1905 SAM E70/S70/V70/V71 Packaging Information Table 62-19. Soldering Profile Profile Feature Green Package Average Ramp-Up Rate (217°C to Peak) 3°C/sec. max. Preheat Temperature 175°C ± 25°C 180 sec. max. Temperature Maintained Above 217°C 60 sec. to 150 sec. Time within 5°C of Actual Peak Temperature 20 sec. to 40 sec. Peak Temperature Range 260°C Ramp-down Rate 6°C/sec. max. Time 25°C to Peak Temperature 8 min. max. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1906 SAM E70/S70/V70/V71 Revision History 63. Revision History Table 63-1. Rev. F - 11/2021 Section Name or Type Update Description General The I2C, SPI and I2S standards use the terminology "Master" and "Slave.” The equivalent Microchip terminology used in this document is "Host" and "Client" respectively. Terminology used in this document may not match with the contents of other Microchip documentation, previous versions of this document, and collateral. For any questions or concerns regarding terminology, contact a Microchip support or sales representative. This revision contains numerous typographical updates throughout the document. All other updates are listed as follows. Ordering Information Updated the Ordering Information diagram to show ANB. Signal Description Added a new comment for XIN, XOUT, XIN32, and XOUT32. Package and Pinout Minor typographical updates were done to the GTSUCOMP entries in the following tables: • 144-Lead Package Pinout • 100- Lead Package Pinout • 64-Lead Package Pinout The 64-Lead Package Pinout had a new note added stating limitations, and a table for USART Functionality was added. Input/Output Lines • • In System I/O Lines a new note was added to the table System I/O Configuration Pin List In ERASE Pin the third line item was replaced with new text MATRIX Added a new paragraph to the description for the MATRIX_SCFGx Register RSWDT Added a Caution note to the Functional Description. PMC • • Added a new paragraph to SysTick External Clock Updated the Register reset for PMC_SR PIO • Updated the Port n I/O Line Control Logic figure to reflect proper ‘n’ values in Functional Description Updated register numbering in the following sections: – I/O Line or Peripheral Function Selection – Peripheral A, B, C, or D Selection – Output Control – I/O Lines Lock – I/O Lines Programming Example Updated the numbering on the following registers: – PIO_ABCDSR0 – PIO_ABCDSR1 – PIO_DRIVER • • XDMAC Replaced the text in Suspending a Channel. GMAC Updated the following registers with a new property and new bitfield properties: • GMAC_ISRPQx © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1907 SAM E70/S70/V70/V71 Revision History ...........continued Section Name or Type Update Description USBHS • • Added a note to the Description of USB Pipes/Endpoints table in Description Updated the USBHS_HSTPIPCFGx register with new bitfield numbering for PEPNUM QSPI Updated the QSPI_MR register with proper naming for mentioned registers. I2SC Updated the Signal naming in the diagrams for the following sections: • I2S Reception and Transmission Sequence • I2SC Application Examples USART • • • Simplified the language in Description Corrected the name of an I/O line in I/O Lines Description Removed erroneous text from Modem Mode PWM • • Updated the Signal naming in the Block Diagram Updated the Half-Bridge Converter Application: Feedback Regulation figure in PWM Push-Pull Mode Updated the Fault Protection figure with new signal numbering in Fault Protection Updated register numbering in Recoverable Fault Updated the register numbering in the External Event Source Selection table in PWM External Trigger Mode Updated register numbering in Application Example Updated the PWM_ETRGx Register with new information for the TRGSRC bitfield • • • • • AFEC Updated the AFEC_COSR Register with a new bit information for the CSEL bit. TRNG Updated Functional Description with text for inserting a 100 ms delay. Electrical Characteristics for SAM V70/V71 • • Updated the note to the VDDIO Supply Monitor table in DC Characteristics Added TRNG Warm-Up Time Electrical Characteristics for SAM E70/S70 • • Updated the note to the VDDIO Supply Monitor table in DC Characteristics Added TRNG Warm-Up Time Schematic Checklist • Updated the table in Serial Wire Debug Interface with the proper Ohm (Ω) numbers, and updated the following figures with proper numbering for K – SWD Schematic Example with a 10-pin Connector – SWD Schematic Example with a 20-pin Connector Updated PIOs with new text for XIN32 and XOUT32 • Table 63-2. Rev. E - 12/2020 Section Name or Type Update Description Features Updated 256 MB to 128 MB for the Memories section. Configuration Summary Removed USB type for LQFP Packages Pinout Corrected values in the Signal column for the 64-Lead Package Pinout Power Considerations Product Mapping • • Removed an erroneous figure reference. © 2021 Microchip Technology Inc. and its subsidiaries Updated Power Supplies Added a Note to the end of the Backup Mode section Complete Datasheet DS60001527F-page 1908 SAM E70/S70/V70/V71 Revision History ...........continued Section Name or Type Debug and Test Features Update Description Added information regarding multidrop support to the second item in Embedded Characteristics SAM-BA Boot Program • • Updated the formatting of Embedded Characteristics to read properly Updated the temperature verbiage in Hardware and Software Constraints FFPI • Updated Device Configuration with new information for the Oscillator in Bypass mode Updated Flash Write Command with additional text for the WP Command • EFC • • Updated Embedded Flash Organization with new information for the Flash Address Space Updated the following Sections with new information for Flash error: – Write Commands – Erase Commands – Lock Bit Protection – GPNVM Bit – User Signature Area SUPC Added a note to the Supply Monitor section RSTC Updated General Reset with a new signal for NRST on the General Reset Timing Diagram PMC • • • Updated Main Crystal Oscillator in the Clock Generator section with new SLCK periods Updated the Register Summary to properly display Updated the bit numbering in the 31.20.23. PMC_PCER1 and 31.20.25. PMC_PCSR1 Registers SDRAMC Updated the table for the CAS bit for the SDRAMC_CR Register SMC Updated the Register Offsets and Offset Equations for Proper display for the following registers: • SMC_CYCLE • SMC_PULSE • SMC_MODE • SMC_SETUP XDMAC Updated 36.4. DMA Controller Peripheral Connections with new information in the table for channel 1, transmit 31 GMAC • • © 2021 Microchip Technology Inc. and its subsidiaries Updated MAC Transmit Block with the removal of erroneous text Updated the register properties for the following registers: – OTLO – OTHI – FT – BCFT – MFT – ORLO – ORHI – FR – BCFR – MFR Complete Datasheet DS60001527F-page 1909 SAM E70/S70/V70/V71 Revision History ...........continued Section Name or Type Update Description USBHS Updated the SPEED bit description in the USBHS_SR Register HSMCI Updated the following registers: • HSMCI_RSPR: Added a new note • HSMCI_FIFOx: New register offset QSPI • • Updated the Description with new text for Master Mode Updated the WDRBT Bit description in the QSPI_MR Register TWIHS Added a description to the LOCKCLR bit in the TWIHS_CR register MCAN Updated the reset property for the MCAN_CREL Register AFEC Added a new table to the TRANSFER bit of the AFEC_MR register Electrical Characteristics for SAM V70/V71 • • • Updated the VDDIO Supply Monitor Table with a new note Updated the table in 32.768 kHz Crystal Oscillator Characteristics Updated the Max Spec in the table for XIN32 Clock Characteristics in Bypass Mode Electrical Characteristics for SAM E70/S70 • • • Updated the VDDIO Supply Monitor Table with a new note Updated the table in 32.768 kHz Crystal Oscillator Characteristics Updated the Max Spec in the table for XIN32 Clock Characteristics in Bypass Mode Table 63-3. Rev. D - 02/2019 Section Name or Type Update Description Signal Description Updated the Signal Description List. Input/Output Lines Updated text in ERASE Pin. Memories Updated text in Embedded Flash Overview. Peripherals Updated the table Peripheral Identifiers. Enhanced Embedded Flash Controller (EEFC) Updated text in: • Write Commands • Erase Commands • EEFC_FCR register for the FCMD bit Power Management Controller (PMC) Corrected the bitfield for the PCKRDY7 bit in the PMC_IMR register. External Bus Interface (EBI) Corrected erroneous voltage description. Quad Serial Peripheral Interface (QSPI) Corrected the missing bitfield WIDTH in QSPI_IFR. Timer Counter (TC) Corrected the Register offset for TC_QIDR. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1910 SAM E70/S70/V70/V71 Revision History ...........continued Section Name or Type Electrical Characteristics for SAM V70/V71 Update Description • • • • • • • 59. Electrical Characteristics for SAM E70/S70 • • • • • • • • Schematic Checklist Updated the DC Characteristics table Updated the Power Consumption description Updated the Flash Characteristics table in Embedded Flash Characteristics Corrected text and equations under Maximum SPI Frequency for Master Read Mode and Slave Write Mode Updated the SPI Timings section Updated SMC Timings and the sub-sections Read Timings and Write Timings Updated USART SPI Timings Updated the DC Characteristics table Updated the Power Consumption description Updated Embedded Flash Characteristics Corrected text and equations under Maximum SPI Frequency for Master Read Mode and Slave Write Mode Updated the SPI Timings section Updated SMC Timings and the sub-sections Read Timings and Write Timings Updated USART SPI Timings Updated SSC Timings section with corrections to Timing Conditions Updated the schematics in Memory Controllers. Table 63-4. Rev. C - 10/2018 Section Name or Type General Updates Update Description • • • © 2021 Microchip Technology Inc. and its subsidiaries PMC - Added missing PCKRDY7, which is missing in the PMC_IER, PMC_IDR and PMC_SR registers. MCAN - Changed reset value for the MCAN_CREL register. AFE - Changed CHNB bit field offset in the AFEC_LCDR register Complete Datasheet DS60001527F-page 1911 SAM E70/S70/V70/V71 Revision History ...........continued Section Name or Type Update Description Package Drawings Added the following package mechanical drawings: • LQFP144, 144-lead LQFP, 20x20 mm, pitch 0.5 mm • LFBGA144, 144-ball LFBGA, 10x10 mm, pitch 0.8 mm • TFBGA144, 144-ball TFBGA, 10x10 mm, pitch 0.8 mm • UFBGA144, 144-ball UFBGA, 6x6 mm, pitch 0.4 mm • LQFP100, 100-lead LQFP, 14x14 mm, pitch 0.5 mm • TFBGA100, 100-ball TFBGA, 9x9 mm, pitch 0.8 mm • VFBGA100, 100-ball VFBGA, 7x7 mm, pitch 0.65 mm • LQFP64, 64-lead LQFP, 10x10 mm, pitch 0.5 mm • QFN64, 64-pad QFN 9x9 mm, pitch 0.5 mm, with wettable flanks Table 63-5. Rev. B - 05/2018 Section Name or Type Update Description General Updates Updated “Package and Pinout” and “Electrical Characteristics” sections to fix issues after merging individual data sheets. Table 63-6. Rev. A - 04/2018 Section Name or Type Update Description General Updates • • • • Updated from Atmel to Microchip style and template Literature number: was changed from the Atmel 44003E to a Microchip DS number Data sheet revision letter restarted to "A" ISBN number added Table 63-7. SAM E70/S70/V70/V71 Datasheet Rev. 44003E – Revision History Date Changes 12-Oct-16 Removed Preliminary status from the data sheet. Renamed instances of Timer Counter (TC) in: - Figure 10-1 “Product Mapping” - Table 12-1 “Real-time Event Mapping List” - Table 14-1 “Peripheral Identifiers” Restructured Section 1. ”Description”. Table 2-1 “Configuration Summary” : Added Note (3) on USART/UART functionality. Reorganized table notes. Table 6-3 “64-lead LQFP Package Pinout” : deleted signal names for pins 50, 51, 53 and 54 for PIO Peripheral D. (now unassigned) Table 14-1 “Peripheral Identifiers” : TWIHS0/1 instances read now as I2C-compatible. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1912 SAM E70/S70/V70/V71 Revision History ...........continued Date Changes Section 15. ”ARM Cortex-M7” Number of IRQs changed to 74 in Table 15-3 “ARM Cortex-M7 Configuration” and Section 15.4.6.3 ”Interrupt Program Status Register”. Section 23. ”Supply Controller (SUPC)” Section 23.4.10 ”Register Write Protection”: in list of protectable registers, removed “System Controller Write Protection Mode Register”. Section 24. ”Watchdog Timer (WDT)” Removed references to LOCKMR in Section 24.4 ”Functional Description”, Section 24.5.1 ”Watchdog Timer Control Register” and Section 24.5.2 ”Watchdog Timer Mode Register”. Section 24.5.2 ”Watchdog Timer Mode Register”: corrected access to ‘Read/Write Once’. Section 27. ”Real-time Clock (RTC)” Reworked Positive Correction section in Figure 27-5 “Calibration Circuitry Waveforms”. Section 30. ”Clock Generator” Updated Section 30.5.2 ”Main RC Oscillator Frequency Adjustment” Section 31. ”Power Management Controller (PMC)” Figure 31-1 “General Clock Distribution Block Diagram”: updated PMC_PCR block. Section 31.4 ”Master Clock Controller”: added note concerning fields MDIV and CSS. “Core and Bus Independent Clocks for Peripherals” now Section 31.8 (was Section 32.12). Table 31-1 “Clock Assignments” : added note on PCKx requirements. Section 31.9 ”Peripheral and Generic Clock Controller”: changed title (was “Peripheral Clock Controller”) and updated content regarding generic clock. Section 31.12 ”Programmable Clock Output Controller”: in second paragraph, modified range of selectable Output Signal dividing values from “a power of 2 between 1 and 64” to “1 to 256”. Section 31.17 ”Recommended Programming Sequence”: in Step 8, modified range of PCKx prescaler selectable values from “1, 2, 4, 8, 16, 32, 64” to “1 to 256”. Table 31-4 “Register Mapping” : defined 0x0040_4040 as PMC_OCR reset value; deleted footnote “The reset value depends on factory settings.” Section 31.20.1 ”PMC System Clock Enable Register”, Section 31.20.2 ”PMC System Clock Disable Register” and Section 31.20.3 ”PMC System Clock Status Register”: bit 15 modified to PCK7 (was ‘reserved’). Section 31.20.10 ”PMC Clock Generator PLLA Register”: changed DIVA description for value ‘0’. cont’d on next page 12-Oct-16 Section 33. ”External Bus Interface (EBI)” Table 33-1 “EBI I/O Lines Description” : added Note (1) on SDCK. Section 34. ”SDRAM Controller (SDRAMC)” Section 34.7.3 ”SDRAMC Configuration Register”: in TWO_CS description, added “This feature is not supported when SDR-SDRAM device embeds two internal banks.” Updated description tables for NC and NR fields. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1913 SAM E70/S70/V70/V71 Revision History ...........continued Date Changes Section 36. ”DMA Controller (XDMAC)” Table 36-1 “Peripheral Hardware Requests” : replaced line with ‘DACC - Transmit - 30’ by two lines ‘DACC0 - Transmit - 30’ and ‘DACC1 - Transmit - 31’ Added information regarding XDMAC_CC.INITD in Section 36.8 ”XDMAC Software Requirements” and Section 36.9.28 ”XDMAC Channel x [x = 0..23] Configuration Register”. Section 36.9.3 ”XDMAC Global Weighted Arbiter Configuration Register”: replaced “XDMAC scheduler” with “DMAC scheduler” throughout. Section 39. ”Ethernet MAC (GMAC)” Section 39.2 ”Embedded Characteristics”: deleted queue sizes (now found in Table 39-5 “Queue Size” ). Section 39.6.3.9 ”Priority Queueing in the DMA”: added Table 39-5 “Queue Size” and updated queue sizes. Section 39.6.15 ”Time Stamp Unit”: changed pin reference from “TIOB11/PD22” to “TIOA11/PD21”. Section 39.6.18 ”Energy-efficient Ethernet Support”: removed all references to Gigabit Ethernet. Updated Section 39.6.19 ”802.1Qav Support - Credit-based Shaping”: added definitions of portTransmitRate and IdleSlope; updated content on queue priority management. Section 39.6.20 ”LPI Operation in the GMAC”: Updated steps for transmit and receive paths. Section 39.8.1 ”GMAC Network Control Register” changed description of NRTSM bit. Section 39.8.107 ”GMAC Received LPI Time” and Section 39.8.109 ”GMAC Transmit LPI Time”: corrected ‘PCLK’ to ‘MCK” in field description. Section 39.8.115 ”GMAC Credit-Based Shaping IdleSlope Register for Queue A” and Section 39.8.116 ”GMAC Credit-Based Shaping IdleSlope Register for Queue B”: updated example for calculation of IdleSlope. Section 41. ”Serial Peripheral Interface (SPI)” Section 41.7.4 ”SPI Slave Mode”: added paragraph on SFERR flag. Updated Section 41.7.5 ”Register Write Protection”. Section 41.8.1 ”SPI Control Register”: below register table, added “This register can only be written if the WPCREN bit is cleared in the SPI Write Protection Mode Register.” Section 41.8.6 ”SPI Interrupt Enable Register”, Section 41.8.7 ”SPI Interrupt Disable Register”: below each register table, added “This register can only be written if the WPITEN bit is cleared in the SPI Write Protection Mode Register.” Section 41.8.5 ”SPI Status Register”: added bit SFERR at index 12 and bit description. Section 41.8.10 ”SPI Write Protection Mode Register”: added bit WPITEN at index 1 and bit description. Added bit WPCREN at index 2 and bit description. 12-Oct-16 Section 42. ”Quad Serial Peripheral Interface (QSPI)” Section 42.1 ”Description”: added Note on device support. Section 42.6.5 ”QSPI Serial Memory Mode”: updated text on data transfer constraint. Figure 42-9 “Instruction Transmission Flow Diagram”: corrected typos: --- “Wait for flag QSPI_SR.INSTRE ... “ (was “QSPI_CR“) --- “Wait for flag QSPI_SR.CSR ... “ (was “QSPI_CR“) - Added new instruction: “Read QSPI_SR (dummy read) to clear QSPI_SR.INSTRE and QSPI_SR.CSR“. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1914 SAM E70/S70/V70/V71 Revision History ...........continued Date Changes Updated Figure 42-8 “Instruction Frame”, Figure 42-10 “Continuous Read Mode”, Figure 42-16 “Instruction Transmission Waveform 6”, Figure 42-17 “Instruction Transmission Waveform 7” and Figure 42-19 “Instruction Transmission Waveform 9”. Section 46. ”Universal Synchronous Asynchronous Receiver Transceiver (USART)” Section 46.4 ”I/O Lines Description”: removed mention of USART3 as fully equipped with modem signals. Updated Figure 46-27 “RTS Line Software Control when US_MR.USART_MODE = 2” Section 46.7.17 ”USART Channel Status Register”: updated RTSDIS description. Section 49. ”Controller Area Network (MCAN)” Section 49.1 ”Description”: updated information on compliance. Updated Table 49-2 “Peripheral IDs” . Section 50. ”Timer Counter (TC)” Section 50.6.16.2 ”Input Preprocessing”: removed unit following equation in 3rd paragraph. Added limitation on maximum pulse duration. Section 50.6.16.4 ”Position and Rotation Measurement”: in 3rd paragraph, added “The process must be started by configuring TC_CCR.CLKEN and TC_CCR.SWTRG.” “Detecting a Missing Index Pulse” now Section 50.6.16.6 (was Section 50.6.17). Corrected value of TC_RC0.RC in example in 2nd paragraph. Added Section 50.6.16.7 ”Detecting Contamination/Dust at Rotary Encoder Low Speed”. Section 50.7.16 ”TC Block Mode Register”: added AUTOC at index 18 and bit description. Added MAXCMP at index [29:26] and field description. Updated MAXFILT field description. Section 50.7.17 ”TC QDEC Interrupt Enable Register”, Section 50.7.17 ”TC QDEC Interrupt Enable Register”, Section 50.7.17 ”TC QDEC Interrupt Enable Register” and Section 50.7.17 ”TC QDEC Interrupt Enable Register”: added bit MPE at index 3 and bit description Section 51. ”Pulse Width Modulation Controller (PWM)” Throughout, “PWMTRG” and “EXTTRG” renamed to “PWMEXTRG”. Updated Figure 51-1 “Pulse Width Modulation Controller Block Diagram”. Updated section “Recoverable Fault”. Updated Figure 51-16 “Fault Protection”. Section 51.6.7 ”Register Write Protection”: added PWM_IER1, PWM_IDR1, PWM_IER2 and PWM_IDR2 to list of write-protected registers in Register group 1. Section 51-8 ”Register Mapping”: modified offsets for “PWM External Trigger Register 1”, “PWM Leading-Edge Blanking Register 1”, “PWM External Trigger Register 2” and “PWM Leading-Edge Blanking Register 2”. Section 51.7.5 ”PWM Interrupt Enable Register 1”, Section 51.7.6 ”PWM Interrupt Disable Register 1”, Section 51.7.14 ”PWM Interrupt Enable Register 2”, Section 51.7.15 ”PWM Interrupt Disable Register 2”: below each register table, added “This register can only be written if bits WPSWS1 and WPHWS1 are cleared in the PWM Write Protection Status Register.” 12-Oct-16 Section 52. ”Analog Front-End Controller (AFEC)” Section 52.5.7 ”Fault Output”: updated section with details on AFEC_TEMPMR and AFEC_TEMPCWR. Section 52.7.2 ”AFEC Mode Register”: updated TRACKTIM description. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1915 SAM E70/S70/V70/V71 Revision History ...........continued Date Changes Section 53. ”Digital-to-Analog Converter Controller (DACC)” Table 53-1 “DACC Signal Description” : corrected pin names to VREFP and VREFN (were ADVREFP and ADVREFN). Section 54. ”Analog Comparator Controller (ACC)” Table 54-1 “ACC Signal Description” : modified Description for DAC0, DAC1 signals. Section 54.7.7 ”ACC Analog Control Register”: updated HYST definition. Section 57. ”Advanced Encryption Standard (AES)” Section 57.2 ”Embedded Characteristics”: replaced “12/14/16 Clock Cycles Encryption/Decryption Processing Time...” with “10/12/14 Clock Cycles Encryption/Decryption Inherent Processing Time...”. Section 58. ”Electrical Characteristics” Table 58-3 “DC Characteristics” : removed Note 2 on current injection. Table 58-4 “DC Characteristics” : voltage input level defined for the RST and TEST I/O types. Updated max values for IIL and IIH. Updated Table 58-15 “Typical Current Consumption in Wait Mode” . Table 58-30 “VREFP Electrical Characteristics” : updated VVREFP parameter values. Added new Table 58-34 “AFE INL and DNL, fAFE Clock =20 MHz to 40 MHz, IBCTL=11” . Inserted new Table 58-36 “AFE Offset and Gain Error, VVREFP = 1.7V to 3.3V” . Updated Table 58-40 “DAC Static Performances (1)” . Updated Table 58-46 “Static Performance Characteristics” Added Section 58.13.1.10: “USART in Asynchronous Mode”. Section 62. ”Ordering Information” Added Note (2) on availability. Section 63. ”Errata” Added: - Section 63.1.16 ”Universal Synchronous Asynchronous Receiver Transmitter (USART)”: “Bad frame detection issue” - Section 63.2.4 ”ARM Cortex-M7”: “All issues related to the ARM r1p1 core are described on the ARM site” - Section 63.2.6 ”Inter-IC Sound Controller (I2SC)”: “I2SC first sent data corrupted” - Section 63.2.12 ”Universal Synchronous Asynchronous Receiver Transmitter (USART)”: “Bad frame detection issue” Deleted: - Section 63.1.1 ”AFE Controller (AFEC)”: “AFE max sampling frequency is 1.74 Msps” - Section 63.2.1 ”AFE Controller (AFEC)”: “AFE max sampling frequency is 1.74 Msps” End © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1916 SAM E70/S70/V70/V71 Revision History Table 63-8. SAM E70/S70/V70/V71 Datasheet Rev. 44003D – Revision History Date Comments 01-June-16 “Introduction” AFE maximum sampling frequency now 1.7 Msps. “Features” Main RC oscillator default frequency changed to 12 MHz. AFE maximum sampling frequency now 1.7 Msps. Section 2. “Configuration Summary” Table 2-1 “Configuration Summary”: on QFN64 package, HS USB now supported. Table 4-1 “Signal Description List”: updated ‘Comments’ column for for signals PCK0–PCK2, TRACECLK,, URXDx, Timer Counter - TC and for CANTXx. Added comment on Programmable Clock Output for PCK7 and on I2SC for GCLK. Table 6-1 “144-lead Package Pinout”: CANRX1 now shown as not available on PD28. Added signal type for I2SC signals. Updated notes (5) and (10). Table 6-2 “100-lead Package Pinout”: Added signal type for I2SC signals. Updated notes (5) and (10). Table 6-3 “64-lead LQFP Package Pinout”: updated notes (4) and (9). Section 7.2.1 “Powerup”: updated equation for minimum VDDCORE slope. Table 14-1 “Peripheral Identifiers”: Added IDs 71, 72, 73. Section 19. “Bus Matrix (MATRIX)” Section 19.1 “Description”, Section 19.2 “Embedded Characteristics”: number of masters changed to 13. Table 19-1 “Bus Matrix Masters” and Table 19-3 “Master to Slave Access”: added Master 12: CortexM7. Table 19-3 “Master to Slave Access”: changed access for Master 0/Slave 6. Added Section 19.3.6 “Configuration of Automatic Clock-off Mode”. Table 19-4 “Register Mapping”: added register CCFG_DYNCFG at offset 0x011C and register CCFG_PCCR at offset 0x0118. Added Section 19.4.8 “Peripheral Clock Configuration Register”. Added Section 19.4.9 “Dynamic Clock Gating Register”. Section 21. “Chip Identifier (CHIPID)” Updated Table 21-1 “SAM V71 Chip ID Registers”. Added notes (1) and (2). Section 23. “Supply Controller (SUPC)” Section 23.4.3 “Core Voltage Regulator Control/Backup Low-power Mode”: removed information on Backup mode entry via WFE. Corrected ONREG polarity. Figure 23-6 “Raising the VDDIO Power Supply”: removed Flash frequency in Note. Section 23.4.10 “Register Write Protection”: deleted Section 23.5.7 “Supply Controller Wakeup Inputs Register” from list of write-protected registers. Added Section 23.5.9 “System Controller Write Protection Mode Register”. cont’d 01-June-16 Section 24. “Watchdog Timer (WDT)” Section 24.4 “Functional Description”: Added detail on LOCKMR bit in paragraph starting “WDT_MR can be written...” Modified paragraph starting with “The reload of the WDT must occur...”. Table 24-1 “Register Mapping”: modified Access for Section 24.5.2 “Watchdog Timer Mode Register”. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1917 SAM E70/S70/V70/V71 Revision History ...........continued Date Comments Section 24.5.1 “Watchdog Timer Control Register”: LOCKMR bit now at index 4 (was ‘reserved’). Section 24.5.2 “Watchdog Timer Mode Register”: modified access and updated Note (1). Section 25. “Reinforced Safety Watchdog Timer (RSWDT)” Updated Figure 25-1 “Reinforced Safety Watchdog Timer Block Diagram”. Section 26. “Reset Controller (RSTC)” ‘Slow crystal’ changed to ‘32.768 kHz’ throughout. Updated figures: - Figure 26-1 “Reset Controller Block Diagram” - Figure 26-3 “General Reset Timing Diagram” - Figure 26-4 “Watchdog Reset Timing Diagram” - Figure 26-5 “Software Reset Timing Diagram”” - Figure 26-6 “User Reset Timing Diagram” Added Note to Section 26.4.1 “Overview”. Updated Section 26.4.3.3 “Watchdog Reset”: Replaced “is set” with “is written to 1” and “is reset” with “is written to 0”. Section 26.5.3 “RSTC Mode Register”: updated URSTIEN description. Section 27. “Real-time Clock (RTC)” Updated Section 27.5.6 “Updating Time/Calendar”. Section 29. “SDRAM Controller (SDRAMC)” Section 29.5.1 “SDRAM Device Initialization”: updated first step. cont’d 01-June-16 Section 31. “Clock Generator” Oscillator naming changed throughout to: - Slow RC oscillator - 32.768 kHz crystal oscillator - Main RC oscillator - Main crystal oscillator Main RC oscillator default frequency changed to 12 MHz throughout. Updated Figure 31-1 “Clock Generator Block Diagram”. Section 31.4 “Slow Clock”: changed ‘powered up’ to ‘powered’. Updated Section 31.4.1 “Slow RC Oscillator (32 kHz typical)”. Section 31.4.2 “32.768 kHz Crystal Oscillator”: identified default state. Updated details on XIN32 and XOUT32. Deleted sentence on external capacitors and figure “Typical 32.768 kHz Crystal Oscillator Connection”. Updated paragraph on selecting the source of Slow clock. Updated Figure 31-2 “Main Clock (MAINCK) Block Diagram”. Added Figure 31-3 “Main Frequency Counter Block Diagram”. Section 31.5.1 “Main RC Oscillator”: added Note in paragraph on output frequency. Corrected two occurrences of ‘Main clock’ to ‘Main RC oscillator’. Deleted recommendation to disable oscillators under certain conditions. Moved paragraph on adjusting Main RC osc frequency to Section 31.5.2 “Main RC Oscillator Frequency Adjustment”. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1918 SAM E70/S70/V70/V71 Revision History ...........continued Date Comments Section 31.5.2 “Main RC Oscillator Frequency Adjustment”: updated 1st and last paragraphs. Deleted some redundant content. Section 31.5.3 “Main Crystal Oscillator”: updated information on programming startup time. Section 31.5.4 “Main Clock Source Selection”: updated list of selectable main clock sources. Section 31.5.6 “Main Frequency Counter” renamed section (was “Main Clock Frequency Counter”). Updated 1st paragraph. Section 31.5.7 “Switching Main Clock between the RC Oscillator and Crystal Oscillator” now part of Section 31.5.6 “Main Frequency Counter”. Section 31.6 now titled ”PLLA Clock” (was “Divider and PLL Block”). Section 31.6.1 “Divider and Phase Lock Loop Programming”: updated information on changing MAINCK characteristics. Section 31.7 now titled ”UTMI PLL Clock” (was UTMI Phase Lock Loop Programming). Added paragraph on multiplying factors. cont’d 01-June-16 Section 32. “Power Management Controller (PMC)” Main RC oscillator default frequency changed to 12 MHz throughout. Updated Section 31.1 “Description”. Section 32.2 “Embedded Characteristics”: updated bullet on Clock sources, Peripheral clocks and on Generic clocks. Deleted bullet on Embedded Trace Macrocell (ETM). Updated Figure 32-1 “General Clock Distribution Block Diagram”. Updated Section 32.4 “Master Clock Controller”. Table 32-1 “Clock Assignment”: added PCK7 assignment. Section 32.13 “Fast Startup”: updated processor restart period. Deleted sequence “Prior to instructing the device to enter Wait mode...”. Section 32.20.4 “PMC Peripheral Clock Enable Register 0”, Section 32.20.5 “PMC Peripheral Clock Disable Register 0” and Section 32.20.6 “PMC Peripheral Clock Status Register 0”: added bits PIDx at index[15:9] (were ‘reserved’). Section 32.20.8 “PMC Clock Generator Main Oscillator Register”: updated MOSCRCF bit description. Section 32.20.9 “PMC Clock Generator Main Clock Frequency Register”: updated CCSS bit description. Section 32.20.14 “PMC Interrupt Enable Register”, Section 32.20.15 “PMC Interrupt Disable Register”, Section 32.20.16 “PMC Status Register” and Section 32.20.17 “PMC Interrupt Mask Register”: added bits PCKRDYx at index[14:11] (were ‘reserved’). Updated Section 32.15 “Main Crystal Oscillator Failure Detection”. Updated Figure 32-5 “Clock Failure Detection Example”. Section 32.16 “32.768 kHz Crystal Oscillator Frequency Monitor”: updated 1st paragraph. Added detail on effects of modifying trimming values of Main RC oscillator. Section 32.20.8 “PMC Clock Generator Main Oscillator Register”: updated description of MOSCRCF field for value ‘0’. Deleted note from CFDEN description. Section 32.20.16 “PMC Status Register”: updated FOS description. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1919 SAM E70/S70/V70/V71 Revision History ...........continued Date Comments Section 37. “Image Sensor Interface (ISI)” Updated “12-bit Grayscale Mode” . Section 37.6.1 “ISI Configuration 1 Register”: added bit GRAYLE at index 5 and bit description. Section 37.6.12 “ISI Interrupt Enable Register”, Section 37.6.13 “ISI Interrupt Disable Register”: changed access from “Read/Write” to “Write-only”. Section 37.6.14 “ISI Interrupt Mask Register”: changed access from “Read/Write” to “Read-only”. Section 38. “USB High-Speed Interface (USBHS)” Section 38.6.1 “General Control Register”: added bit UID at index 24 and bit description. cont’d 01-June-16 Section 39. “Ethernet MAC (GMAC)” Throughout: Number of queues increased to 6 (was 3). Updated Section 39.5.3 “Interrupt Sources”: number of interrupt sources increased to 6 (was 3). Table 39-1 “GMAC Connections in Different Modes”: added table Note on GTXCK. Added Section 39.6.18 ”Energy-efficient Ethernet Support” and Section 39.6.20 ”LPI Operation in the GMAC”. Section 39.7.1.2 “Receive Buffer List” and Section 39.7.1.3 “Transmit Buffer List”: added note on queue pointer intilaization at end of sections . Table 39-17, “Register Mapping”: added registers at offsets 0x270 to 0x27C. Section 39.8.1 ”GMAC Network Control Register”: added bit 19: TXLPIEN: Enable LPI Transmission (was ‘reserved’). Added bit description. Section 39.8.3 ”GMAC Network Status Register”: added bit 7: RXLPIS: LPI Indication (was ‘reserved’). and bit description. Added bit 27: RXLPISBC: Receive LPI indication Status Bit Change, and bit description and bit 29: TSUTIMCOMP: TSU timer comparison interrupt, and bit description in - Section 39.8.10 ”GMAC Interrupt Status Register” - Section 39.8.11 ”GMAC Interrupt Enable Register” - Section 39.8.12 ”GMAC Interrupt Disable Register” - Section 39.8.13 ”GMAC Interrupt Mask Register”. Section 39.8.13 ”GMAC Interrupt Mask Register”: added bit 26, SRI, and bit 28, WOL, and bit descriptions. Added following sections: Section 39.8.106 ”GMAC Received LPI Transitions” Section 39.8.107 ”GMAC Received LPI Time” Section 39.8.108 ”GMAC Transmit LPI Transitions” Section 39.8.109 ”GMAC Transmit LPI Time” Section 39.8.111 “GMAC Transmit Buffer Queue Base Address Register Priority Queue x” and Section 39.8.112 “GMAC Receive Buffer Queue Base Address Register Priority Queue x”: changed sentence on register initialization. Section 40. “High Speed Multimedia Card Interface (HSMCI)” Section 40.14.2 “HSMCI Mode Register”: modified CLKDIV field description. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1920 SAM E70/S70/V70/V71 Revision History ...........continued Date Comments Section 41. “Serial Peripheral Interface (SPI)” Modified transmission condition description in Section 41.7.3 “Master Mode Operations”. Removed TXFCLR, RXFCLR, FIFOEN and FIFODIS bits in Section 41.8.1 “SPI Control Register”. cont’d 01-June-16 Section 42. “Quad SPI Interface (QSPI)” Section 42.2 “Embedded Characteristics”: added bullet on Single Data Rate and Double Data Rate modes. Figure 42-2 “QSPI Transfer Format (QSPI_SCR.CPHA = 0, 8 bits per transfer)” and Figure 42-3 “QSPI Transfer Format (QSPI_SCR.CPHA = 1, 8 bits per transfer)””: modified NSS to QCS. Section 42.7.2 “QSPI Mode Register”: updated CSMODE description. Section 42.7.5 “QSPI Status Register”: updated descriptions of bits CSR and INSTRE. Section 43. “Two-wire Interface (TWIHS)” Updated Figure 43-1 “Block Diagram”. Section 43.6.3.9 “SMBus Mode”: deleted bullet on SMBALERT. Section 43.6.5.6 “SMBus Mode”: deleted bullet on SMBALERT. Section 43.7.5 “TWIHS Clock Waveform Generator Register”: Bit 20 now ‘reserved’ (was CKSRC: Transfer Rate Clock Source). HOLD field extended to 6 bits. Section 44. “Synchronous Serial Controller (SSC)” in Figure 44-19 “Interrupt Block Diagram”: renamed RXSYNC to RXSYN; renamed TXSYNC to TXSYN. Section 45. “Inter-IC Sound Controller (I2SC)” Throughout: In text, tables and figures, pin names changed to: - I2SC_MCK - I2SC_CK - I2SC_WS - I2SC_DI - I2SC_DO Updated Figure 45-1 “I2SC Block Diagram”. Section 45.6.1 “Initialization”: modified register name from CCFG_I2SCLKSEL to CCFG_PCCR. Section 45.6.5 “Serial Clock and Word Select Generation”: updated paragraph on I2SC input clock selection in Master mode. Updated Figure 45-3 “I2SC Clock Generation”. Section 45.8.2 “I2SC Mode Register”: updated MODE bit description for value ‘1’. Updated IMCKDIV and IMCKMODE field descriptions. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1921 SAM E70/S70/V70/V71 Revision History ...........continued Date Comments Section 46. “Universal Synchronous Asynchronous Receiver Transceiver (USART)” Section 46.2 “Embedded Characteristics”: added bullet “Optimal for Node-to-Node Communication (no embedded digital line filter)” to LON Mode features. Section 46.6.3.11 “Receiver Timeout”: deleted redundant paragraphs on STTTO and RETTO. Section 46.6.4 “ISO7816 Mode”: corrected USART_MODE value for prototcol T = 1. Section 46.6.10 “LON Mode”: added information on node-to-node communication. Section 46.7.3 “USART Mode Register”: updated USART_MODE description to include LIN mode support. cont’d 01-June-16 Section 49. “Controller Area Network (MCAN)” Throughout: Renamed Fast Bit TIming and Prescaler Register to Data Bit TIming and Prescaler Register (MCAN_DBTP). Renamed field FBRP to DBRP and updated description. Updated descriptions of DSJW, DTSEG2 and DTSEG1. Added Section 49.4.5 “Timestamping”. Changed ‘Baud’ to ‘Bit’ in: - Section 49.5.3 “Timeout Counter”: in ‘Note’. - Section 49.6.4 “MCAN Data Bit Timing and Prescaler Register”: DBRP field description. - Section 49.6.8 “MCAN Nominal Bit Timing and Prescaler Register” NBRP field description. Updated Section 49.5.1.3 “CAN FD Operation”. Renamed section Transceiver Delay Compensation to Transmitter Delay Compensation (Section 49.5.1.4). Changed NTSEG1 to TSEG1. Updated content. Section 49.5.1.5 “Restricted Operation Mode”: added ‘Note’. Updated Figure 49-5 “Standard Message ID Filter Path” and Figure 49-6 “Extended Message ID Filter Path”. Section 49.6.7 “MCAN CC Control Register”: added bit NISO. Updated descriptions of FDOE, BRSE, PXHD and EFBI. Section 49.6.9 “MCAN Timestamp Counter Configuration Register”: updated TSS description. Section 49.6.10 “MCAN Timestamp Counter Value Register”: updated TSC description. Section 49.6.20 “MCAN Global Filter Configuration”: added some details on register description. Updated ANFE and ANFS field descriptions. Section 49.6.21 “MCAN Standard ID Filter Configuration” and Section 49.6.22 “MCAN Extended ID Filter Configuration”: added some details on register description. Section 49.6.24 “MCAN High Priority Message Status”: updated MSI description for value ‘1’. Section 50. “Timer Counter (TC)” Throughout: Replaced TIOA, TIOB, TCLK with TIOAx, TIOBx, TCLKx. Reformatted and renamed Table 50-2 “Channel Signal Description”. Table 50.6.3 “Clock Selection”: updated notes (1) and (2). Updated Section 50.6.16.4 “Position and Rotation Measurement”. Added Section 50.6.17 “Detecting a Missing Index Pulse”. cont’d © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1922 SAM E70/S70/V70/V71 Revision History ...........continued Date Comments 01-June-16 Section 52. “Analog Front-End Controller (AFEC)” Section 52.2 “Embedded Characteristics”: deleted bullet on conversion rate (redundant with Electrical Characteristics) Section 52.6.1 “Analog Front-End Conversion”: updated and changed clock frequency range. Updated formula to calculate AFE conversion time. Section 52.6.3 “Conversion Resolution”: added Note. Section 52.6.6 “Conversion Triggers”: added detail on effects of delay variation. Section 52.6.11 “Input Gain and Offset”: updated information on AOFF field. Section 52.6.12 “AFE Timings”: updated Warning and deleted paragraph on settling time. Section 52.6.15 “Automatic Error Correction”: - modified the description of Gs and value (now 15, was 11). - modified the formula given to obtain the final conversion result after error correction. - added details on OFFSETCORR and GAINCORR fields. - deleted definitions of unused terms ‘ConvValue’ and ‘Resolution’ - added Figure 7-14 “AFE Digital Signal Processing”. Section 52.7.2 “AFEC Mode Register”: updated descriptions of fieldsTRACKTIM and TRANSFER. Section 52.7.18 “AFEC Channel Selection Register”: updated CSEL bit description. Section 52.7.20 “AFEC Channel Offset Compensation Register”: added note on configuration of AOFF. Section 58. “Electrical Characteristics” Added Table 58-2 “Recommended Thermal Operating Conditions”. Updated Table 58-3 “DC Characteristics”. Updated Table 58-31 “AFE Timing Characteristics”. Modified AFEC_ACR.IBCTL value in Note (1) of Table 58-31 “AFE Timing Characteristics” and in Section 58.8.1.2 “ADC Bias Current”. Table 58-38 “Number of Tau:n”: deleted bullets on calculated tracking time. Updated Table 58-41 “Temperature Sensor Characteristics”. Table 58-52 “I/O Characteristics”: updated VDDIO for FreqMax1. Section 58.13.1.5 “QSPI Characteristics”: updated comments in “Master Read Mode” Corrected CKx typo in Figure 58-36 “SSC Transmitter, TK and TF in Input”. Section 59. “Mechanical Characteristics” All sections: Modified JEDEC classification to J-STD-609 from JESD97. Section 60. “Schematic Checklist” Added note following Figure 60-4 “Schematic Example with a 16 Mb/16-bit SDRAM (1)”. cont’d 01-June-16 Section 62. “Ordering Information” Updated Table 62-1 “Ordering Codes for SAM V71 Devices”. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1923 SAM E70/S70/V70/V71 Revision History ...........continued Date Comments Section 63. “Errata” Added - Section 63.1.1 “AFE Controller (AFEC)”: “AFE max sampling frequency is 1.74 Msps” and “Changing AFEC_COCR.AOFF during conversions is not safe” . - Section 63.1.5 “Boundary Scan Mode”: “Boundary Scan Mode” - Section 63.1.8 “Ethernet MAC (GMAC)”: “Error in number of queues” - Section 63.1.10 “Master CAN-FD Controller (MCAN)”: “Timestamping issue with external clock” - Section 63.1.11 “Parallel Input/Output (PIO)”: “PIO line configuration for AFEC and DACC analog inputs” - Section 63.1.12 “Power Management Controller (PMC)”: “PMC_OCR does not report the Main RC oscillator manufacturing calibration value” - Section 63.1.14 “SDRAM Controller (SDRAMC)”: “Limitation to scrambling/unscrambling use” Added Section 63.2 “Revision B Parts”. End Table 63-9. SAM E70/S70/V70/V71 Datasheet Rev. 44003C – Revision History Date Changes 08-Feb-16 Added TFBGA144 package to features, configuration summary, package and pinout, mechanical drawings, ordering information and AMR. Deleted LFBGA144 package from features, configuration summary, package and pinout, mechanical drawings, ordering information and AMR. Deleted TFBGA64 package from features, configuration summary, package and pinout, mechanical drawings, ordering information and AMR. Deleted QFN64 package from features, configuration summary, package and pinout, mechanical drawings, ordering information and AMR. Added “Introduction”. “Features”: Updated sections: Memories, Low-Power Features, QSPI, e.MMC and DACC. Added I2SC. Changed ADC to AFE. Corrected number of I/O lines. Change voltage. Table 2-1 “Configuration Summary”: updated table. Added I2SC. Table 4-1 “Signal Description List“: added signals GNDPLL, GNDPLLUSB, GNDANA, GNDUTMI. Added I2SC. Removed redundant content from column “Comments”. Section 6. “Package and Pinout”: added information on reset state in pinout tables. Table 6-1 “144-lead Package Pinout”: updated table. Changed I/O type for all SDA10 to GPIO_AD. Added I2SC pins. Table 6-2 “100-lead Package Pinout”: updated table. Changed I/O type for all SDCK to GPIO_CLK. Added I2SC pins. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1924 SAM E70/S70/V70/V71 Revision History ...........continued Date Changes Section 7. “Power Considerations” Updated Table 7-1 “Power Supplies”. Section 7.2 ”Power Constraints”: removed bullet on USB. Section 7.2.1 ”Power-up”: added constraint regarding overcurrent. Section 7.2.2 ”Power-down”: added constraint regarding overcurrent. Updated Table 7-2 “Low-power Mode Configuration Summary”. Section 8. “Input/Output Lines” Removed redundant Section 6.3. TST Pin (already in Section 16. “Debug and Test Features”). Updated Section 8.4 “ERASE Pin”. Section 10. ”Product Mapping” Updated Figure 9-4, “SAM V71 Product Mapping” with I2SC. Section 11. “Memories” Updated Section 11.1.2 “Tightly Coupled Memory (TCM) Interface” and Section 11.1.4 “Backup SRAM”. Updated Section 11.1.5.6 “Unique Identifier”. Section 12. “Event System” Updated Table 12-1 “Real-time Event Mapping List” with I2SC. Section 13. “System Controller” Section 13.1 “System Controller and Peripherals Mapping”: removed sentence on bit band. Section 14. “Peripherals” Updated Table 14-1 “Peripheral Identifiers”. Section 15. “ARM Cortex-M7 Processor” Section 15-3 “ARM Cortex-M7 Configuration”: changed number of IRQ priority levels. 08-Feb-16 Section 16. “Debug and Test Features” Removed redundant Section 15.7.2. NRST Pin and Section 15.7.3. ERASE Pin (already in Section 8. “Input/Output Lines”). Removed references to Embedded Trace Buffer (ETB). Section 16.7.8 ”IEEE1149.1 JTAG Boundary Scan”: updated condtions to enable boundary scan. Section 18. “Fast Flash Programming Interface (FFPI)” Table 18-1 “Signal Description List“: updated XIN information. Deleted comment for XIN. Section 18.3 “Parallel Fast Flash Programming”, Figure 18-1, “16-bit Parallel Programming Interface”: changed input source for XIN. Section 18.3.3 “Entering Parallel Programming Mode”: deleted note on device clocking. Reworded steps 2 and 3. Section 19. “Bus Matrix (MATRIX)” Table 19-4 “Register Mapping”: corrected reset values for MATRIX_PRASx and MATRIX_PRBSx registers. In Section 19.4.8 “SMC NAND Flash Chip Select Configuration Register”: - added warning to bit description SMC_NFCS1. - changed SDRAMEN bit description and added warning. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1925 SAM E70/S70/V70/V71 Revision History ...........continued Date Changes Section 22. “Enhanced Embedded Flash Controller (EEFC)” Updated Section 22.2 “Embedded Characteristics”. Added Figure 22-1, “Flash Memory Areas”. Section 22.4.3.6 “Calibration Bit”: updated oscillators that are calibrated in production. Section 22.4.3.7 “Security Bit Protection”: added detail on ETM. Section 23. “Supply Controller (SUPC)” Figure 23-2, “Separate Backup Supply Powering Scheme”: updated figure and corrected min voltage in note on ADC/DAC/ACC. Section 24. “Watchdog Timer (WDT)” Section 24.1 “Description”: Replaced “Idle mode” with “Sleep mode (Idle mode)”. Section 24.4 “Functional Description”: replaced “Idle mode” with “Sleep mode” Section 24.4 “Functional Description”, Section 24.5.2 “Watchdog Timer Mode Register”: modified information on WDDIS bit setting to read “When setting the WDDIS bit, and while it is set, the fields WDV and WDD must not be modified.” Section 24.5.1 “Watchdog Timer Control Register”: added note on modification of WDT_CR values.. Section 24.5.2 “Watchdog Timer Mode Register”: added Note (2) on modification of WDT_MR values. Section 25. “Reinforced Safety Watchdog Timer (RSWDT)” Section 25.5.2 “Reinforced Safety Watchdog Timer Mode Register”: bit 14 now reserved. Section 26. “Reset Controller (RSTC)” Section 26.4.3.1 “General Reset”: removed reference to NRSTB. Table 26.5 “Reset Controller (RSTC) User Interface”: updated reset value for RSTC_MR. Section 27. “Real-time Clock (RTC)” Updated Section 27.5.7 “RTC Accurate Clock Calibration”. Figure 27-4, “Calibration Circuitry Waveforms”: corrected two instances of “3,906 ms” to “3.906 ms”. Table 27-2 “Register Mapping”: corrected reset for RTC_CALR. Added offset 0xCC as reserved. Added RTC_WPMR at offset 0xE4 Section 27.6.1 “RTC Control Register”: updated descriptions of value ‘0’ for bits UPDTIM and UPDCAL. Added Section 27.6.13 “RTC Write Protection Mode Register”. Added write protection for Section 27.6.1 “RTC Control Register”, Section 27.6.2 “RTC Mode Register”, Section 27.6.5 “RTC Time Alarm Register” and Section 27.6.6 “RTC Calendar Alarm Register”. Section 30. “General Purpose Backup Registers (GPBR)” Corrected total size of backup registers. 08-Feb-16 Section 31. “Clock Generator” Section 31.2 “Embedded Characteristics”: updated bullet on embedded RC oscillator. Figure 31-3, “Main Clock Block Diagram”: renamed “3-20 MHz Crystal or Ceramic Resonator Oscillator” to “Main Crystal or Ceramic Resonator Oscillator”. Renamed “3-20 MHz Oscillator Counter” to “Main Oscillator Counter”. Section 31.5.1 “Embedded 4/8/12 MHz RC Oscillator”: changed last paragraph beginning “The user can adjust the value...”. Section 31.5.4 ”Main Clock Source Selection”: added that the RC oscillator must be selected for Wait mode. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1926 SAM E70/S70/V70/V71 Revision History ...........continued Date Changes Updated Section 31.5.6 “Main Clock Frequency Counter”. Updated Section 31.5.7 “Switching Main Clock between the RC Oscillator and Crystal Oscillator”. Updated Section 31.6.1 “Divider and Phase Lock Loop Programming” with paragraph on correct programming of the multiplication factor of the PLL. Section 31.7 ”UTMI Phase Lock Loop Programming”: deleted sentence on crystal requirements for USB. Section 32. “Power Management Controller (PMC)” Section 32.1 ”Description”: corrected list of oscillators that can be trimmed by software. Section 32.2 ”Embedded Characteristics”: updated bullet on Peripheral Clocks. Added bullet on generic clock. Updated figure Figure 32-1, “General Clock Block Diagram”: replaced “SysTick” with “External SysTick Clock”. Added GCLKx in PMC_PCR block. Updated Section 32.8 ”Peripheral Clock Controller”. Updated Section 32.12 “Core and Bus Independent Clocks for Peripherals”. Added Step 5 and WARNING in Section 32.13 “Fast Startup”. Updated Section 32.15 “Main Clock Failure Detection”. Section 32.17 “Programming Sequence”: in Step 7., modified sub-steps (c) and (e). Section 32.19 “Register Write Protection”: added PMC Clock Generator Main Clock Frequency Register to list of write-protected registers. Table 32-4 “Register Mapping”: modified Reset for PMC_OCR; replaced by note. Added PMC_PMMR at offset 0x0130. Section 32.20.3 ”PMC System Clock Status Register”: added HCLKS at bit 0. Section 32.20.9 “PMC Clock Generator Main Clock Frequency Register”: updated MAINF bit description. Section 32.20.17 “PMC Interrupt Mask Register”: added missing bits PCKRDY3–PCKRDY6 (bits 11 to 14). Section 32.20.26 ”PMC Peripheral Control Register”: added GCLKEN, GCLKDIV, DIV and GCLKCSS bits/fields and descriptions. Corrected maximum PID number to 127. Added missing bits PCKRDY3– PCKRDY6 (bits 11 to 14).: updated PID field description. Deleted DIV field from register table; bits 16 and 17 now reserved. Deleted DIV description. Added Section 32.20.35 “PLL Maximum Multiplier Value Register”. Section 33. “Parallel Input/Output Controller (PIO)” Deleted section “Keypad Controller” and all related registers. Section 34. “External Bus Interface (EBI)” Added NAND Flash support on NCS0/1/2 (was NCS3 only). Figure 34-1, “Organization of the External Bus Interface”: Removed DQS from block diagram. Section 34.5.3.4 “NAND Flash Support”: changed NCS3 address space. Section 29. “SDRAM Controller (SDRAMC)” Updated Step 1. and Step 4. to Step 9. in Section 29.5.1 “SDRAM Device Initialization”. Section 29.6.5.1 “Self-refresh Mode”: added Note. Section 29.7.3 “SDRAMC Configuration Register”: corrected CAS field configuration values. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1927 SAM E70/S70/V70/V71 Revision History ...........continued Date Changes 08-Feb-16 Section 35. “Static Memory Controller (SMC)” Section 35.7.3 “NAND Flash Support”: removed reference to NCS3. Updated Figure 35-5, “NAND Flash Signal Multiplexing on SMC Pins” and added Note 1 below the figure. Section 35.10 “Scrambling/Unscrambling Function”: added details on access for SMC_KEY1 and SMC_KEY2 registers. In Table 35-10 “Register Mapping” and register table sections: SMC OCMS Mode Register now ”“SMC Off-Chip Memory Scrambling Register”. SMC OCMS Key1 Register now ”“SMC Off-Chip Memory Scrambling Key1 Register”. SMC OCMS Key2 Register now “SMC Off-Chip Memory Scrambling Key2 Register”. Section 35.16.5 “SMC Off-Chip Memory Scrambling Register”: corrected bits 8 to 11 to ‘CSxSE’ (were reserved). Section 35.16.6 “SMC Off-Chip Memory Scrambling Key1 Register” and Section 35.16.7 “SMC Off-Chip Memory Scrambling Key2 Register”: added Note (1) to clarify Write-once access. Section 36. “DMA Controller (XDMAC)” Updated TC peripheral names and added I2SC in Table 36-1 “Peripheral Hardware Requests”. Section 36.2 “Embedded Characteristics”: added FIFO size. Updated Figure 36-1, “DMA Controller (XDMAC) Block Diagram”. Section 36.5.4.1 “Single Block With Single Microblock Transfer”: in Step 6, deleted sub-step to activate a secure channel. Table 36-3 “Register Mapping“: corrected access of XDMAC_GTYPE, XDMAC_GWAC, XDMAC_CIM. Section 36.9.6 “XDMAC Global Interrupt Mask Register”: corrected access to Read-only. Section 36.9.28 “XDMAC Channel x [x = 0..23] Configuration Register”: bit 5 now reserved (was PROT). Deleted PROT bit description. Updated PERIF field description. Modified INITD bit description. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1928 SAM E70/S70/V70/V71 Revision History ...........continued Date Changes Section 38. “USB High-Speed Interface (USBHS)” Table 38-1 “Description of USB Pipes/Endpoints”: corrected value in ‘High Bandwidth’ column for Pipe/ Endpoint 1. Added Section 38.4.1 “I/O Lines”. Updated Figure 38-2, “General States”. Updated Section 38.5.3.3 “Device Detection” and added Note on VBUS supply. Section 38.6.1 “General Control Register”: added bit 8, VBUSHWC. Section 38.6.4 “General Status Set Register”: added bit 9, VBUSRQS. Section 38.6.12 “Device Endpoint Register”: bit 9 changed from ‘reserved’ to EPEN9. Bit 25 changed from ‘reserved’ to EPRST9. Bits 10 and 11 now reserved in registers: - Section 38.6.6 “Device Global Interrupt Status Register” - Section 38.6.9 “Device Global Interrupt Mask Register” - Section 38.6.10 “Device Global Interrupt Disable Register” - Section 38.6.11 “Device Global Interrupt Enable Register” - Section 38.6.32 “Host Global Interrupt Status Register” - Section 38.6.35 “Host Global Interrupt Mask Register” - Section 38.6.36 “Host Global Interrupt Disable Register” - Section 38.6.37 “Host Global Interrupt Enable Register” 08-Feb-16 Section 39. “Ethernet MAC (GMAC)” Updated Section 39.1 “Description”. Section 39.5.2 “Power Management”: deleted reference to PMC_PCER. Section 39.5.3 “Interrupt Sources”: deleted reference to ‘Advanced Interrupt Controller’. Replaced by ‘interrupt controller’. Added information on interrupt sources and priority queues. Section 39.6.14 “IEEE 1588 Support”: Removed reference to ‘output pins’ in 2nd paragraph. Deleted reference to GMAC_TSSx. Section 39.6.15 “Time Stamp Unit” added information on GTSUCOMP signal in last paragraph. Updated register index range for: - Section 39.8.106 “GMAC Interrupt Status Register Priority Queue x” - Section 39.8.107 “GMAC Transmit Buffer Queue Base Address Register Priority Queue x” - Section 39.8.108 “GMAC Receive Buffer Queue Base Address Register Priority Queue x” - Section 39.8.109 “GMAC Receive Buffer Size Register Priority Queue x” - Section 39.8.115 “GMAC Interrupt Enable Register Priority Queue x” - Section 39.8.116 “GMAC Interrupt Disable Register Priority Queue x” - Section 39.8.117 “GMAC Interrupt Mask Register Priority Queue x” Section 39.8.117 “GMAC Interrupt Mask Register Priority Queue x”: inverted bit value definitions (‘0’ means enabled, ‘1’ means disabled. Section 41. “Serial Peripheral Interface (SPI)” Section 41.8.1 “SPI Control Register”: added bits FIFODIS, FIFOEN, RXFCLR, TXFCLR and REQCLR. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1929 SAM E70/S70/V70/V71 Revision History ...........continued Date Changes Section 42. “Quad SPI Interface (QSPI)” Section 42.7.2 “QSPI Mode Register”: updated equations and NBBITS description. Section 42.7.5 “QSPI Status Register”: updated RDRF, TDRE, TXEMPTY, and OVRES field descriptions. Section 42.7.9 “QSPI Serial Clock Register”: updated equations. Section 42.7.12 “QSPI Instruction Frame Register”: updated INSTEN bit description. Section 43. “Two-wire Interface (TWIHS)” Section 43.6.3.4 “Master Transmitter Mode” and “Read Sequence”: added sentence on clearing TXRDY flag. Section 43.6.5.7 “High-Speed Slave Mode”: updated 11-MHz limit information. Updated Section 43.6.7 “Register Write Protection”. Updated Section 43.7.1 “TWIHS Control Register”: added bit FIFODIS, FIFOEN, LOCKCLR and THRCLR. Added Section 45. “Inter-IC Sound Controller (I2SC)”. 08-Feb-16 Section 46. “Universal Synchronous Asynchronous Receiver Transceiver (USART)” Added descriptions of Modem mode and ISO7816 mode throughout. Updated Section 46.1 “Description” and Section 46.2 “Embedded Characteristics”. Table 46-1 “I/O Line Description“ updated and added lines RI, DSR, DCD, and DTR. Section 46.6.1 “Baud Rate Generator”: corrected value in “The frequency of the signal provided on SCK must be at least...” Updated Figure 46-2, “Baud Rate Generator”. “Baud Rate Calculation Example”, corrected formula. Section 46.6.1.2 “Fractional Baud Rate in Asynchronous Mode” and Section 46.7.23 “USART Baud Rate Generator Register”: added warning “When the value of field FP is greater than 0...” Updated Figure 46-3, “Fractional Baud Rate Generator”. Section 46.6.1.3 “Baud Rate in Synchronous Mode or SPI Mode”: corrected formula. Corrected external clock frequency. Corrected SCK maximum frequency. Added Section 46.6.4 ”ISO7816 Mode”. Inserted new Figure 46-27, “RTS Line Software Control when USART_MR.USART_MODE = 2”. Section 46.6.3.4 “Manchester Decoder”: corrected “MANE flag” with “MANERR” flag. Added Section 46.6.7 “Modem Mode”. Section 46.6.8.5 “Character Transmission”: added content to 1st paragraph. Corrected occurrences of RTSEN to RCS, RTSDIS to FCS. Section 46.6.9.8 “Slave Node Synchronization”: updated bullet on oversampling. Updated Figure 46-42, “Slave Node Synchronization”. Section 46.7.1 “USART Control Register”: added bits/fields RSTIT, RSTNACK, DTREN and DTRDIS. Updated RTSDIS bit description. Section 46.7.3 “USART Mode Register”: added bits/fields MAX_ITERATION, INVDATA, DSNACK, INACK, MSBF. Updated USART_MODE field description table. Section 46.7.5 “USART Interrupt Enable Register”, Section 46.7.9 “USART Interrupt Disable Register”, Section 46.7.13 “USART Interrupt Mask Register”: added bits ITER, NACK, RIIC, DSRIC, and DCDIC. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1930 SAM E70/S70/V70/V71 Revision History ...........continued Date Changes Section 46.7.17 “USART Channel Status Register”: added bits ITER, NACK, RIIC, DSRIC, DCDIC, DSR, and DCD. Section 46.7.23 “USART Baud Rate Generator Register”: updated CD field description. Added Section 46.7.27 “USART FI DI RATIO Register” and Section 46.7.29 “USART Number of Errors Register”. Section 49. “Controller Area Network (MCAN)” Replaced ‘HCLK’ and ‘m_can_hclk’ by ‘peripheral clock’. Replaced ’can_clk’ by ‘CAN core clock’. Replaced ‘tcan_clk’ by ‘tcore clock’. Section 49.4.2 “Power Management”: added recommendations on clock frequencies. Section 49.5.7 “Message RAM”: deleted sentence on storage constraints. Section 49.5.7.5 “Standard Message ID Filter Element”: updated description of SFID2[5:0]. Section 49.5.7.6 “Extended Message ID Filter Element”: updated description of EFID2[5:0]. Added Section 49.6.1 “MCAN Core Release Register” and Section 49.6.2 “MCAN Endian Register” and updated Table 49-13 “Register Mapping“. Section 49.6.4 “MCAN Fast Bit Timing and Prescaler Register”: updated FSJW, FTSEG2 and FSTEG1 field description: tcore clock now tq Section 49.6.8 “MCAN Bit Timing and Prescaler Register”: updated SJW, TSEG2, TSEG1 and BRP field descriptions: tcore clock now tq Section 50. “Timer Counter (TC)” Added important note in Section 50.7.6 “TC Counter Value Register”, Section 50.7.7 “TC Register A”, Section 50.7.8 “TC Register B” and Section 50.7.9 “TC Register C”. Section 50.7.14 “TC Extended Mode Register”: updated TRIGSRCB bit description. 08-Feb-16 Section 51. “Pulse Width Modulation Controller (PWM)” Number of fault inputs corrected to 8. Size of dead-time counter/generator corrected to 12 bits. Number of event lines corrected to 2. Number of comparison units corrected to 8. Updated Figure 51-1, “Pulse Width Modulation Controller Block Diagram”. Updated Section 51.6.2.2 “Comparator”. Updated Figure 51-33, “Leading-Edge Blanking”. Section 51.6.6.1 “Initialization”: modified “Enable of the interrupts...” list item. Added Section 51.6.6.4 “Changing the Update Period of Synchronous Channels”, Section 51.6.6.5 “Changing the Comparison Value and the Comparison Configuration” and Section 51.6.6.6 “Interrupt Sources”. Added reference to Section 51.5.4 “Fault Inputs” in register descriptions. Corrected PWM period formulas in Section 51.7.43 “PWM Channel Period Register”and Section 51.7.44 “PWM Channel Period Update Register”. Section 51.7.49 “PWM External Trigger Register” and Section 51.7.50 “PWM Leading-Edge Blanking Register”: corrected register index to 2. Section 51.7.50 “PWM Leading-Edge Blanking Register”: updated LEBDELAY bit description. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1931 SAM E70/S70/V70/V71 Revision History ...........continued Date Changes Section 52. “Analog Front-End Controller (AFEC)” Updated Section 52.6 “Functional Description”. Section 52.6.11 “Input Gain and Offset” changed AOFF configuration value. Corrected formula for offset values. Updated Section 52.6.12 “AFE Timings”. Section 52.6.18 “Register Write Protection”: added “AFEC Channel Differential Register” to the list of write-protected registers. Section 52.7.5 “AFEC Channel Sequence 2 Register”: corrected number of channels to 12. Section 52.7.13 “AFEC Interrupt Status Register”: defined EOCAL bit as ‘cleared on read’. Added sentence on write protection below the register table for: Section 52.7.20 “AFEC Channel Offset Compensation Register” Section 52.7.21 “AFEC Temperature Sensor Mode Register” Section 52.7.25 “AFEC Correction Select Register” Section 52.7.26 “AFEC Correction Values Register” Section 52.7.27 “AFEC Channel Error Correction Register” Section 52.7.20 “AFEC Channel Offset Compensation Register”: AOFF field modified to 10 bits (was 12 bits). Bits 10 and 11 now reserved. 08-Feb-16 Section 53. “Digital-to-Analog Converter Controller (DACC)” External Trigger mode changed to Trigger mode throughout. Removed references to ‘pipelined architecture’ and ‘pipeline’ throughout. Added information on Bypass mode in: - Section 53.1 “Description” - Section 53.6.4.4 “Bypass Mode” Updated Figure 53-1, “Block Diagram”. Updated Section 53.6.1 “Digital-to-Analog Conversion”. Added sentence on DACRDY. Changed ‘maximum conversion rate’ to ‘minimum conversion period’. Added Figure 53-2, “Conversion Sequence in Trigger Mode”and Figure 53-3, “Conversion Sequence in Free-running Mode”. Section 53.6.4.1 “Trigger Mode”: removed fragment ‘(either DATRG pin or timer counter events)’. Section 53.6.4.2 “Free-Running Mode”: added sentence on FIFO. Updated Figure 53-3, “Conversion Sequence in Free-running Mode”. Updated Section 53.6.4.3 “Max Speed Mode” and added Figure 53-4, “Conversion Sequence in Max Speed Mode”. Updated Section 53.6.4.4 “Bypass Mode”. Deleted section “DACC Timings”. Table 53-4 “Register Mapping”: modified reset value for DACC_MR. Section 53.7.2 “DACC Mode Register”: added bit ZERO (bit 5) and bit description. Section 53.7.3 “DACC Trigger Register”: bit description changed for TRGSEL bit. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1932 SAM E70/S70/V70/V71 Revision History ...........continued Date Changes Removed bits ENDTX0, ENDTX1, TXBUFE0 and TXBUFE1 from Section 53.7.8 “DACC Interrupt Enable Register”, Section 53.7.9 “DACC Interrupt Disable Register”, Section 53.7.10 “DACC Interrupt Mask Register” and Section 53.7.11 “DACC Interrupt Status Register”. Section 55. “Integrity Check Monitor (ICM)” Section 55.5.2.2 “ICM Region Configuration Structure Member”: removed MRPROT field. Section 55.6.1 “ICM Configuration Register”: removed fields HAPROT and DAPROT; updated description DUALBUFF field Updated Section 58. “Electrical Characteristics”. Updated Section 59. “Mechanical Characteristics”. Added Section 60. “Schematic Checklist”. Added Section 63. “Errata”. Table 63-10. SAM E70/S70/V70/V71 Datasheet Rev. 44003B – Revision History Date Changes 24-Feb-15 “Description”: updated details on PWM, 16-bit timers, RTC, RTT and Backup mode. Added note to QFN64 package on availability. “Features”: updated details on PWM. Added note to QFN64 package on availability. Section 1. “Configuration Summary” Table 1-1 “Configuration Summary”: Modifications made to Timer Counter Channels I/O, USART/UART, QSPI, SPI, USART SPI. Section 2. “Block Diagram”: added AHBP block. Added Backup RAM block. Removed TRACECTL. Changed block name to Serial Wire Debug/JTAG Boundary Scan (was JTAG and Serial Wire). Modified signal names to VREFP and VREFN (were ADVREFP and ADVREFN). Section 3. “Signal Description” Table 3-1 “Signal Description List“: corrected upper index for Two-wire Interface - TWIHS. Modified signal names to VREFP and VREFN (were ADVREFP and ADVREFN). In section FFPI, corrected upper index of signal PGMEN to ‘1’ and removed signal PGMCK. Section 5. “Package and Pinout” In all pinout tables, modified signal names to VREFP and VREFN (were ADVREFP and ADVREFN). Replaced tables “Pinout for 144-pin LQFP Package” and “Pinout for 144-pin LFBGA Package” with single Table 5-1 “144-lead Package Pinout“ and reworked the table. For Pin 110/PIOD: replaced TRACECTL with ’–’. Added notes to all signals in column ‘Alternate’ for details on selecting extra functions and system functions. Replaced tables “Pinout for 100-pin LQFP Package” and “Pinout for 100-ball TFBA Package”by single Table 5-2 “100-lead Package Pinout“ and reworked the table. Reworked table “Pinout for 64-pin LQFP Package” and renamed it to Table 5-3 “64-lead Package Pinout“. Section 6. “Power Considerations” Section 6.2 “Power Constraints”: updated constraint for VDDCORE, VDDPLL and VDDUTMIC. Section 6.2.1 “Power-up”: changed value of rising slope of VDDIO and VDDIN to 2.4V/ms. Section 6.2.2 “Power-down”: added detail on VDDCORE falling slope. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1933 SAM E70/S70/V70/V71 Revision History ...........continued Date Changes Section 7. “Input/Output Lines” Section 7.1 “General-Purpose I/O Lines”: changed ODT to RSERIAL in text and figure. Section 7.2.2 “Embedded Trace Module (ETM) Pins”; removed TRACECTL Section 7.5 “ERASE Pin”: added details on in-situ reprogrammability. Section 10. “Memories” Table 10-1 “TCM Configurations in Kbytes“: corrected column GPNVM Bit [8:7] by inverting values (0 first, 3 last). Table 10-4 “General-purpose Non volatile Memory Bits“: GPNVM bit 1: inverted 0 and 1 values. GPNVM bit 7–8: inverted all values for TCM configuration and added Note. Section 10.1.1 “Internal SRAM”: updated section. Section 10.1.2 “Tightly Coupled Memory (TCM) Interface”: added detail on enable/disable of ITCM/ DTCM. Section 10.1.4 “Backup SRAM”: updated SRAM address. Removed detail on read/write accesses. Section 10.1.5 “Flash Memories”: added details on the attribute definitions for programming operations vs. fetch/read operations. Section 10.1.5.9 “Fast Flash Programming Interface”: removed ‘serial JTAG interface’. Section 11. “Event System” Table 11-1 “ Event Mapping List“: in row “Audio clock recovery from Ethernet’ changed the text in Description column. Section 13. “Peripherals” Table 13-1 “Peripheral Identifiers“: modfied content of column ‘Description’ for clarity. Section 13.2 “Peripheral Signal Multiplexing on I/O Lines”: corrected PIOC to PIOD for 100-pin version. Moved Section 13.3 “Peripheral Mapping to DMA” to Section 35.3 “DMA Controller Peripheral Connections”. 24-Feb-15 Section 15. “Debug and Test Features” Section 15.1 “Description”: removed references to JTAG Debug Port and JTAG-DP. Updated Figure 15-1 “Debug and Test Block Diagram”: added Cortex-M7, ETM and PCK3 blocks and trace pins. Renamed block ‘SWJ-DP’ to ‘SW-DP’. Table 15-1 “Debug and Test Signal List”: removed TRACECTL. Updated Figure 15-4 “Debug Architecture”. added ETM and Trace Port blocks. Removed TPIU. Section 15.6.5 “Serial Wire Debug Port (SW-DP) Pins”: removed all references to JTAG Debug Port and JTAG-DP. Section 15.6.6 “Embedded Trace Module (ETM) Pins”: removed TRACECTL from bullet points. Updated Section 15.6.7 “Flash Patch Breakpoint (FPB)” . Section 15.6.9.2 “Asynchronous Mode”: removed reference to JTAG Debug Port and JTAG debug mode. Section 16. “SAM-BA Boot Program” Section 16.6.4 “In Application Programming (IAP) Feature”: replaced software code example. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1934 SAM E70/S70/V70/V71 Revision History ...........continued Date Changes Section 18. “Bus Matrix (MATRIX)” Table 18-3 “Master to Slave Access”: changed Master 4/Slave 4 access from possible (“x”) to not possble (‘-”) Table 18-4 “Register Mapping”: changed reset value for CCFG_SYSIO register. Section 18.12.7 “System I/O and CAN1 Configuration Register”: corrected typo in CAN1DMABA bit name. Section 18.11 “Register Write Protection”: replaced “The WPVS bit is automatically cleared after reading the MATRIX_WPSR” with “The WPVS flag is reset by writing the MATRIX_WPMR with the appropriate access key WPKEY” Section 18.12.10 “Write Protection Status Register”: in WPVS bit description, replaced two instances of “since the last read of the MATRIX_WPSR” with “since the last write of the MATRIX_WPMR”. Section 21. “Enhanced Embedded Flash Controller (EEFC)” Section 21.4.3.2 “Write Commands”: added information on DMA write accesses. Section 30. “Power Management Controller (PMC)” Section 30.9 “Asynchronous Partial Wake-up”: inserted new sub-section “Asynchronous Partial Wakeup in Wait Mode (SleepWalking)” to better describe SleepWalking. Section 30.10 “Free-Running Processor Clock”: removed reference to MCK. Section 31. “Parallel Input/Output Controller (PIO)” Section 31.2 “Embedded Characteristics”: added bullet on Programmable I/O Drive. Added Section 31.5.12 “Programmable I/O Drive”. Section 31.5.15.4 “Programming Sequence”: “With DMA”: in fifth step, replaced reference to BTCx with ‘DMA status flag to indicate that the buffer transfer is complete’ Table 31-5 “Register Mapping”: added PIO_DRIVER register at offset 0x0118 and added Section 31.6.49 “PIO I/O Drive Register”. Section 35. “DMA Controller (XDMAC)” Added Section 35.3 “DMA Controller Peripheral Connections”. Section 37. “USB High-Speed Interface (USBHS)” Table 37-1 “Description of USB Pipes/Endpoints“; corrected data in columns ‘DMA’ and ‘High Bandwidth’. Modified signal names to HSDM/DM and HSDP/DP in Figure 37-1 “USBHS Block Diagram” and Table 37-2 “Signal Description”. Updated descriptions. Removed Section 37.3.1 “Application Block Diagram” and Figures 37-2, 37-3 and 37-4. Removed Section 37.4.1 “I/O Lines”. Modified Section 37.5.3.3 “Device Detection”. Section 37.6.2 “General Status Register”, Section 37.6.3 “General Status Clear Register”, Section 37.6.4 “General Status Set Register”: removed bit VBUSRQ and bit description. Bit 9 now reserved in these registers. 24-Feb-15 Section 38. “Ethernet MAC (GMAC)” Section 38.8.13 “GMAC Interrupt Mask Register”: corrected general bit description (swapped definitions provided for 0: and 1:) © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1935 SAM E70/S70/V70/V71 Revision History ...........continued Date Changes Section 40. “Quad SPI Interface (QSPI)” Section 40.5.4 “Direct Memory Access Controller (DMA)”: added Note on 32-bit aligned DMA write accesses. Figure 40-9 “Instruction Transmission Flow Diagram”: modified text if TFRTYP = 0 Section 40.6.7 “Register Write Protection”: added Scrambling Mode Register and Scrambling Key Register to the list of registers that can be write-protected. Section 40.7.13 “QSPI Scrambling Mode Register” and Section 40.7.14 “QSPI Scrambling Key Register”: added “This register can only be written if bit WPEN is cleared in the QSPI Write Protection Mode Register.”. Section 42. “Two-wire Interface (TWIHS)” Replaced all instances of ‘BTC’ with ‘DMA status flag’. Section 46. “MediaLB (MLB)” Table 46-2 “MLB External Signals”: modified signal names in this table and throughout the section. Section 47. “Controller Area Network (MCAN)” Figure 47-1 “MCAN Block Diagram”: added Note. Section 47.4.2 “Power Management”: added recommendations for CAN clock frequency. Added Section 47.4.4 “Address Configuration”. Section 48. “Timer Counter (TC)” Replaced occurrences of ‘quadrature decoder logic’with ‘quadrature decoder’ or ‘QDEC’ throughout the document. Section 48.7.14 “TC Extended Mode Register”: changed description for field TRIGSRCB for value 1. Section 49. “Pulse Width Modulation Controller (PWM)” Section 49.5.3 “Interrupt Sources”: removed the following sentence: “Note that it is not recommended to use the PWM interrupt line in Edge-sensitive mode.” “Method 3: Automatic write of duty-cycle values and automatic trigger of the update”: removed reference to non-existant field BTC. Modified Figure 49-28 “External PWM Reset Mode: Power Factor Correction Application”. Removed RLIMIT and Zener diode from Figure 49-32 “Cycle-By-Cycle Duty Mode: LED String Control”. Section 50. “Analog Front-End Controller (AFEC)” In text and tables throughout this section, all occurrences of ADVREF have been modified to VREFP. Figure 50-1, “Analog Front-End Controller Block Diagram”: added 2nd DAC. Removed ADVREF; added VREFP and VREFN. Table 50-1 “AFEC Signal Description”: removed row with VDDANA. Added row with VREFN. Section 50.5 “Product Dependencies”: reorganized sub-sections. In Section 50.5.2 “Power Management”, added sentence on Sleep mode. Modified Section 50.5.1 “I/O Lines”. Removed section 50.5.3 Analog Inputs. Section 50.6.1 “Analog Front-End Conversion”: changed PRESCAL condition from ‘0’ to ‘1’ for frequency range fperipheral clock/2. Figure 50-7 “Analog Full Scale Ranges in Single-Ended/Differential Applications Versus Gain”: replaced all occurrences of VADVREF with VVREFP; replaced min ‘0’ value with VVREFN=0. Section 50.7.2 “AFEC Mode Register”: modified PRESCAL description. 24-Feb-15 Section 51. “Digital-to-Analog Converter (DACC)” © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1936 SAM E70/S70/V70/V71 Revision History ...........continued Date Changes Section 51.1 “Description”: removed information on refresh feature. Figure 51-1 “Block Diagram”: added VDDANA, VREFP and VREFN. Table 51-1 “DACC Signal Description”: added VREFP and VREFN to table. Section 51.2 “Embedded Characteristics”: removed bullet on refresh period. Added Section 51.5.1 “I/O Lines”. Section 51.6.3 “Analog Output Mode Selection”: corrected bit name for output modeselection to ‘DIFF’ from ‘ANA_MODE_SEL’ . Section 51.6.4 “Conversion Modes”: added details on enabling conversion modes. Removed bullet “Interpolated Mode”. Removed section 51.6.5 “Refresh Mode”. Updated Section 51.6.4.4 “Interpolation Mode”. Section 51.7.2 “DACC Mode Register”: removed field REFRESH and description. Bits 15:8 now reserved. Section 51.7.6 “DACC Channel Status Register”: modified DACRDYx bit descriptions. Section 51.7.11 “DACC Interrupt Status Register”: ENDTXx, TXBUFEx descriptions: corrected register name to ‘DACC_CDRx’ from ‘DACC _TCR or DACC_TNCR’. Section 52. “Analog Comparator Controller (ACC)” In text and in tables throughout this section, changed all occurrences of ADVREF to VREFP. Section 52.2 “Embedded Characteristics”: In bullet: “Four Voltage References...”, changed ADVREF to ‘External Voltage Reference’ Renamed Section 5. to “Signal Description” Removed Table 52-1 “List of External Analog Data Inputs” and note referring to this table. Section 53. “Integrity Check Monitor (ICM)” Section 53.1 “Description”: updated content. Renamed section “ICM SHA Engine” to “Using ICM as SHA Engine” and updated content. Added Section 53.5.4.1 “Settings for Simple SHA Calculation”. Section 53.5.2.2 “ICM Region Configuration Structure Member”: updated descriptions for RHIEN, DMIEN, BEIEN, WCIEN, ECIEN, SUIEN and MPROT. Section 53.6.1 “ICM Configuration Register”: updated descriptions for DAPROT and HAPROT. Section 53.6.3 “ICM Status Register”: updated descriptions for RAWRMDIS and RMDIS. 24-Feb-15 Section 55. “Advanced Encryption Standard (AES)” Section 55.4.5.2 “DMA Mode”: removed references to ‘BTC’ throughout. Section 56. “Electrical Characteristics” Table 56-1 “Absolute Maximum Ratings*“: added reference to Note 1 for 64-pin QFN package. Table 56-2 “DC Characteristics”: updated conditions for VIL, VIH, VOH, VOL, IO, RPULLUP, RPULLDOWN, RSERIAL. Added parameter Flash Active Current characteristics. Added parameter Static Current. Modified Note (1) below table. Table 56-3 “1.2V Voltage Regulator Characteristics”: removed note on VDDIO voltage at power-up (was Note 3). Updated note on VDDIO voltage value. Changed values of CDOUT. Changed conditions for parameter tSTART and CDOUT value in Note 2. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1937 SAM E70/S70/V70/V71 Revision History ...........continued Date Changes Table 56-4 “Core Power Supply Brownout Detector Characteristics”: updated all values. Changed Note 1. Table 56-6 “VDDIO Supply Monitor”: updated values for TACCURACY Table 56-9. “DC Flash Characteristics” moved to Table 56-2 “DC Characteristics”. Section 56.3.2.1 “Sleep Mode Conditions”: corrected number of WKUP pins. Added Section 56.3.6 “I/O Switching Power Consumption”. Table 56-21 “32 kHz RC Oscillator Characteristics”: changed max values to TBD for tSTART, IDDON and IDDON_STANDBY. Table 56-25 “3 to 20 MHz Crystal Oscillator Characteristics”: for tSTART and IDD_ON,changed max values to TBD. Added parameter IDD._STANDBY. Table 56-26 “Crystal Characteristics”: ESR: added new row with condition Fundamental at 3 MHz. Changed max values for 8 and 12 MHz. Table 56-29 “PLLA Characteristics”: changed max value of fIN. Added parameter IDD_STDBY Added Section 56.6 “PLLUSB Characteristics”> Updated section Section 56.7 “USB Transceiver Characteristics”. Moved Section 56.8 MediaLB to Section 56.8. Section 56.9 “AFE Characteristics”: changed numbering of sub-sections throughout. - Removed bullet on min and max data. - Changed all occurrences of ADVREFP to VREP, and of ADVREFN to VREFN throughout section. - Changed all occurrences of ADC to AFE, where relevant. - Modified Figure 56-11 “Single-ended Mode AFE” and Figure 56-12 “Differential Mode AFE”. - Table 56-36 “Power Supply Characteristics”: updated IVDDIN conditions in and changed max values. Changed max values for IVDDCORE. Removed Note 1 due to incorrect cross-reference. Added Note 3 on current consumption. - Table 56-38 “VREFP Electrical Characteristics”: changed min and max values for IVREFP. - Table 56-46 “Single-ended Output Offset Error”: added note on voltage application. - Table 56-47 “Single-ended Static Electrical Characteristics”: added conditions and values. - Table 56-49 “Differential Static Electrical Characteristics”: changed min and max values. Added Section 56.10 “Analog Comparator Characteristics”. Section 56.12 “12-bit DAC Characteristics” - Added note to Table 56-59 “Analog Power Supply Characteristics”. Added new conditions to Table 56-62 “Static Performance Characteristics”. and updated min and max values for INL, DNL and Gain Error. Section 56.13 “Timings for Worst-Case Conditions” - Table 56-68 “I/O Characteristics”: new conditions and the corresponding max values added. - Section 56.13.2 “Embedded Flash Characteristics”: in Table 56-87 “AC Flash Characteristics” changed Full Chip Erase values. Replaced two “Embedded Flash Wait State” tables with single Table 56-88 “Embedded Flash Wait State at 105°C“ © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1938 SAM E70/S70/V70/V71 Revision History ...........continued Date Changes Section 56.14 “Timings for STH Conditions” - Table 56-92 “I/O Characteristics”: new conditions and the corresponding max values added. - Section 56.14.2 “Embedded Flash Characteristics”: replaced two “Embedded Flash Wait State” tables with single Table 56-112 “Embedded Flash Wait State at 105°C“ Section 57. “Mechanical Characteristics” Deleted Section 57.6 “64-lead QFN Wettable Flanks Package”. Section 59. “Ordering Information”: updated ordering codes by appending trailing ‘T’. Removed Note 1 and cross-references. Changed conditioning to Tape & Reel. Table 63-11. SAM E70/S70/V70/V71 Datasheet Rev. 44003A – Revision History Date Changes 15-Oct-13 First issue © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1939 SAM E70/S70/V70/V71 The Microchip Website Microchip provides online support via our website at www.microchip.com/. This website is used to make files and information easily available to customers. Some of the content available includes: • • • Product Support – Data sheets and errata, application notes and sample programs, design resources, user’s guides and hardware support documents, latest software releases and archived software General Technical Support – Frequently Asked Questions (FAQs), technical support requests, online discussion groups, Microchip design partner program member listing Business of Microchip – Product selector and ordering guides, latest Microchip press releases, listing of seminars and events, listings of Microchip sales offices, distributors and factory representatives Product Change Notification Service Microchip’s product change notification service helps keep customers current on Microchip products. Subscribers will receive email notification whenever there are changes, updates, revisions or errata related to a specified product family or development tool of interest. To register, go to www.microchip.com/pcn and follow the registration instructions. Customer Support Users of Microchip products can receive assistance through several channels: • • • • Distributor or Representative Local Sales Office Embedded Solutions Engineer (ESE) Technical Support Customers should contact their distributor, representative or ESE for support. Local sales offices are also available to help customers. A listing of sales offices and locations is included in this document. Technical support is available through the website at: www.microchip.com/support Microchip Devices Code Protection Feature Note the following details of the code protection feature on Microchip devices: • • • • • Microchip products meet the specification contained in their particular Microchip Data Sheet. Microchip believes that its family of products is one of the most secure families of its kind on the market today, when used in the intended manner and under normal conditions. There are dishonest and possibly illegal methods used to breach the code protection feature. All of these methods, to our knowledge, require using the Microchip products in a manner outside the operating specifications contained in Microchip’s Data Sheets. Most likely, the person doing so is engaged in theft of intellectual property. Microchip is willing to work with the customer who is concerned about the integrity of their code. Neither Microchip nor any other semiconductor manufacturer can guarantee the security of their code. Code protection does not mean that we are guaranteeing the product as “unbreakable.” Code protection is constantly evolving. We at Microchip are committed to continuously improving the code protection features of our products. Attempts to break Microchip’s code protection feature may be a violation of the Digital Millennium Copyright Act. If such acts allow unauthorized access to your software or other copyrighted work, you may have a right to sue for relief under that Act. Legal Notice Information contained in this publication regarding device applications and the like is provided only for your convenience and may be superseded by updates. It is your responsibility to ensure that your application meets with © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1940 SAM E70/S70/V70/V71 your specifications. MICROCHIP MAKES NO REPRESENTATIONS OR WARRANTIES OF ANY KIND WHETHER EXPRESS OR IMPLIED, WRITTEN OR ORAL, STATUTORY OR OTHERWISE, RELATED TO THE INFORMATION, INCLUDING BUT NOT LIMITED TO ITS CONDITION, QUALITY, PERFORMANCE, MERCHANTABILITY OR FITNESS FOR PURPOSE. Microchip disclaims all liability arising from this information and its use. Use of Microchip devices in life support and/or safety applications is entirely at the buyer’s risk, and the buyer agrees to defend, indemnify and hold harmless Microchip from any and all damages, claims, suits, or expenses resulting from such use. No licenses are conveyed, implicitly or otherwise, under any Microchip intellectual property rights unless otherwise stated. Trademarks The Microchip name and logo, the Microchip logo, Adaptec, AnyRate, AVR, AVR logo, AVR Freaks, BesTime, BitCloud, chipKIT, chipKIT logo, CryptoMemory, CryptoRF, dsPIC, FlashFlex, flexPWR, HELDO, IGLOO, JukeBlox, KeeLoq, Kleer, LANCheck, LinkMD, maXStylus, maXTouch, MediaLB, megaAVR, Microsemi, Microsemi logo, MOST, MOST logo, MPLAB, OptoLyzer, PackeTime, PIC, picoPower, PICSTART, PIC32 logo, PolarFire, Prochip Designer, QTouch, SAM-BA, SenGenuity, SpyNIC, SST, SST Logo, SuperFlash, Symmetricom, SyncServer, Tachyon, TempTrackr, TimeSource, tinyAVR, UNI/O, Vectron, and XMEGA are registered trademarks of Microchip Technology Incorporated in the U.S.A. and other countries. APT, ClockWorks, The Embedded Control Solutions Company, EtherSynch, FlashTec, Hyper Speed Control, HyperLight Load, IntelliMOS, Libero, motorBench, mTouch, Powermite 3, Precision Edge, ProASIC, ProASIC Plus, ProASIC Plus logo, Quiet-Wire, SmartFusion, SyncWorld, Temux, TimeCesium, TimeHub, TimePictra, TimeProvider, Vite, WinPath, and ZL are registered trademarks of Microchip Technology Incorporated in the U.S.A. Adjacent Key Suppression, AKS, Analog-for-the-Digital Age, Any Capacitor, AnyIn, AnyOut, BlueSky, BodyCom, CodeGuard, CryptoAuthentication, CryptoAutomotive, CryptoCompanion, CryptoController, dsPICDEM, dsPICDEM.net, Dynamic Average Matching, DAM, ECAN, EtherGREEN, In-Circuit Serial Programming, ICSP, INICnet, Inter-Chip Connectivity, JitterBlocker, KleerNet, KleerNet logo, memBrain, Mindi, MiWi, MPASM, MPF, MPLAB Certified logo, MPLIB, MPLINK, MultiTRAK, NetDetach, Omniscient Code Generation, PICDEM, PICDEM.net, PICkit, PICtail, PowerSmart, PureSilicon, QMatrix, REAL ICE, Ripple Blocker, SAM-ICE, Serial Quad I/O, SMART-I.S., SQI, SuperSwitcher, SuperSwitcher II, Total Endurance, TSHARC, USBCheck, VariSense, ViewSpan, WiperLock, Wireless DNA, and ZENA are trademarks of Microchip Technology Incorporated in the U.S.A. and other countries. SQTP is a service mark of Microchip Technology Incorporated in the U.S.A. The Adaptec logo, Frequency on Demand, Silicon Storage Technology, and Symmcom are registered trademarks of Microchip Technology Inc. in other countries. GestIC is a registered trademark of Microchip Technology Germany II GmbH & Co. KG, a subsidiary of Microchip Technology Inc., in other countries. All other trademarks mentioned herein are property of their respective companies. © 2019, Microchip Technology Incorporated, Printed in the U.S.A., All Rights Reserved. ISBN: 978-1-5224-9272-6 Quality Management System For information regarding Microchip’s Quality Management Systems, please visit www.microchip.com/quality. © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1941 Worldwide Sales and Service AMERICAS ASIA/PACIFIC ASIA/PACIFIC EUROPE Corporate Office 2355 West Chandler Blvd. Chandler, AZ 85224-6199 Tel: 480-792-7200 Fax: 480-792-7277 Technical Support: www.microchip.com/support Web Address: www.microchip.com Atlanta Duluth, GA Tel: 678-957-9614 Fax: 678-957-1455 Austin, TX Tel: 512-257-3370 Boston Westborough, MA Tel: 774-760-0087 Fax: 774-760-0088 Chicago Itasca, IL Tel: 630-285-0071 Fax: 630-285-0075 Dallas Addison, TX Tel: 972-818-7423 Fax: 972-818-2924 Detroit Novi, MI Tel: 248-848-4000 Houston, TX Tel: 281-894-5983 Indianapolis Noblesville, IN Tel: 317-773-8323 Fax: 317-773-5453 Tel: 317-536-2380 Los Angeles Mission Viejo, CA Tel: 949-462-9523 Fax: 949-462-9608 Tel: 951-273-7800 Raleigh, NC Tel: 919-844-7510 New York, NY Tel: 631-435-6000 San Jose, CA Tel: 408-735-9110 Tel: 408-436-4270 Canada - Toronto Tel: 905-695-1980 Fax: 905-695-2078 Australia - Sydney Tel: 61-2-9868-6733 China - Beijing Tel: 86-10-8569-7000 China - Chengdu Tel: 86-28-8665-5511 China - Chongqing Tel: 86-23-8980-9588 China - Dongguan Tel: 86-769-8702-9880 China - Guangzhou Tel: 86-20-8755-8029 China - Hangzhou Tel: 86-571-8792-8115 China - Hong Kong SAR Tel: 852-2943-5100 China - Nanjing Tel: 86-25-8473-2460 China - Qingdao Tel: 86-532-8502-7355 China - Shanghai Tel: 86-21-3326-8000 China - Shenyang Tel: 86-24-2334-2829 China - Shenzhen Tel: 86-755-8864-2200 China - Suzhou Tel: 86-186-6233-1526 China - Wuhan Tel: 86-27-5980-5300 China - Xian Tel: 86-29-8833-7252 China - Xiamen Tel: 86-592-2388138 China - Zhuhai Tel: 86-756-3210040 India - Bangalore Tel: 91-80-3090-4444 India - New Delhi Tel: 91-11-4160-8631 India - Pune Tel: 91-20-4121-0141 Japan - Osaka Tel: 81-6-6152-7160 Japan - Tokyo Tel: 81-3-6880- 3770 Korea - Daegu Tel: 82-53-744-4301 Korea - Seoul Tel: 82-2-554-7200 Malaysia - Kuala Lumpur Tel: 60-3-7651-7906 Malaysia - Penang Tel: 60-4-227-8870 Philippines - Manila Tel: 63-2-634-9065 Singapore Tel: 65-6334-8870 Taiwan - Hsin Chu Tel: 886-3-577-8366 Taiwan - Kaohsiung Tel: 886-7-213-7830 Taiwan - Taipei Tel: 886-2-2508-8600 Thailand - Bangkok Tel: 66-2-694-1351 Vietnam - Ho Chi Minh Tel: 84-28-5448-2100 Austria - Wels Tel: 43-7242-2244-39 Fax: 43-7242-2244-393 Denmark - Copenhagen Tel: 45-4450-2828 Fax: 45-4485-2829 Finland - Espoo Tel: 358-9-4520-820 France - Paris Tel: 33-1-69-53-63-20 Fax: 33-1-69-30-90-79 Germany - Garching Tel: 49-8931-9700 Germany - Haan Tel: 49-2129-3766400 Germany - Heilbronn Tel: 49-7131-72400 Germany - Karlsruhe Tel: 49-721-625370 Germany - Munich Tel: 49-89-627-144-0 Fax: 49-89-627-144-44 Germany - Rosenheim Tel: 49-8031-354-560 Israel - Ra’anana Tel: 972-9-744-7705 Italy - Milan Tel: 39-0331-742611 Fax: 39-0331-466781 Italy - Padova Tel: 39-049-7625286 Netherlands - Drunen Tel: 31-416-690399 Fax: 31-416-690340 Norway - Trondheim Tel: 47-72884388 Poland - Warsaw Tel: 48-22-3325737 Romania - Bucharest Tel: 40-21-407-87-50 Spain - Madrid Tel: 34-91-708-08-90 Fax: 34-91-708-08-91 Sweden - Gothenberg Tel: 46-31-704-60-40 Sweden - Stockholm Tel: 46-8-5090-4654 UK - Wokingham Tel: 44-118-921-5800 Fax: 44-118-921-5820 © 2021 Microchip Technology Inc. and its subsidiaries Complete Datasheet DS60001527F-page 1942
ATSAME70Q20A-CNT 价格&库存

很抱歉,暂时无法提供与“ATSAME70Q20A-CNT”相匹配的价格&库存,您可以联系我们找货

免费人工找货
ATSAME70Q20A-CNT
    •  国内价格
    • 1000+135.50900

    库存:1000