0
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心
发布
  • 发文章

  • 发资料

  • 发帖

  • 提问

  • 发视频

创作活动
EVAL-ADUC7126QSPZ

EVAL-ADUC7126QSPZ

  • 厂商:

    AD(亚德诺)

  • 封装:

    -

  • 描述:

    BOARD EVALUATION FOR ADUC7126

  • 数据手册
  • 价格&库存
EVAL-ADUC7126QSPZ 数据手册
精密模拟微控制器,12位模拟I/O,大容量存储器, 具有增强型IRQ处理程序的ARM7TDMI MCU ADuC7124/ADuC7126 片内外设 2个完全I2C兼容通道 SPI(主模式下20 Mbps,从模式下10 Mbps) 输入级和输出级具有4字节FIFO 2个UART通道 输入级和输出级具有16字节FIFO 多达40个GPIO端口 所有GPIO均兼容5 V电压 4个通用定时器 看门狗定时器(WDT)和唤醒定时器 可编程逻辑阵列(PLA) 16个PLA元件 16位、6通道PWM 电源监控器 电源 额定工作电压:3 V 主动模式:11.6 mA(5 MHz),33.3 mA(41.78 MHz) 封装和温度范围 额定工作温度范围:−40°C至+125°C 64引脚LFCSP和80引脚LQFP 工具 低成本QuickStart开发系统 完全第三方支持 特性 模拟输入/输出 多通道、12位、1 MSPS ADC 多达16个ADC通道 全差分模式和单端模式 模拟输入范围:0 V至VREF 12位电压输出DAC 提供4个DAC输出 片内基准电压 片内温度传感器(±3°C) 电压比较器 微控制器 16位/32位RISC架构ARM7TDMI内核 JTAG端口支持代码下载和调试 时钟选项 修正的片内振荡器(±3%) 外部时钟晶体 可达41.78 MHz的外部时钟源 具有可编程分频器的41.78 MHz锁相环 存储器 126 kB Flash/EE存储器,32 kB SRAM 在线下载,基于JTAG调试 软件触发在线重新编程能力 用于FIQ和IRQ的矢量中断控制器 每类中断支持8种优先级 边沿或电平中断外部引脚输入 应用 工业控制和自动化系统 智能传感器、精密仪器 基站系统、光纤网络 病人监护 功能框图 ADC0 MUX ADC15 TEMP SENSOR ADuC7124/ADuC7126 CMP0 CMP1 BAND GAP REF CMPOUT VECTORED INTERRUPT CONTROLLER VREF XCLKI 12-BIT DAC DAC0 12-BIT DAC DAC1 12-BIT DAC DAC2 12-BIT DAC DAC3 1MSPS 12-BIT ADC ARM7TDMI-BASED MCU WITH ADDITIONAL PERIPHERALS OSC AND PLL XCLKO PLA 8k × 32 SRAM 63k × 16 FLASH/EEPROM GPIO PWM RST Rev. C POR 4 GENERALPURPOSE TIMERS Information furnished by Analog Devices is believed to be accurate and reliable. However, no responsibility is assumed by Analog Devices for its use, nor for any infringements of patents or other rights of third parties that may result from its use. Specifications subject to change without notice. No license is granted by implication or otherwise under any patent or patent rights of Analog Devices. Trademarks and registered trademarks are the property of their respective owners. I2C, SPI, 2 × 2 × UART JTAG EXTERNAL MEMORY INTERFACE 09123-001 PSM 图1. One Technology Way, P.O. Box 9106, Norwood, MA 02062-9106, U.S.A. Tel: 781.329.4700 www.analog.com Fax: 781.461.3113 ©2010–2012 Analog Devices, Inc. All rights reserved. ADI中文版数据手册是英文版数据手册的译文,敬请谅解翻译中可能存在的语言组织或翻译错误,ADI不对翻译中存在的差异或由此产生的错误负责。如需确认任何词语的准确性,请参考ADI提供 的最新英文版数据手册。 ADuC7124/ADuC7126 目录 特性..................................................................................................... 1 应用..................................................................................................... 1 功能框图 ............................................................................................ 1 修订历史 ............................................................................................ 3 概述..................................................................................................... 4 技术规格 ............................................................................................ 5 时序规格....................................................................................... 8 绝对最大额定值...............................................................................13 ESD警告........................................................................................13 引脚配置和功能描述 ......................................................................14 典型工作特性 ...................................................................................23 术语.....................................................................................................26 ADC技术规格 .............................................................................26 DAC技术规格..............................................................................26 ARM7TDMI内核概览.....................................................................27 Thumb模式(T) ............................................................................ 27 长乘(M)........................................................................................ 27 EmbeddedICE (I)........................................................................ 27 异常 ...............................................................................................27 ARM寄存器 .................................................................................27 中断延迟.......................................................................................28 存储器结构........................................................................................29 存储器访问 ..................................................................................29 Flash/EE存储器 ...........................................................................29 SRAM.............................................................................................29 存储器映射寄存器 .....................................................................29 ADC电路概览...................................................................................37 传递函数.......................................................................................37 典型操作.......................................................................................38 寄存器接口 ..................................................................................38 转换器操作 ..................................................................................40 驱动模拟输入 ..............................................................................41 校准 ...............................................................................................42 温度传感器 ..................................................................................42 带隙基准电压源..........................................................................43 非易失性Flash/EE存储器 ...............................................................44 编程 ...............................................................................................44 Flash/EE存储器安全性 ..............................................................45 Flash/EE控制接口 .......................................................................45 SRAM和Flash/EE执行时间.......................................................48 复位和重映射 ..............................................................................48 其他模拟外设 ...................................................................................51 DAC ...............................................................................................51 电源监控器 ..................................................................................53 比较器 ...........................................................................................53 振荡器和锁相环—电源控制 ....................................................54 数字外设 ............................................................................................58 通用输入/输出 ............................................................................58 串口多路复用器..........................................................................60 UART串行接口............................................................................60 串行外设接口 ..............................................................................66 I2C...................................................................................................70 PWM概述.....................................................................................78 可编程逻辑阵列(PLA).............................................................. 81 处理器相关外设...............................................................................84 中断系统.......................................................................................84 IRQ.................................................................................................84 快速中断请求(FIQ)................................................................... 85 矢量中断控制器(VIC) .............................................................. 86 定时器 ...........................................................................................91 外部存储器接口..........................................................................97 硬件设计考虑 .................................................................................101 电源 .............................................................................................101 接地和电路板布局建议 ..........................................................102 时钟振荡器 ................................................................................102 上电复位操作 ............................................................................103 外形尺寸 ..........................................................................................104 订购指南.....................................................................................105 Rev. C | Page 2 of 108 ADuC7124/ADuC7126 修订历史 2012年5月—修订版B至修订版C 将概述部分中的位变为字节.............................................................4 更改表2和表3 .......................................................................................8 更改表4、图2和图3 ............................................................................9 更改表5和图4 .....................................................................................10 更改表6和图5 .....................................................................................11 更改表7和图6 .....................................................................................12 更改表9中的引脚50和引脚51 .........................................................14 更改“串行下载(在线编程)”部分 ....................................................44 更改表77 ..............................................................................................57 更改表78 ..............................................................................................58 更改表90 ..............................................................................................60 更改“正常450 UART波特率生成”部分 .........................................61 更改“串行外设接口”部分 ................................................................66 定时器部分增加公式,并增加“时:分:秒1/128格式”部分..91 更改图69 ........................................................................................... 103 更新“外形尺寸”部分...................................................................... 104 更改“订购指南”部分...................................................................... 105 2011年1月—修订版A至修订版B 更改表1 ..................................................................................................5 2010年10月—修订版0至修订版A 增加ADuC7126..............................................................................通篇 更改特性部分 .......................................................................................1 移动图1 ..................................................................................................1 更改图1 ..................................................................................................1 更改概述部分 .......................................................................................4 更改表1的下列参数:25°C时的电压输出、电压TC、主动模 式下的IOVDD电流、暂停模式下的IOVDD电流 ............................ 5 更改表8 ................................................................................................13 REFGND更改为GNDREF ...................................................................13 更改图7和表9 .....................................................................................14 增加图8和表10;重新排序 .............................................................18 更改图17的标题 .................................................................................25 更改“存储器映射寄存器”部分 .......................................................29 更改图26 ..............................................................................................30 更改表18 ..............................................................................................32 更改表21 ..............................................................................................33 更改表22 ..............................................................................................34 移动表25 ..............................................................................................35 更改表25 ..............................................................................................35 增加表26 ..............................................................................................35 更改表27 ..............................................................................................36 更改“温度传感器”部分.....................................................................42 删除表59;重新排序 ........................................................................43 增加“通过I2C执行下载(在线编程)”部分 ......................................44 更改“JTAG访问”部分和表37...........................................................45 更改表45 ..............................................................................................46 更改“RSTCFG寄存器”部分..............................................................49 删除表72和表75 .................................................................................49 删除表78 ..............................................................................................50 更改DAC部分、表62和表64 ...........................................................51 更改“ADC和DAC的基准电压”部分、表66、“在运算放大器模式 下配置DAC缓冲器”部分、“DACBCFG寄存器”部分和表67 ...52 增加“DACBKEY1寄存器”部分和“DACBKEY2寄存器”部分 ....53 更改表69和图45 .................................................................................54 更改“外部晶体选择”部分和“外部时钟选择”部分 .....................55 更改“PLLCON寄存器”和“POWCON0寄存器”部分 ..................56 更改表78 ..............................................................................................58 更改表81 ..............................................................................................59 更改表84和表90 .................................................................................60 更改表93、“COM0FCR寄存器”部分、“COM1FCR寄存器”部分 和表94...................................................................................................63 更改“串行外设接口”部分 ................................................................66 更改“SPI寄存器”部分 .......................................................................67 更改“SPIDIV寄存器”部分和表101.................................................68 更改“I2C主机发送寄存器”部分 ......................................................73 更改表109 ............................................................................................74 更改“I2C从机状态寄存器”部分 ......................................................75 更改表113 ............................................................................................79 更改表114标题和图50 ......................................................................80 更改“IRQCLRE寄存器”部分 ...........................................................90 更改图54 ..............................................................................................92 更改表141、“T1CLRI寄存器”部分和“T1CAP寄存器”部分.....93 更改表143 ............................................................................................94 增加“外部存储器接口”部分、表145、表146和图57.................96 增加“XMCFG寄存器”部分、表147、表148、表149和表150..97 增加图58和图59 .................................................................................98 增加图60和图61 .................................................................................99 更改图62至图65 .............................................................................. 100 更改图67和图68 .............................................................................. 101 更改“上电复位操作”部分和图69 ................................................ 102 增加图71 ........................................................................................... 103 更改“订购指南”部分...................................................................... 104 010年9月—修订版0:初始版 Rev. C | Page 3 of 108 ADuC7124/ADuC7126 概述 ADuC7124/ADuC7126均为完全集成的1 MSPS、12位数据采 ADuC7124/ADuC7126内置一个高级中断控制器。该矢量 集系统,在单芯片内集成高性能多通道ADC、16位/32位 中断控制器(VIC)可以为每个中断分配一个优先级。它还 MCU和Flash/EE存储器。 支持嵌套中断,每个IRQ和FIQ最多允许8级嵌套。如果将 ADC具有多达12路单端输入。另外还有4个ADC输入通道 IRQ和FIQ中断源合并,则可以支持总计16级嵌套中断。 也可以和4个DAC的输出引脚复用。ADC可以在单端或差 片内出厂固件支持通过UART串行接口端口或I2C端口进行 分输入模式下工作。ADC输入电压范围为0 V至VREF。低漂 在线下载,并且支持通过JTAG接口进行非介入仿真。这些 移带隙基准电压源、温度传感器和电压比较器完善了ADC 特性都集成在支持此MicroConverter®系列的低成本Quick- 的外设设置。 Start™开发系统中。 通过编程可以将DAC输出范围设置为三种电压范围之一。 这些器件内置一个提供6路输出信号的16位PWM。 DAC输出具有一个增强特性,能够在看门狗或软件复位时 序中保持其输出电压。 为便于通信,器件内置2个I2C通道,可以将这些通道独立 配置为主模式或从模式。另外还提供了支持主从两种模式 这些器件通过一个片内振荡器和锁相环(PLL)产生41.78MHz 的SPI接口。此外还有2个UART通道。每个UART含有一个 的内部高频时钟信号。该时钟信号通过一个可编程时钟分 可配置的16字节FIFO及接收和发送缓冲器。 频器进行中继,在其中产生MCU内核时钟工作频率。微控 这些器件的工作电压范围为2.7 V至3.6 V,额定温度范围为 制器内核为ARM7TDMI®,它是一个16位/32位RISC机器, 峰值性能最高可达41 MIPS。片内集成有32 KB SRAM和126 KB 非易失性Flash/EE存储器。ARM7TDMI内核将所有存储器 和寄存器视为一个线性阵列。 −40°C至+125°C工业温度范围。工作频率为41.78 MHz时, 其典型功耗为120 mW。ADuC7124采用64引脚LFCSP封装。 ADuC7126采用80引脚LQFP封装。 Rev. C | Page 4 of 108 ADuC7124/ADuC7126 技术规格 除非另有说明,AVDD = IOVDD = 2.7 V至3.6 V,VREF = 2.5 V内部基准电压,fCORE = 41.78 MHz,TA = −40°C至+125°C。 表1. 参数 ADC通道规格 ADC上电时间 直流精度1, 2 分辨率 积分非线性 最小值 典型值 单位 5 12 ±0.6 ±1.0 ±0.5 +0.7/−0.6 1 微分非线性3, 4 直流代码分布 端点误差5 失调误差 ±1 ±1 ±2 ±1 失调误差匹配 增益误差 增益误差匹配 动态性能 信噪比(SNR) 总谐波失真(THD) 峰值谐波或杂散噪声 通道间串扰 模拟输入 输入电压范围4 差模 单端模式 漏电流 输入电容 片内基准电压 输出电压 精度 基准源温度系数 电源抑制比 输出阻抗 内部VREF上电时间 外部基准输入 输入电压范围 DAC通道规格 最大值 ±1.5 +1/−0.9 ±2 ±5 69 −78 −75 −90 ±1 24 VCM 6 ± VREF/2 0 至V REF ±6 ±5 ±15 80 45 1 AVDD V V µA pF V mV ppm/°C dB Ω ms fIN = 10 kHz正弦波,fSAMPLE = 1 MSPS 包括失真和噪声成分 在邻道上测量;未采样的输入通道 连接25 kHz正弦波信号 在ADC采样期间 在VREF和AGND之间连接0.47 μF电容 TA = 25°C TA = 25°C V RL = 5 kΩ,C L = 100 pF 直流精度7 分辨率 相对精度 微分非线性 失调误差 增益误差8 增益误差失配 2.5 V内部基准电压 1.0 V外部基准电压 2.5 V内部基准电压 1.0 V外部基准电压 ADC输入为直流电压 LSB LSB LSB LSB dB dB dB dB 2.5 0.625 位 LSB LSB LSB LSB LSB 测试条件/注释 8采集时钟和fADC/2 12 ±2 ±1 10 1.0 0.1 Rev. C | Page 5 of 108 位 LSB LSB mV % % 保证单调性 2.5 V内部基准电压 DAC0满量程的百分比 ADuC7124/ADuC7126 参数 模拟输出 输出电压范围0 输出电压范围1 输出电压范围2 输出阻抗 单位 测试条件/注释 0 至DACREF 0 至 2.5 0 至 DACVDD 0.5 V V V Ω DACREF范围:DACGND至DACVDD 运算放大器模式下DAC 运算放大器模式下DAC输出缓冲器 输入失调电压 输入失调电压漂移 输入失调电流 输入偏置电流 增益 单位增益频率 CMRR 建立时间 输出压摆率 电源抑制比(PSRR) ±0.4 4 2 2.5 70 4.5 78 12 3.2 75 mV µV/°C nA nA dB MHz dB µs V/µs dB DAC交流特性 输出电压建立时间 数模转换脉冲干扰 10 ±10 µs nV-sec ±15 1 mV µA V pF mV 比较器 输入失调电压 输入偏置电流 输入电压范围 输入电容 迟滞4, 6 最小值 典型值 AGND 8.5 2 响应时间 温度传感器 25°C时电压输出 电压温度系数 精度 θJA热阻 64引脚LFCSP 电源监控器(PSM) IOVDD跳变点选择 电源跳变点精度 上电复位 看门狗定时器(WDT) 超时时间 FLASH/EE存储器 耐久性9 数据保持10 数字输入 逻辑1输入电流 逻辑0输入电流 输入电容 最大值 AVDD – 1.2 15 5 kΩ负载 RL = 5 kΩ, C L = 100 pF RL = 5 kΩ, C L = 100 pF RL = 5 kΩ, C L = 100 pF 主进位1 LSB变化(DACxDAT寄存器中同 时变化的最大位数) 4 µs 迟滞可以通过CMPCON寄存器中的 CMPHYST位打开或关断 100 mV过驱、CMPRES = 11 1.415 1.392 3.914 4.52 ±3 V V mV/°C mV/°C °C ADuC7124 ADuC7126 ADuC7124 ADuC7126 需要单点校准 °C/W 24 2.79 3.07 ±2.5 2.41 0 V V % V 512 10,000 20 ±1 −60 −120 Rev. C | Page 6 of 108 已选跳变点标称电压 秒 周期 年 ±0.2 −40 −80 5 两个可选择跳变点 µA µA µA pF TJ = 85°C 除XCLKI和XCLKO外的所有数字输入 VIH = VDD 或 VIH = 5 V VIL = 0 V;TDI、TDO和RTCK除外 VIL = 0 V;TDI、TDO和RTCK ADuC7124/ADuC7126 参数 逻辑输入3 输入低电压VINL 输入高电压VINH 逻辑输出 输出高电压VOH 输出低电压VOL 11 晶体输入XCLKI和XCLKO 逻辑输入,仅限XCLKI 输入低电压VINL 输入高电压VINH XCLKI输入电容 XCLKO输入电容 内部振荡器 MCU时钟速率4 采用32 kHz内部振荡器 采用32 kHz外部晶体 使用外部时钟 最小值 IOVDD暂停模式下电流 IOVDD休眠模式下电流 附加电源电流 ADC DAC 最大值 单位 0.8 V V 2.0 2.4 0.4 V V ±3 V V pF pF kHz % 44 41.78 kHz MHz MHz MHz 0.8 1.6 20 20 32.768 326 41.78 0.05 0.05 启动时间 上电时 从暂停/休眠模式 从休眠模式 从停止模式 可编程逻辑阵列(PLA) 引脚传输延迟 单元传输延迟 电源要求12, 13 电源电压范围 AVDD至AGND和IOVDD至IOGND 模拟电源电流 AVDD电流 DACVDD电流14 数字电源电流 IOVDD主动模式下电流 典型值 66 2.6 247 1.58 1.7 ms µs µs ms ms 12 2.5 ns ns 2.7 3.6 165 0.02 8.1 11.6 33.3 20.6 110 600 680 1.26 0.7 315 Rev. C | Page 7 of 108 除XCLKO外的所有数字输出 ISOURCE = 1.6 mA ISINK = 1.6 mA CD = 7 CD = 0 TA = 85°C TA = 125°C 内核时钟= 41.78 MHz CD = 0 CD = 7 从输入引脚到输出引脚 V µA µA 12.5 17 50 30 测试条件/注释 除XCLKI外的所有数字输入 ADC处于空闲模式 mA mA mA mA µA µA 从Flash/EE执行代码 CD = 7 CD = 3 CD = 0(时钟频率41.78 MHz) CD = 0(时钟频率41.78 MHz) TA = 85°C TA = 125°C mA mA µA 1 MSPS时 62.5 kSPS时 每DAC ADuC7124/ADuC7126 参数 ESD测试 最大HBM通过电压 最大FICDM通过电压 最小值 典型值 最大值 单位 3 1.5 kV kV 测试条件/注释 2.5 V基准电压,TA = 25°C 1 在内核正常工作时,保证所有ADC通道的技术规格。 适用于所有ADC输入通道。 3 使用ADC失调寄存器(ADCOF)和增益系数寄存器(ADCGN)中的出厂设定默认值进行测试。 4 未经生产测试,但量产时的设计和/或特性数据可提供保证。 5 采用运算放大器AD845作为一个外部输入缓冲级,用ADCOF和DACGN寄存器中的出厂设定默认值进行测试(如图37所示)。当使用外部ADC系统元件时,用户需 要进行系统校准来消除外部端点误差并满足规格要求(详见校准部分)。 6 输入信号可以任何直流共模电压(VCM)为中心,但该值必须位于ADC规定输入电压范围内。 7 DAC的线性度是使用一个递减的数据范围(100到3995)计算出来的。 8 DAC增益误差是使用一个递减的数据范围(100到内部2.5V基准电压)计算出来的。 9 耐久性是分别在−40°C、+25°C、+85°C及+125°C时依据JEDEC 22标准方法A117来认定的。 10 根据相当于85°C结温时的寿命。保持期限会随着结温递减。 11 测试是在最多8个I/O端口输出低电平时进行的。 12 电源功耗分别在正常、暂停和休眠模式下测试的,这3种模式下的测试条件分别为:正常模式供电电压为3.6V、暂停模式供电电压为3.6 V、休眠模式供电电压 为3.6 V。 13 在一个Flash/EE擦写周期中,IOVDD电源电流通常提高2 mA。 14 必须将此电流增加到AVDD电流。 2 时序规格 I2C时序 表2. 快速模式下I2C时序(400 kHz) 参数 tL tH tSHD tDSU tDHD tRSU tPSU tBUF tR tF 描述 SCL低电平脉宽 SCL高电平脉宽 起始条件保持时间 数据建立时间 数据保持时间 重复起始建立时间 停止条件的建立时间 一个结束条件和起始条件之间的总线空闲时间 SCL和SDA的上升时间 SCL和SDA的下降时间 从机 最小值 最大值 200 100 300 100 0 100 100 1.3 300 300 主机 典型值 1360 1140 740 400 800 200 单位 ns ns ns ns ns ns ns µs ns ns 表3. 标准模式下I2C时序(100 kHz) 从机 参数 tL tH tSHD tDSU tDHD tRSU tPSU tBUF tR tF 描述 SCL低电平脉宽 SCL高电平脉宽 起始条件保持时间 数据建立时间 数据保持时间 重复起始建立时间 停止条件的建立时间 一个结束条件和起始条件之间的总线空闲时间 SCL和SDA的上升时间 SCL和SDA的下降时间 Rev. C | Page 8 of 108 最小值 4.7 4.0 4.0 250 0 4.7 4.0 4.7 最大值 3.45 1 300 单位 µs ns µs ns µs µs µs µs µs ns ADuC7124/ADuC7126 tBUF tR MSB LSB tDSU tSHD P tF tDHD 2–7 8 9 1 tL S tR tRSU tH 1 SCL (I) MSB tDSU tDHD tPSU ACK S(R) REPEATED START STOP START CONDITION CONDITION tF 09123-029 SDA (I/O) 图2. I 2C兼容接口时序 SPI时序 表4. SPI主机定时(相位模式 =1) 参数 tSL tSH tDAV tDSU tDHD tDF tDR tSR tSF 最小值 典型值 (SPIDIV + 1) × tUCLK (SPIDIV + 1) × tUCLK 1 × tUCLK 2 × tUCLK 5 5 5 5 最大值 25 12.5 12.5 12.5 12.5 tUCLK = 23.9 ns。其对应于在时钟分频器之前来自PLL的41.78 MHz内部时钟。 SCLK (POLARITY = 0) tSH tSL tSR SCLK (POLARITY = 1) tDAV tDF MOSI MISO tDR MSB MSB IN tSF BIT 6 TO BIT 1 BIT 6 TO BIT 1 tDSU LSB LSB IN 09123-030 1 描述 SCLK低电平脉宽1 SCLK高电平脉宽1 SCLK边沿之后数据输出有效时间 SCLK边沿之前数据输入建立时间1 SCLK边沿之后数据输入保持时间1 数据输出下降时间 数据输出上升时间 SCLK上升时间 SCLK下降时间 tDHD 图3. SPI主机定时(相位模式 = 1) Rev. C | Page 9 of 108 单位 ns ns ns ns ns ns ns ns ns ADuC7124/ADuC7126 表5. SPI主机定时(相位模式 =0) 参数 tSL tSH tDAV tDOSU tDSU tDHD tDF tDR tSR tSF 最小值 1 × tUCLK 2 × tUCLK 典型值 (SPIDIV + 1) × tUCLK (SPIDIV + 1) × tUCLK 5 5 5 5 最大值 单位 ns ns ns ns ns ns ns ns ns ns 25 75 12.5 12.5 12.5 12.5 tUCLK = 23.9 ns。其对应于在时钟分频器之前来自PLL的41.78 MHz内部时钟。 SCLK (POLARITY = 0) tSH tSL tSR tSF SCLK (POLARITY = 1) tDAV tDOSU MOSI MISO tDF MSB MSB IN tDR BIT 6 TO BIT 1 BIT 6 TO BIT 1 tDSU tDHD 图4. SPI主机定时(相位模式 = 0) Rev. C | Page 10 of 108 LSB LSB IN 09123-031 1 描述 SCLK低电平脉宽1 SCLK高电平脉宽1 SCLK边沿之后数据输出有效时间 SCLK边沿之前数据输出建立时间 SCLK边沿之前数据输入建立时间1 SCLK边沿之后数据输入保持时间1 数据输出下降时间 数据输出上升时间 SCLK上升时间 SCLK下降时间 ADuC7124/ADuC7126 表6. SPI从机定时(相位模式 = 1) 参数 tCS tSL tSH tDAV tDSU tDHD tDF tDR tSR tSF tSFS 最小值 200 CS 至SCLK边沿 SCLK低电平脉宽 SCLK高电平脉宽 SCLK边沿之后数据输出有效时间 SCLK边沿之前数据输出建立时间1 SCLK边沿之后数据输入保持时间1 数据输出下降时间 数据输出上升时间 SCLK上升时间 SCLK下降时间 典型值 最大值 (SPIDIV + 1) × tHCLK (SPIDIV + 1) × tHCLK 1 × tUCLK 2 × tUCLK 5 5 5 5 25 12.5 12.5 12.5 12.5 0 CS 在SCLK沿后变高 tUCLK = 23.9 ns。其对应于在时钟分频器之前来自PLL的41.78 MHz内部时钟。 CS tSFS tCS SCLK (POLARITY = 0) tSH tSL tSR tSF SCLK (POLARITY = 1) tDAV MISO tDF MSB MOSI MSB IN tDR BIT 6 TO BIT 1 BIT 6 TO BIT 1 tDSU tDHD 图5. SPI从机定时(相位模式 = 1) Rev. C | Page 11 of 108 LSB LSB IN 09123-132 1 描述 单位 ns ns ns ns ns ns ns ns ns ns ns ADuC7124/ADuC7126 表7. SPI从机定时(相位模式 = 0) 参数 tCS tSL tSH tDAV tDSU tDHD tDF tDR tSR tSF tDOCS tSFS 最小值 200 CS 至SCLK边沿 SCLK低电平脉宽 SCLK高电平脉宽 SCLK边沿之后数据输出有效时间 SCLK边沿之前数据输出建立时间1 SCLK边沿之后数据输入保持时间1 数据输出下降时间 数据输出上升时间 SCLK上升时间 SCLK下降时间 CS边沿之后数据输出有效时间 CS 在SCLK沿后变高 典型值 最大值 (SPIDIV + 1) × tHCLK (SPIDIV + 1) × tHCLK 1 × tUCLK 2 × tUCLK 5 5 5 5 单位 ns ns ns ns ns ns ns ns ns ns ns ns 25 12.5 12.5 12.5 12.5 25 0 tUCLK = 23.9 ns。其对应于在时钟分频器之前来自PLL的41.78 MHz内部时钟。 CS tCS tSFS SCLK (POLARITY = 0) tSH tSL tSF tSR SCLK (POLARITY = 1) tDAV tDOCS tDF MISO MOSI MSB MSB IN tDSU tDR BIT 6 TO BIT 1 BIT 6 TO BIT 1 LSB LSB IN 09123-033 1 描述 tDHD 图6. SPI从机定时(相位模式 = 0) Rev. C | Page 12 of 108 ADuC7124/ADuC7126 绝对最大额定值 除非另有说明,AGND = GNDREF = DACGND = GNDREF, 注意,超出上述绝对最大额定值可能会导致器件永久性损 TA = 25°C。 坏。这只是额定最值,并不能以这些条件或者在任何其它 超出本技术规范操作章节中所示规格的条件下,推断器件 表8. 参数 AVDD 至 IOVDD AGND 至 DGND IOVDD 至 IOGND,AVDD 至 AGND 数字输入电压至IOGND 数字输出电压至IOGND VREF 至 AGND 模拟输入至AGND 模拟输入至AGND 工业温度范围 存储温度范围 结温 θJA热阻 64引脚LFCSP 80引脚LQFP封装 回流焊峰值温度 锡铅体系(10秒至30秒) RoHS体系(20秒至40秒) 额定值 −0.3 V 至 +0.3 V −0.3 V 至 +0.3 V −0.3 V 至 +6 V −0.3 V 至 +5.3 V −0.3 V 至 IOVDD + 0.3 V −0.3 V 至 AVDD + 0.3 V −0.3 V 至 AVDD + 0.3 V −0.3 V 至 AVDD + 0.3 V –40°C 至 +125°C −65°C 至 +150°C 150°C 能否正常工作。长期在绝对最大额定值条件下工作会影响 器件的可靠性。 任何时候只能使用一个绝对最大额定值。 ESD警告 24°C/W 38°C/W 240°C 260°C Rev. C | Page 13 of 108 ESD(静电放电)敏感器件。 带电器件和电路板可能会在没有察觉的情况下放 电。尽管本产品具有专利或专有保护电路,但在遇 到高能量ESD时,器件可能会损坏。因此,应当采 取适当的ESD防范措施,以避免器件性能下降或功 能丧失。 ADuC7124/ADuC7126 64 63 62 61 60 59 58 57 56 55 54 53 52 51 50 49 ADC3/CMP1 ADC2/CMP0 ADC1 ADC0 GNDREF AGND AVDD DACREF VREF RTCK P4.4/PLAO[12] P4.3/PLAO[11] P4.2/PLAO[10] P1.0/T1/SPM0/SIN0/I2C0SCL/PLAI[0] P1.1/SPM1/SOUT0/I2C0SDA/PLAI[1] P1.2/SPM2/RTS/I2C1SCL/PLAI[2] 引脚配置和功能描述 ADC4 ADC5 ADC6 ADC7 ADC8 ADC9 ADCNEG DACGND DACV DD DAC0/ADC12 DAC1/ADC13 TMS TDI XCLKO XCLKI BM/P0.0/CMPOUT/PLAI[7] 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 PIN 1 INDICATOR ADuC7124 TOP VIEW (Not to Scale) 48 47 46 45 44 43 42 41 40 39 38 37 36 35 34 33 P1.3/SPM3/CTS/I2C1SDA/PLAI[3] P1.4/SPM4/RI/SPICLK/PLAI[4]/IRQ2 P1.5/SPM5/DCD/SPIMISO/PLAI[5]/IRQ3 P4.1/PLAO[9]/SOUT1 P4.0/PLAO[8]/SIN1 P1.6/SPM6/PLAI[6] P1.7/SPM7/DTR/SPICS/PLAO[0] P3.7/PWMSYNC /PLAI[15] P3.6/PWMTRIP/PLAI[14] IOVDD IOGND P0.7/ECLK/XCLK/SPM8/PLAO[4]/SIN0 P2.0/SPM9/PLAO[5]/CONVSTART /SOUT0 IRQ1/P0.5/ADCBUSY /PLAO[2] IRQ0/P0.4/PWMTRIP/PLAO[1] RST NOTES 1. THE EXPOSED PADDLE MUST BE SOLDERED TO THE PCB TO ENSURE PROPER HEAT DISSIPATION, NOISE, AND MECHANICAL STRENGTH BENEFITS. 09123-107 DGND LVDD IOVDD IOGND P4.6/PLAO[14] P4.7/PLAO[15] P0.6/T1/MRST/PLAO[3] TCK TDO P3.0/PWM0/PLAI[8] P3.1/PWM1/PLAI[9] P3.2/PWM2/PLAI[10] P3.3/PWM3/PLAI[11] P0.3/TRST/ADCBUSY P3.4/PWM4/PLAI[12] P3.5/PWM5/PLAI[13] 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 NC = NO CONNECT 图7. ADuC7124引脚配置 表9. 引脚功能描述(ADuC7124 64引脚LFCSP) 引脚编号 0 1 2 3 4 5 6 7 引脚名称 裸露焊盘 ADC4 ADC5 ADC6 ADC7 ADC8 ADC9 ADCNEG 8 9 10 DACGND DACVDD DAC0/ADC12 11 DAC1/ADC13 12 13 TMS TDI 描述 裸露焊盘。LFCSP_VQ有一个必须保持悬空的底部焊盘。 单端或差分模拟输入4。 单端或差分模拟输入5。 单端或差分模拟输入6。 单端或差分模拟输入7。 单端或差分模拟输入8。 单端或差分模拟输入9。 伪差分模式下ADC偏置点或反相模拟输入。必须连接到要转换信 号的地。该偏置点必须在0 V至1 V之间。 DAC地。通常连接到AGND。 DAC 3 V电压源。必须连接到AVDD。 DAC0电压输出(DAC0)。单端或差分模拟输入 12 (ADC12)。 DAC1电压输出(DAC1)。单端或差分模拟输入 13 (ADC13)。 JTAG测试端口输入,测试模式选择。调试和下载访问。 JTAG测试端口输入,测试数据输入。 Rev. C | Page 14 of 108 ADuC7124/ADuC7126 引脚编号 14 15 引脚名称 XCLKO XCLKI 16 BM/P0.0/CMPOUT/PLAI[7] 17 18 19 20 21 DGND LVDD IOVDD IOGND P4.6/PLAO[14] 22 P4.7/PLAO[15] 23 P0.6/T1/MRST/PLAO[3] 24 25 26 TCK TDO P3.0/PWM0/PLAI[8] 27 P3.1/PWM1/PLAI[9] 28 P3.2/PWM2/PLAI[10] 29 P3.3/PWM3/PLAI[11] 30 P0.3/TRST/ADCBUSY 31 P3.4/PWM4/PLAI[12] 32 P3.5/PWM5/PLAI[13] 33 34 RST IRQ0/P0.4/PWMTRIP/PLAO[1] 描述 晶体振荡反相器输出。 晶体振荡反相器输入和内部时钟发生器电路输入。 多功能输入输出引脚。 引导模式(BM)。复位时,如果BM为低电平,则ADuC7124进入下 载模式;如果BM通过1 kΩ电阻拉高,则ADuC7124执行代码。 通用输入和输出端口0.0 (P0.0)。 电压比较器输出(CMPOUT)。 可编程逻辑阵列输入单元7 (PLAI[7])。 内核逻辑地。 片内稳压器2.6 V输出。该输出只能通过一个0.47uF电容器连接至DGND。 3.3 V电源,用于GPIO和片内稳压器输入。 GPIO地。通常连接到DGND。 通用输入和输出端口4.6 (P4.6)。 可编程逻辑阵列输出单元14 (PLAO[14])。 通用输入和输出端口4.7 (P4.7)。 可编程逻辑阵列输出单元15 (PLAO[15])。 多功能引脚,复位后输出低电平。 通用输出端口0.6 (P0.6)。 定时器1输入(T1)。 上电复位输出(MRST)。 可编程逻辑阵列输出单元3 (PLAO[3])。 JTAG测试端口输入,测试时钟。调试和下载访问。 JTAG测试端口输出,测试数据输出。 通用输入和输出端口3.0 (P3.0)。 PWM相位0 (PWM0)。 可编程逻辑阵列输入单元8 (PLAI[8])。 通用输入和输出端口3.1 (P3.1)。 PWM相位1 (PWM1)。 可编程逻辑阵列输入单元9 (PLAI[9])。 通用输入和输出端口3.2 (P3.2)。 PWM相位2 (PWM2)。 可编程逻辑阵列输入单元10 (PLAI[10])。 通用输入和输出端口3.3 (P3.3)。 PWM相位3 (PWM3)。 可编程逻辑阵列输入单元11 (PLAI[11])。 通用输入和输出端口0.3 (P0.3)。 JTAG测试端口输入,测试复位(TRST)。 JTAG reset input.调试和 下载访问。如果此引脚保持为低电平,则无法访问JTAG,因为 JTAG接口处于复位状态并且P0.1/P0.2/P0.3均配置为GPIO引脚。 ADCBUSY信号输出(ADCBUSY)。 通用输入和输出端口3.4 (P3.4)。 PWM相位4 (PWM4)。 可编程逻辑阵列输入12 (PLAI[12])。 通用输入和输出端口3.5 (P3.5)。 PWM相位5 (PWM5)。 可编程逻辑阵列输入单元13 (PLAI[13])。 复位输入,低电平有效。 多功能输入输出引脚。 外部中断请求0,高电平有效(IRQ0)。 通用输入和输出端口0.4 (P0.4)。 PWM触发外部输入(PWMTRIP)。 可编程逻辑阵列输出单元1 (PLAO[1])。 Rev. C | Page 15 of 108 ADuC7124/ADuC7126 引脚编号 35 引脚名称 IRQ1/P0.5/ADCBUSY/PLAO[2] 36 P2.0/SPM9/PLAO[5]/CONVSTART/SOUT0 37 P0.7/ECLK/XCLK/SPM8/PLAO[4]/SIN0 38 39 40 IOGND IOVDD P3.6/PWMTRIP/PLAI[14] 41 P3.7/PWMSYNC/PLAI[15] 42 P1.7/SPM7/DTR/SPICS/PLAO[0] 43 P1.6/SPM6/PLAI[6] 44 P4.0/PLAO[8]/SIN1 45 P4.1/PLAO[9]/SOUT1 46 P1.5/SPM5/DCD/SPIMISO/PLAI[5]/IRQ3 47 P1.4/SPM4/RI/SPICLK/PLAI[4]/IRQ2 48 P1.3/SPM3/CTS/I2C1SDA/PLAI[3] 49 P1.2/SPM2/RTS/I2C1SCL/PLAI[2] 描述 多功能输入输出引脚。 外部中断请求1,高电平有效(IRQ1)。 通用输入和输出端口0.5 (P0.5)。 ADCBUSY信号输出(ADCBUSY)。 可编程逻辑阵列输出单元2 (PLAO[2])。 通用输入和输出端口2.0 (P2.0)。 串行复用端口(SPM9)。 可编程逻辑阵列输出单元5 (PLAO[5])。 ADC开始转换输入信号(CONVSTART)。 UART0输出(SOUT0)。 通用输入和输出端口0.7 (P0.7)。 外部时钟信号输出(ECLK)。 内部时钟发生器电路输入(XCLK)。 串行复用端口(SPM8)。 可编程逻辑阵列输出单元4 (PLAO[4])。 UART0输入(SIN0)。 GPIO地。通常连接到DGND。 3.3 V电源,用于GPIO和片内稳压器输入。 通用输入和输出端口3.6 (P3.6)。 PWM安全切断(PWMTRIP)。 可编程逻辑阵列输入单元14 (PLAI[14])。 通用输入和输出端口3.7 (P3.7)。 PWM同步输入/输出(PWMSYNC)。 可编程逻辑阵列输入单元15 (PLAI[15])。 通用输入和输出端口1.7 (P1.7)。 串行复用端口。UART、SPI (SPM7)。 数据终端就绪(DTR)。 片选(SPICS)。 可编程逻辑阵列输出单元0 (PLAO[0])。 通用输入和输出端口1.6 (P1.6)。 串行复用端口(SPM6)。 可编程逻辑阵列输入单元6 (PLAI[6])。 通用输入和输出端口4.0 (P4.0)。 可编程逻辑阵列输出单元8 (PLAO[8])。 UART1输入(SIN1)。 通用输入和输出端口4.1 (P4.1)。 可编程逻辑阵列输出单元9 (PLAO[9])。 UART1输出(SOUT1)。 通用输入和输出端口1.5 (P1.5)。 串行复用端口。UART、SPI (SPM5)。 数据载波检测(DCD)。 主机输入、从机输出(SPI MISO)。 可编程逻辑阵列输入单元5 (PLAI[5])。 外部中断请求3,高电平有效(IRQ3)。 通用输入和输出端口1.4 (P1.4)。 串行复用端口。UART、SPI (SPM4)。 响铃指示(RI)。 串行时钟输入/输出(SPI SCLK)。 可编程逻辑阵列输入单元4 (PLAI[4])。 外部中断请求2,高电平有效(IRQ2)。 通用输入和输出端口1.3 (P1.3)。 串行复用端口。UART、I2C1 (SPM3)。 清除发送(CTS)。 I2C1 (I2C1SDA)。 可编程逻辑阵列输入单元3 (PLAI[3])。 通用输入和输出端口1.2 (P1.2)。 串行复用端口(SPM2)。 准备发送(RTS)。 I2C1 (I2C1SCL)。 可编程逻辑阵列输入单元2 (PLAI[2])。 Rev. C | Page 16 of 108 ADuC7124/ADuC7126 引脚编号 引脚名称 50 P1.1/SPM1/SOUT0/I2C0SDA/PLAI[1] 51 P1.0/T1/SPM0/SIN0/I2C0SCL/PLAI[0] 52 P4.2/PLAO[10] 53 P4.3/PLAO[11] 54 P4.4/PLAO[12] 55 56 RTCK VREF 57 58 59 60 DACREF AVDD AGND GNDREF 61 62 63 ADC0 ADC1 ADC2/CMP0 64 ADC3/CMP1 描述 通用输入和输出端口1.1 (P1.1)。 串行复用端口(SPM1)。 UART下载引脚、UART0输出(SOUT0)。 I2C0 (I2C0SDA)。 可编程逻辑阵列输入单元1 (PLAI[1])。 通用输入和输出端口1.0 (P1.0)。 定时器1输入(T1)。 串行复用端口(SPM0)。 UART下载引脚、UART0输入(SIN0)。 I2C0 (I2C0SCL)。 可编程逻辑阵列输入单元0 (PLAI[0])。 通用输入和输出端口4.2 (P4.2)。 可编程逻辑阵列输出单元10 (PLAO[10])。 通用输入和输出端口4.3 (P4.3)。 可编程逻辑阵列输出单元11 (PLAO[11])。 通用输入和输出端口4.4 (P4.4)。 可编程逻辑阵列输出单元12 (PLAO[12])。 JTAG测试端口输出,JTAG返回测试时钟。 2.5 V内部基准电压。使用内部基准电压源时必须 连接至一个0.47 μF电容。 DAC外部基准电压。范围:DACGND至DACVDD。 3.3 V模拟电源。 模拟地。模拟电路的地基准点。 ADC地基准电压。为了优化性能,模拟电源应同 IOGND和DGND分离。 单端或差分模拟输入0。 单端或差分模拟输入1。 单端或差分模拟输入2 (ADC2)。 比较器正输入(CMP0)。 单端或差分模拟输入3 (ADC3)。 比较器负输入(CMP1)。 Rev. C | Page 17 of 108 P1.2/SPM2/RTS/I2C1SCL/PLAI[2] P1.1/SPM1/SOUT0/I2C0SDA/PLAI[1] P1.0/T1/SPM0/SIN0/I2C0SCL/PLAI[0] P4.2/AD10/PLAO[10] P4.4/AD12/PLAO[12] P4.3/AD11/PLAO[11] P4.5/AD13/PLAO[13]/RTCK IOGND IOVDD VREF DACREF AGND AVDD GNDREF AGND ADC11 ADC0 ADC2/CMP0 ADC1 ADC3/CMP1 ADuC7124/ADuC7126 80 79 78 77 76 75 74 73 72 71 70 69 68 67 66 65 64 63 62 61 60 P1.3/SPM3/CTS/I2C1SDA/PLAI[3] 59 P1.4/SPM4/RI/SPICLK/PLAI[4]/IRQ2 3 58 P1.5/SPM5/DCD/SPIMISO/PLAI[5]/IRQ3 ADC7 4 57 P4.1/SPM11/SOUT1/AD9/PLAO[9] ADC8 5 56 P4.0/SPM10/SIN1/AD8/PLAO[8] ADC9 6 55 P1.6/SPM6/PLAI[6] ADC10 7 54 P1.7/SPM7/DTR/SPICS/PLAO[0] ADCNEG 8 53 P3.7/AD7/PWMSYNC /PLAI[15] DACGND 9 52 P3.6/AD6/PWMTRIP/PLAI[14] 51 P2.2/RS/PWM1/PLAO[7] 50 P2.1/WS/PWM0/PLAO[6] DAC1/ADC13 12 49 P2.3/SPM12/AE/SIN1 DAC2/ADC14 13 48 IOVDD DAC3/ADC15 14 47 IOGND TMS 15 46 P0.7/SPM8/ECLK/XCLK/PLAO[4]/SIN0 TDI 16 45 P2.0/SPM9/PLAO[5]/CONVSTART /SOUT0 P0.1/PWM4/BLE 17 44 P2.7/PWM3/MS3 XCLKO 18 43 IRQ1/P0.5/ADCBUSY /PLAO[2]/MS2 XCLKI 19 42 IRQ0/P0.4/PWMTRIP/PLAO[1]/MS1 BM/P0.0/CMPOUT/PLAI[7]/MS0 20 41 RST ADC4 1 ADC5 2 ADC6 PIN 1 ADuC7126 DACV DD 10 TOP VIEW DAC0/ADC12 11 图8. ADuC7126引脚配置 表10. 引脚功能描述(ADuC7126 80引脚LQFP) 引脚编号 1 2 3 4 5 6 7 8 9 10 引脚名称 ADC4 ADC5 ADC6 ADC7 ADC8 ADC9 ADC10 ADCNEG DACGND DACVDD 描述 单端或差分模拟输入4。 单端或差分模拟输入5。 单端或差分模拟输入6。 单端或差分模拟输入7。 单端或差分模拟输入8。 单端或差分模拟输入9。 单端或差分模拟输入10。 伪差分模式下ADC偏置点或反相模拟输入。必须连接到 要转换信号的地。该偏置点必须在0 V至1 V之间。 DAC地。通常连接到AGND。 DAC 3 V电压源。必须连接到AVDD。 Rev. C | Page 18 of 108 09123-108 P3.5/AD5/PWM5/PLAI[13] P3.4/AD4/PWM4/PLAI[12] P2.5/PWM1/MS1 P2.6/PWM2/MS2 P0.3/TRST/A16/ADC BUSY P3.3/AD3/PWM3/PLAI[11] P2.4/SPM13/PWM0/MS0/SOUT1 P3.2/AD2/PWM2/PLAI[10] P3.1/AD1/PWM1/PLAI[9] P0.2/PWM5/BHE P3.0/AD0/PWM0/PLAI[8] TCK TDO P0.6/T1/MRST/PLAO[3]/MS3 P4.7/AD15/PLAO[15] IOGND P4.6/AD14/PLAO[14] IOVDD LVDD DGND 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 ADuC7124/ADuC7126 引脚编号 11 引脚名称 DAC0/ADC12 12 DAC1/ADC13 13 DAC2/ADC14 14 DAC3/ADC15 15 16 17 TMS TDI P0.1/PWM4/BLE 18 19 XCLKO XCLKI 20 BM/P0.0/CMPOUT/PLAI[7]/MS0 21 22 DGND LVDD 23 24 25 IOVDD IOGND P4.6/AD14/PLAO[14] 26 P4.7/AD15/PLAO[15] 27 P0.6/T1/MRST/PLAO[3]/MS3 28 29 30 TCK TDO P0.2/PWM5/BHE 31 P3.0/AD0/PWM0/PLAI[8] 32 P3.1/AD1/PWM1/PLAI[9] 33 P3.2/AD2/PWM2/PLAI[10] 描述 DAC0电压输出(DAC0)。 单端或差分模拟输入12 (ADC12)。 DAC1电压输出(DAC1)。 单端或差分模拟输入13 (ADC13)。 DAC2电压输出(DAC2)。 单端或差分模拟输入14 (ADC14)。 DAC3电压输出(DAC3)。 单端或差分模拟输入15 (ADC15)。 JTAG测试端口输入,测试模式选择。调试和下载访问。 JTAG测试端口输入,测试数据输入。调试和下载访问。 通用输入和输出端口0.1 (P0.1)。 PWM相位4 (PWM4)。 外部存储器字节低电平使能(BLE)。 晶体振荡反相器输出。 晶体振荡反相器输入和内部时钟发生器电路输入。 多功能输入输出引脚。 引导模式入口引脚(BM)。复位时,如果BM为低电平,则ADuC7126 进入UART下载模式;如果BM通过1 kΩ电阻拉高,则ADuC7126执行 代码。如果复位时BM处于低电平,且闪存地址0x800014 = 0xFFFFFFFFF, 则I2C版本的ADuC7026进入I2C下载模式。如果复位时BM被拉高,或者 如果复位时BM处于低电平,但闪存地址0x800014不等于0xFFFFFFFFF,则 ADuC7026执行代码。通用输入和输出端口0.0 (P0.0)。 电压比较器输出/可编程逻辑阵列输入元件7 (CMPOUT)。 外部存储器选择0 (MS0)。默认情况下,此引脚配置为GPIO。 内核逻辑地。 片内稳压器2.6 V输出。该输出只能通过一个0.47uF电容器连接至 DGND。 3.3 V电源,用于GPIO和片内稳压器输入。 GPIO地。通常连接到DGND。 通用输入和输出端口4.6 (P4.6)。 外部存储器接口(AD14)。 可编程逻辑阵列输出单元14 (PLAO[14])。 通用输入和输出端口4.7 (P4.7)。 外部存储器接口(AD15)。 可编程逻辑阵列输出单元15 (PLAO[15])。 多功能引脚,复位后输出低电平。 通用输出端口0.6 (P0.6)。 定时器1输入(T1)。 上电复位输出(MRST)。 可编程逻辑阵列输出单元3 (PLAO[3])。 外部存储器选择3 (MS3)。 JTAG测试端口输入,测试时钟。调试和下载访问。 JTAG测试端口输出,测试数据输出。调试和下载访问。 通用输入和输出端口0.2 (P0.2)。 PWM相位5 (PWM5)。 外部存储器字节高电平使能(BHE)。 通用输入和输出端口3.0 (P3.0)。 外部存储器接口(AD0)。 PWM相位0 (PWM0)。 可编程逻辑阵列输入单元8 (PLAI[8])。 通用输入和输出端口3.1 (P3.1)。 外部存储器接口(AD1)。 PWM相位1 (PWM1)。 可编程逻辑阵列输入单元9 (PLAI[9])。 通用输入和输出端口3.2 (P3.2)。 外部存储器接口(AD2)。 PWM相位2 (PWM2)。 可编程逻辑阵列输入单元10 (PLAI[10])。 Rev. C | Page 19 of 108 ADuC7124/ADuC7126 引脚编号 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 描述 通用输入和输出端口3.3 (P3.3)。 外部存储器接口(AD3)。 PWM相位3 (PWM3)。 可编程逻辑阵列输入单元11 (PLAI[11])。 P2.4/SPM13/PWM0/MS0/SOUT1 通用输入和输出端口2.4 (P2.4)。 串行复用端口(SPM13)。 PWM相位0 (PWM0)。 外部存储器选择0 (MS0)。 UART1输出(SOUT1)。 P0.3/TRST/A16/ADCBUSY 通用输入和输出端口0.3 (P0.3)。 JTAG测试端口输入,测试复位(TRST)。JTAG复位输入。调试和 下载访问。如果此引脚保持为低电平,则无法访问JTAG,因为 JTAG接口处于复位状态并且P0.1/P0.2/P0.3均配置为GPIO引脚。 地址线(A16)。 ADCBUSY信号输出(ADCBUSY)。 P2.5/PWM1/MS1 通用输入和输出端口2.5 (P2.5)。 PWM相位1 (PWM1)。 外部存储器选择1 (MS1)。 P2.6/PWM2/MS2 通用输入和输出端口2.6 (P2.6)。 PWM相位2 (PWM2)。 外部存储器选择2 (MS2)。 P3.4/AD4/PWM4/PLAI[12] 通用输入和输出端口3.4 (P3.4)。 外部存储器接口(AD4)。 PWM相位4 (PWM4)。 可编程逻辑阵列输入12 (PLAI[12])。 P3.5/AD5/PWM5/PLAI[13] 通用输入和输出端口3.5 (P3.5)。 外部存储器接口(AD5)。 PWM相位5 (PWM5)。 可编程逻辑阵列输入单元13 (PLAI[13])。 复位输入,低电平有效。 RST 多功能输入输出引脚。 IRQ0/P0.4/PWMTRIP/PLAO[1]/MS1 外部中断请求0,高电平有效(IRQ0)。 通用输入和输出端口0.4 (P0.4)。 PWM触发外部输入(PWMTRIP)。 可编程逻辑阵列输出单元1 (PLAO[1])。 外部存储器选择1 (MS1)。 IRQ1/P0.5/ADCBUSY/PLAO[2]/MS2 多功能输入输出引脚。 外部中断请求1,高电平有效(IRQ1)。 通用输入和输出端口0.5 (P0.5)。 ADCBUSY信号输出(ADCBUSY)。 可编程逻辑阵列输出单元2 (PLAO[2])。 外部存储器选择2 (MS2)。 P2.7/PWM3/MS3 通用输入和输出端口2.7 (P2.7)。 PWM相位3 (PWM3)。 外部存储器选择3 (MS3)。 P2.0/SPM9/PLAO[5]/CONVSTART/SOUT0 通用输入和输出端口2.0 (P2.0)。 串行复用端口(SPM9)。 可编程逻辑阵列输出单元5 (PLAO[5])。 ADC开始转换输入信号(CONVSTART)。 UART0输出(SOUT0)。 P0.7/SPM8/ECLK/XCLK/PLAO[4]/SIN0 通用输入和输出端口0.7 (P0.7)。 串行复用端口(SPM8)。 外部时钟信号输出(ECLK)。 内部时钟发生器电路输入(XCLK)。 可编程逻辑阵列输出单元4 (PLAO[4])。 UART0输入(SIN0)。 IOGND GPIO地。通常连接到DGND。 IOVDD 3.3 V电源,用于GPIO和片内稳压器输入。 引脚名称 P3.3/AD3/PWM3/PLAI[11] Rev. C | Page 20 of 108 ADuC7124/ADuC7126 引脚编号 引脚名称 49 P2.3/SPM12/AE/SIN1 50 51 52 53 54 55 56 57 58 59 60 61 描述 通用输入和输出端口2.3 (P2.3)。 串行复用端口(SPM12)。 外部存储器访问使能(AE)。 UART1输入(SIN1)。 通用输入和输出端口2.1 (P2.1)。 P2.1/WS/PWM0/PLAO[6] 外部存储器写入选通(WS)。 PWM相位0 (PWM0)。 可编程逻辑阵列输出单元6 (PLAO[6])。 通用输入和输出端口2.2 (P2.2)。 P2.2/RS/PWM1/PLAO[7] 外部存储器写入选通(RS)。 PWM相位1 (PWM1)。 可编程逻辑阵列输出单元7 (PLAO[7])。 P3.6/AD6/PWMTRIP/PLAI[14] 通用输入和输出端口3.6 (P3.6)。 外部存储器接口(AD6)。 PWM安全切断(PWMTRIP)。 可编程逻辑阵列输入单元14 (PLAI[14])。 P3.7/AD7/PWMSYNC/PLAI[15] 通用输入和输出端口3.7 (P3.7)。 外部存储器接口(AD7)。 PWM同步(PWMSYNC)。 可编程逻辑阵列输入单元15 (PLAI[15])。 通用输入和输出端口1.7 (P1.7)。 P1.7/SPM7/DTR/SPICS/PLAO[0] 串行复用端口(SPM7)。 数据终端就绪(DTR)。 片选(SPICS)。 可编程逻辑阵列输出单元0 (PLAO[0])。 P1.6/SPM6/PLAI[6] 通用输入和输出端口1.6 (P1.6)。 串行复用端口(SPM6)。 可编程逻辑阵列输入单元6 (PLAI[6])。 P4.0/SPM10/SIN1/AD8/PLAO[8] 通用输入和输出端口4.0 (P4.0)。 串行复用端口(SPM10)。 UART1输入(SIN1)。 外部存储器接口(AD8)。 可编程逻辑阵列输出单元8 (PLAO[8])。 P4.1/SPM11/SOUT1/AD9/PLAO[9] 通用输入和输出端口4.1 (P4.1)。 串行复用端口(SPM11)。 UART1输出(SOUT1)。 外部存储器接口(AD9)。 可编程逻辑阵列输出单元9 (PLAO[9])。 P1.5/SPM5/DCD/SPIMISO/PLAI[5]/IRQ3 通用输入和输出端口1.5 (P1.5)。 串行复用端口(SPM5)。 数据载波检测(DCD)。 主机输入、从机输出(SPI MISO)。 可编程逻辑阵列输入单元5 (PLAI[5])。 外部中断请求3,高电平有效(IRQ3)。 P1.4/SPM4/RI/SPICLK/PLAI[4]/IRQ2 通用输入和输出端口1.4 (P1.4)。 串行复用端口(SPM4)。 响铃指示(RI)。 串行时钟输入/输出(SPI SCLK)。 可编程逻辑阵列输入单元4 (PLAI[4])。 外部中断请求2,高电平有效(IRQ2)。 P1.3/SPM3/CTS/I2C1SDA/PLAI[3] 通用输入和输出端口1.3 (P1.3)。 串行复用端口(SPM3)。 清除发送(CTS)。 I2C1 (I2C1SDA)。 可编程逻辑阵列输入单元3 (PLAI[3])。 P1.2/SPM2/RTS/I2C1SCL/PLAI[2] 通用输入和输出端口1.2 (P1.2)。 串行复用端口(SPM2)。 准备发送(RTS)。 I2C1 (I2C1SCL)。 可编程逻辑阵列输入单元2 (PLAI[2])。 Rev. C | Page 21 of 108 ADuC7124/ADuC7126 引脚编号 62 引脚名称 P1.1/SPM1/SOUT0/I2C0SDA/PLAI[1] 63 P1.0/T1/SPM0/SIN0/I2C0SCL/PLAI[0] 64 P4.2/AD10/PLAO[10] 65 P4.3/AD11/PLAO[11] 66 P4.4/AD12/PLAO[12] 67 P4.5/AD13/PLAO[13]/RTCK 68 69 70 IOVDD IOGND VREF 71 72 73, 74 75 DACREF AVDD AGND GNDREF 76 77 78 79 ADC11 ADC0 ADC1 ADC2/CMP0 80 ADC3/CMP1 描述 通用输入和输出端口1.1 (P1.1)。 串行复用端口(SPM1)。 UART0输出(SOUT0)。 I2C0 (I2C0SDA)。 可编程逻辑阵列输入单元1 (PLAI[1])。 通用输入和输出端口1.0 (P1.0)。 定时器1输入(T1)。 串行复用端口(SPM0)。 UART0输入(SIN0)。 I2C0 (I2C0SCL)。 可编程逻辑阵列输入单元0 (PLAI[0])。 通用输入和输出端口4.2 (P4.2)。 外部存储器接口(AD10)。 可编程逻辑阵列输出单元10 (PLAO[10])。 通用输入和输出端口4.3 (P4.3)。 外部存储器接口(AD11)。 可编程逻辑阵列输出单元11 (PLAO[11])。 通用输入和输出端口4.4 (P4.4)。 外部存储器接口(AD12)。 可编程逻辑阵列输出单元12 (PLAO[12])。 通用输入和输出端口4.5 (P4.5)。 外部存储器接口(AD13)。 可编程逻辑阵列输出单元13 (PLAO[13])。 JTAG返回测试时钟(RTCK)。 3.3 V电源,用于GPIO和片内稳压器输入。 GPIO地。通常连接到DGND。 2.5 V内部基准电压。使用内部基准电压源时必须 连接至一个0.47 μF电容。 DAC外部基准电压。范围:DACGND至DACVDD。 3.3 V模拟电源。 模拟地。模拟电路的地基准点。 ADC地基准电压。为了优化性能,模拟电源应同 IOGND和DGND分离。 单端或差分模拟输入11。 单端或差分模拟输入0。 单端或差分模拟输入1。 单端或差分模拟输入2 (ADC2)。 比较器正输入(CMP0)。 单端或差分模拟输入3 (ADC3)。 比较器负输入(CMP1)。 Rev. C | Page 22 of 108 ADuC7124/ADuC7126 典型工作特性 0.4 0.3 0.3 0.2 DNL (LSB) 0.1 0 0.1 0 –0.1 ADC CODES 3500 3000 2500 ADC CODES 图9. 典型DNL误差, 温度25°C,VREF = 内部2.5 V,单端模式 ADCCP = ADC0,ADCCN = ADC0,采样速率 = 345 kHz 最差情况正值 = 0.38 LSB,代码1567 最差情况负值 = −0.24 LSB,代码4094 图11. 典型DNL误差, 温度25°C,VREF = 内部2.5 V,单端模式 ADCCP = DAC1/ADC13,ADCCN = ADC0,采样速率 = 345 kHz 最差情况正值 = 0.40 LSB,代码607 最差情况负值 = -0.27 LSB,代码2486 0.6 0.6 0.5 0.4 0.4 0.3 0.3 0.2 0.2 0.1 0.1 0 –0.2 –0.3 –0.3 –0.4 –0.4 –0.5 3500 3000 2500 2000 –0.6 1500 3500 3000 2500 2000 1500 1000 500 –0.6 4000 4095 –0.5 0 09123-209 –0.2 09123-211 –0.1 1000 –0.1 500 0 4000 4095 INL (LSB) 0.5 0 INL (LSB) 2000 1500 1000 0 09123-210 –0.2 4000 4095 3500 3000 2500 2000 1500 1000 500 0 –0.2 4000 4095 09123-208 –0.1 500 DNL (LSB) 0.2 ADC CODES ADC CODES 图10. 典型INL误差, 温度25°C,VREF = 内部2.5 V,单端模式 ADCCP = ADC0,ADCCN = ADC0,采样速率 = 345 kHz 最差情况正值 = 0.60 LSB,代码1890 最差情况负值 = -0.54 LSB,代码3485 图12. 典型INL误差, 温度25°C,VREF = 内部2.5 V,单端模式 ADCCP = DAC1/ADC13,ADCCN = ADC0,采样速率 = 345 kHz 最差情况正值 = 0.58 LSB,代码480 最差情况负值 = -0.54 LSB,代码3614 Rev. C | Page 23 of 108 0.4 0.4 0.3 0.3 0.2 0.2 DNL (LSB) 0.1 0 –0.1 0.1 0 ADC CODES 3500 3000 2500 ADC CODES 图13. 典型DNL误差, 温度25°C,VREF = 内部2.5 V,单端模式 ADCCP = ADC8,ADCCN = ADC0,采样速率 = 345 kHz 最差情况正值 = 0.42 LSB,代码3583 最差情况负值 = -0.32 LSB,代码3073 图15. 典型DNL误差, 温度25°C,VREF = 内部2.5 V,单端模式 ADCCP = DAC3/ADC15,ADCCN = ADC0,采样速率 = 345 kHz 最差情况正值 = 0.41 LSB,代码2016 最差情况负值 = -0.26 LSB,代码3841 0.8 0.6 0.5 0.6 0.4 0.4 0.3 0.2 INL (LSB) 0.2 0 –0.2 0.1 0 –0.1 –0.2 –0.4 –0.3 3500 3000 2500 2000 1500 1000 0 ADC CODES 500 –0.6 4000 4095 09123-213 –0.5 4000 4095 3500 3000 2500 2000 1500 1000 500 –0.8 09123-215 –0.4 –0.6 0 INL (LSB) 2000 1500 1000 0 4000 4095 3500 3000 2500 2000 1500 1000 500 0 –0.3 –0.2 4000 4095 09123-212 –0.2 09123-214 –0.1 500 DNL (LSB) ADuC7124/ADuC7126 ADC CODES 图14. 典型INL误差, 温度25°C,VREF = 内部2.5 V,单端模式 ADCCP = ADC8,ADCCN = ADC0,采样速率 = 345 kHz 最差情况正值 = 0.64 LSB,代码802 最差情况负值 = -0.69 LSB,代码3485 图16. 典型INL误差, 温度25°C,VREF = 内部2.5 V,单端模式 ADCCP = DAC3/ADC15,ADCCN = ADC0,采样速率 = 345 kHz 最差情况正值 = 0.55 LSB,代码738 最差情况负值 = -0.68 LSB,代码3230 Rev. C | Page 24 of 108 ADuC7124/ADuC7126 –20 –40 –60 –80 –100 –120 –140 0 50 100 150 –20 –40 –60 –80 –100 –120 –140 174.1 SNR: 65.97dB THD: –78.63dB PHSN: –77.83dB, 146.6038kHz 0 09123-219 0 SINAD, THD, AND PHSN OF ADC (dB) 20 SNR: 69.85dB THD: –79.91dB PHSN: –82.93dB, 29.771kHz 09123-216 0 50 100 FREQUENCY (kHz) 图17. ADC的SINAD、THD和PHSN, VREF = 内部2.5 V,单端模式 ADCCP = ADC0 174.1 图20. ADC的SINAD、THD和PHSN, VREF = 内部2.5 V,单端模式 ADCCP = ADC15/DAC3,ADCCN = ADC0 0.2 20 DAC0 DAC1 SNR: 67.10dB THD: –79.79dB PHSN: –76.14dB, 54.9738kHz 0 0.1 –20 DNL (LSB) –40 –60 0 –80 4000 4095 3750 3500 3250 3000 2750 2500 2250 1750 –0.2 174.1 1500 150 1250 100 FREQUENCY (kHz) 750 50 1000 0 250 –140 500 –120 09123-220 –0.1 –100 09123-217 SINAD, THD, AND PHSN OF ADC (dB) 150 FREQUENCY (kHz) 2000 SINAD, THD, AND PHSN OF ADC (dB) 20 ADC CODES 图18. ADC的SINAD、THD和PHSN, VREF = 内部2.5 V,单端模式 ADCCP = DAC1/ADC13,ADCCN = ADC0 图21. DAC DNL误差 DAC0最大正DNL:0.188951,DAC1最大正DNL: 0.190343 DAC0最大负DNL:−0.120081,DAC1最大负DNL:−0.15697 2.0 SNR: 67.44dB THD: –82.33dB PHSN: –79.31dB, 54.9738kHz 0 1.0 –20 0.5 INL (LSB) –40 –60 –80 0 –0.5 –1.0 –100 –1.5 09123-221 4000 4095 3750 3500 3250 3000 2750 2500 2250 2000 1750 FREQUENCY (kHz) –2.5 1500 174.1 1250 150 1000 100 750 50 500 0 –2.0 250 –120 –140 DAC0 DAC1 1.5 09123-218 SINAD, THD, AND PHSN OF ADC (dB) 20 ADC CODES 图19. ADC的SINAD、THD和PHSN, VREF = 内部2.5 V,单端模式 ADCCP = ADC8,ADCCN = ADC0 图22. DAC INL误差 DAC0最大正INL:1.84106,DAC1最大正INL: 1.75312 DAC0最大负INL:-0.887319,DAC1最大负INL:-2.23708 Rev. C | Page 25 of 108 ADuC7124/ADuC7126 术语 ADC技术规格 在数字化过程中,这个比值的大小取决于量化级数,量化 积分非线性(INL) 级数越多,量化噪声就越小。 ADC输出与通过ADC端点的传递函数直线之间的最大偏 对于一个正弦波输入的理想N位转换器,信号与噪声+失真 差。传递函数端点是指,在零电平位置比第一个编码的跃 比值的理论计算值为: 变点低½ LSB的点,以及在满量程位置比最后一个编码的 跃变点高½ LSB的点。 信号与(噪声+失真)比值= (6.02 N + 1.76) dB 因此,对于12位转换器,该值为74dB。 微分非线性(DNL) 总谐波失真 ADC中任意两个相邻码之间所测得变化值与理想的1 LSB变 所有谐波均方根和与基波均方根之比。 化值之间的差异。 DAC技术规格 失调误差 相对精度 第一个转换编码(从0000…000到0000…001)的跃变点与理想 也被称作端点线性度,相对精度是指DAC输出与通过DAC 点+½ LSB之间的偏差。 端点的传递函数直线之间的最大偏差。在零点误差和满量 增益误差 程误差调零后才可进行相对精度测量。 在失调误差调零之后,最后一个转换编码的跃变点与理想 输出电压建立时间 AIN电压(满量程 − 1.5 LSB)的偏差。 是指对于一个满量程输入变化,DAC输出稳定在1 LSB变化 信号与(噪声+失真)比 范围内所需时间。 在ADC输出端所测量到的信号与(噪声+失真)的比值。这里 的信号是基波幅值的均方根值。噪声为除了直流信号以外 一直到半采样频率(fS/2)的所有非基波信号均方根和。 Rev. C | Page 26 of 108 ADuC7124/ADuC7126 ARM7TDMI内核概览 ARM7®内核为32位精简指令集计算机(RISC)。指令和数据 异常 使用单32位总线。数据的长度可以是8位、16位或32位。指 ARM支持5种类型的异常,并且每一种异常模式有一种优 令字的长度为32位。 先处理器模式。这5种异常为: ARM7TDMI采用ARM7内核,具有4种附加特性。 • 正常中断或IRQ。这种异常用于内部和外部事件的通用 中断处理。 • T:支持Thumb® (16-bit)指令集。 • 快速中断或FIQ。这是用于数据传输或低延迟时间通道 • D:支持调试。 处理。FIQ的优先级高于IRQ。 • M:支持长乘。 • I:包含一个支持嵌入式系统调试的EmbeddedICE模块。 • 存储器中止。 THUMB模式(T) • 软件中断指令(SWI)。它通常用于通知操作系统。 一条ARM指令的长度为32位。ARM7TDMI处理器支持压 缩至16位的第二指令集,即Thumb指令集。用Thumb指令 集替代ARM指令集,可以更为快速地从16位存储器执行代 码并且实现更高的代码密度。这就使得ARM7TDMI内核尤 • 尝试执行未定义指令。 典型情况下,程序员定义中断为IRQ,但是为了得到更高 优先级的中断,即得到更快响应时间,程序员可以定义中 断为FIQ。 其适用于嵌入式系统。 ARM寄存器 然而,Thumb模式有两个缺点: ARM7TDMI共有37个寄存器:31个通用寄存器和6个状态 • 对于同一工作,Thumb代码通常需要更多指令。因此, 寄存器。每一个工作模式都有专门的寄存器组。 如果更强调时效性,ARM代码更适合用来优化代码性能。 编写用户级程序时,15个通用32位寄存器(R0-R14)、程序 • Thumb指令集并不包含异常处理的所有指令,所以如果 计数器(R15)和当前程序状态寄存器(CPSR)是可用的。余下 异常发生在Thumb状态,处理器会自动切换到ARM代码。 关于内核架构、编程模块、ARM和ARM Thumb指令集的具 体内容,请参阅ARM7TDMI用户手册。 的寄存器只用于系统级编程和异常处理。 异常发生后,异常模式专用的寄存器将取代某些标准寄存 器。所有的异常模式都有各自的替换寄存器组,用于堆栈 指针(R13)和链接寄存器(R14),如图23所示。快速中断模 长乘(M) 式有更多的寄存器(R8到R12)用于快速中断处理。这意味 ARM7TDMI指令集包括四个额外的指令,分别为得到64位 着无需先保存或者重新保存这些寄存器,就可以进行中断 结果的32位与32位相乘指令;得到64位结果的32位与32位 处理,因此在中断处理中可以节省至关重要的时间。 乘加(MAC)指令。得到这些结果比标准的ARM7内核所需 R0 的时钟周期更少。 R1 EmbeddedICE (I) R3 EmbeddedICE支持内核片内调试。EmbeddedICE模块包含 R5 断点和观察点寄存器,在调试时这些寄存器可使代码中止 R7 USABLE IN USER MODE SYSTEM MODES ONLY R2 R4 R6 R8 执行。这些寄存器可以通过JTAG测试端口来控制。 R9 R10 当遇到一个断点或观察点时,处理器中断,并进入调试状 R11 态。一旦进入调试状态,就可以检查处理器寄存器、 R12 Flash/EE,SRAM和存储器映射寄存器的状态。 R14 R13 R8_FIQ R9_FIQ R10_FIQ R11_FIQ R12_FIQ R13_FIQ R14_FIQ R13_SVC R14_SVC R13_ABT R14_ABT R13_IRQ R14_IRQ R13_UND R14_UND R15 (PC) USER MODE SPSR_FIQ FIQ MODE SPSR_SVC SVC MODE SPSR_ABT ABORT MODE 图23. 寄存器结构图 Rev. C | Page 27 of 108 SPSR_IRQ IRQ MODE SPSR_UND UNDEFINED MODE 09123-007 CPSR ADuC7124/ADuC7126 更多关于编程模式和ARM7TDMI内核架构的信息可通过以 在这个时间段的末尾,ARM7TDMI执行0X1C(FIQ中断矢 下ARM公司的文件获得: 量地址)处的指令。最长总延迟时间为50个处理器周期,在 • DDI0029G,ARM7TDMI技术参考手册 系统采用连续41.78MHz处理器时钟时,略微小于1.2 μs。 • DDI-0100,ARM架构参考手册 中断请求(IRQ)最大延迟时间计算方法也类似,但必须考 中断延迟 虑到FIQ优先级更高,可能任意延长进入IRQ处理例行程序 快速中断请求(FIQ)的最大延迟时间包含: 的时间。如果不使用LDM命令,这个时间可以缩短到42个 周期。一些编译器可以选择不使用这个命令进行编译。另 • 请求通过同步器的最长时间 • 最长指令完成所需的时间;最长指令是LDM,用于加 载所有寄存器,包括PC。 一个选择是在Thumb模式下运行器件,可以将时间缩短至 22个周期。 • 数据中止入口时间 用于FIQ或IRQ的最小中断延迟时间总共有5个周期,包括 • FIQ入口时间 请求通过同步器的最短时间和进入异常模式的时间。 注意优先模式中(例如执行中断服务程序),ARM7TDMI通 常运行于32位的ARM模式。 Rev. C | Page 28 of 108 ADuC7124/ADuC7126 存储器结构 ADuC7124/ADuC7126有三个独立存储器模块:一个32 kB FLASH/EE存储器 SRAM和两个64 kB片内Flash/EE存储器模块。片内Flash/EE 128 kB的Flash/EE分为两个32 kB × 16 bit的模块。第一个模 存储器有126 kB可供用户使用,剩余2 kB保留供系统内核 块中,31 kB × 16 bit是用户空间,1 kB × 16 bit保留用于工厂 使用。这些存储器模块的映射如图24所示。 配置的引导页面。Flash/EE的页面大小为512个字节。 注意:默认情况下,复位之后,Flash/EE存储器被镜像到 第二个64 kB模块的组织方式相似,配置为32 kB × 16 bit。 地址0x00000000。通过REMAPMMR的Bit0位置0,可以重 整个模块均用作用户空间。 新把SRAM映射到0x00000000。这种重映射功能在Flash/EE 126 kB的Flash/EE存储器可以存储用户代码和非易失性数 存储器部分有更详细描述。 0xFFFFFFFF 0xFFFF0000 据。数据和代码之间没有区别,因为ARM代码及数据共用 同一空间。Flash/EE存储器的实际宽度为16位,这意味着 MMRs 在ARM模式下每执行一个指令(32位指令),必须读取两次 RESERVED Flash/EE存储器。因此,当从Flash/EE存储器中执行程序 0x0009F800 时,建议使用Thumb模式来优化存取速度。以Thumb模式 FLASH/EE 存取Flash/EE存储器的最大速度为41.78MHz,而相应的以 0x00080000 全ARM模式为20.89MHz(参见“SRAM和Flash/EE执行时间” RESERVED 0x00047FFF 0x00040000 部分)。 SRAM SRAM RESERVED 0x0001FFFF 0x00000000 用户可以使用32 kB的SRAM,它的组织形式为8 kB × 32 bit, 即16 kB字。如果SRAM被配置成32位宽的存储器阵列,ARM 09123-025 REMAPPABLE MEMORY SPACE (FLASH/EE OR SRAM) 代码可以直接在SRAM中以41.78MHz的速 度 执 行 ( 参 见 图24. 物理存储器映射图 “SRAM和Flash/EE执行时间”部分)。 存储器访问 ARM7内核把存储器看成是232个字节的一个线性阵列。不 同的存储器模块映射如图24所示。 存储器映射寄存器(MMR)空间被映射到存储器阵列的最上 方两页。我们可以通过对ARM7寄存器组的间接寻址来存 ADuC7124/ADuC7126存储器被配置成从小到大顺序格 式:LSB位于最低字节地址,MSB位于最高字节地址。 BIT 31 存储器映射寄存器 BIT 0 BYTE 2 . . . BYTE 1 . . . BYTE 0 . . . B A 9 8 7 6 5 4 0x00000004 3 2 1 0 0x00000000 图 25. 从小到大顺序格式 MMR空间为CPU和所有片内外设提供接口。除了内核寄 存器,所有的寄存器都位于寄存器区域内。图26内的阴影 BYTE 3 . . . 区域为未占用区域或保留区域,不允许用户程序访问该区 0xFFFFFFFF 域。表11至表29为所有寄存器存储器映射。 读取或写入一个寄存器所需的存取时间取决于高级微控制 09123-026 32 BITS 取存储器映射寄存器内的信息。 器总线结构(AMBA)总线,该总线可用来访问外围设备。 处理器有两个AMBA总线:高性能总线(AHB)用于系统模 块,高级外围总线(APB)用于低性能外围设备。访问AHB 需要一个周期,访问APB需要两个周期。除了Flash/EE存 储器和通用输入输出端口以外,ADuC7124/ADuC7126中 的所有外围设备均位于APB上。 Rev. C | Page 29 of 108 ADuC7124/ADuC7126 0xFFFFFFFF 0xFFFFF880 0xFFFFF800 FLASH CONTROL INTERFACE 1 FLASH CONTROL INTERFACE 0 GPIO 0xFFFFF400 0xFFFFF000 EXTERNAL MEMORY PWM 0xFFFF0F80 PLA 0xFFFF0B00 SPI 0xFFFF0A00 I2C1 0xFFFF0900 I2C0 0xFFFF0800 UART1 0xFFFF0740 UART0 0xFFFF0700 DAC 0xFFFF0600 ADC 0xFFFF0500 0xFFFF048C 0xFFFF0440 0xFFFF0404 0xFFFF0360 0xFFFF0340 0xFFFF0320 BAND GAP REFERENCE POWER SUPPLY MONITOR PLL AND OSCILLATOR CONTROL WATCHDOG TIMER WAKE-UP TIMER GENERAL-PURPOSE TIMER TIMER 0 0xFFFF0300 0xFFFF0000 REMAP AND SYSTEM CONTROL INTERRUPT CONTROLLER 图 26. 存储器映射寄存器 Rev. C | Page 30 of 108 09123-010 0xFFFF0220 ADuC7124/ADuC7126 表11. IRQ基地址 = 0xFFFF0000 地址 0xFFFF0000 0xFFFF0004 0xFFFF0008 0xFFFF000C 0xFFFF0010 0xFFFF0014 0xFFFF001C 0xFFFF0020 0xFFFF0024 0xFFFF0028 0xFFFF002C 0xFFFF0030 0xFFFF0034 0xFFFF0038 0xFFFF003C 0xFFFF0100 0xFFFF0104 0xFFFF0108 0xFFFF010C 0xFFFF011C 0xFFFF013C 名称 IRQSTA IRQSIG IRQEN IRQCLR SWICFG IRQBASE IRQVEC IRQP0 IRQP1 IRQP2 IRQP3 IRQCONN IRQCONE IRQCLRE IRQSTAN FIQSTA FIQSIG FIQEN FIQCLR FIQVEC FIQSTAN 字节 4 4 4 4 4 4 4 4 4 4 4 1 4 1 1 4 4 4 4 4 1 访问类型 R R R/W W W R/W R R/W R/W R/W R/W R/W R/W W R/W R R R/W W R R/W 名称 REMAP RSTSTA RSTCLR RSTKEY0 RSTCFG RSTKEY1 字节 1 1 1 1 1 1 访问类型 R/W R W W R/W W 名称 T0LD T0VAL T0CON T0CLRI T1LD T1VAL T1CON T1CLRI T1CAP T2LD T2VAL T2CON T2CLRI T3LD T3VAL T3CON T3CLRI 字节 2 2 2 1 4 4 2 1 4 4 4 2 1 2 2 2 1 访问类型 R/W R R/W W R/W R R/W W R/W R/W R R/W W R/W R R/W W 表12. 系统控制基地址 = 0xFFFF0200 地址 0xFFFF0220 0xFFFF0230 0xFFFF0234 0xFFFF0248 0xFFFF024C 0xFFFF0250 表13. 定时器基地址 = 0xFFFF0300 地址 0xFFFF0300 0xFFFF0304 0xFFFF0308 0xFFFF030C 0xFFFF0320 0xFFFF0324 0xFFFF0328 0xFFFF032C 0xFFFF0330 0xFFFF0340 0xFFFF0344 0xFFFF0348 0xFFFF034C 0xFFFF0360 0xFFFF0364 0xFFFF0368 0xFFFF036C Rev. C | Page 31 of 108 ADuC7124/ADuC7126 表14. PLL/PSM基地址 = 0xFFFF0400 地址 0xFFFF0404 0xFFFF0408 0xFFFF040C 0xFFFF0410 0xFFFF0414 0xFFFF0418 0xFFFF0434 0xFFFF0438 0xFFFF043C 名称 POWKEY1 POWCON0 POWKEY2 PLLKEY1 PLLCON PLLKEY2 POWKEY3 POWCON1 POWKEY4 字节 2 1 2 4 1 4 2 2 2 访问类型 W R/W W W R/W W W R/W W 表15. PSM基地址 = 0xFFFF0440 地址 0xFFFF0440 0xFFFF0444 名称 PSMCON CMPCON 字节 2 2 访问类型 R/W R/W 名称 REFCON 字节 1 访问类型 R/W 名称 ADCCON ADCCP ADCCN ADCSTA ADCDAT ADCRST ADCGN ADCOF TSCON TEMPREF 字节 2 1 1 1 4 1 2 2 1 2 访问类型 R/W R/W R/W R R R/W R/W R/W R/W R/W 名称 DAC0CON DAC0DAT DAC1CON DAC1DAT DAC2CON DAC2DAT DAC3CON DAC3DAT DACBKEY1 DACBCFG DACBKEY2 字节 1 4 1 4 1 4 1 4 2 1 2 访问类型 R/W R/W R/W R/W R/W R/W R/W R/W W R/W W 表16. 基准电压基地址 = 0xFFFF0480 地址 0xFFFF048C 表17. ADC基地址 = 0xFFFF0500 地址 0xFFFF0500 0xFFFF0504 0xFFFF0508 0xFFFF050C 0xFFFF0510 0xFFFF0514 0xFFFF0530 0xFFFF0534 0xFFFF0544 0xFFFF0548 表18. DAC基地址 = 0xFFFF0600 地址 0xFFFF0600 0xFFFF0604 0xFFFF0608 0xFFFF060C 0xFFFF0610 0xFFFF0614 0xFFFF0618 0xFFFF061C 0xFFFF0650 0xFFFF0654 0xFFFF0658 Rev. C | Page 32 of 108 ADuC7124/ADuC7126 表19. UART0基地址 = 0xFFFF0700 地址 0xFFFF0700 0xFFFF0700 0xFFFF0700 0xFFFF0704 0xFFFF0704 0xFFFF0708 0xFFFF0708 0xFFFF070C 0xFFFF0710 0xFFFF0714 0xFFFF0718 0xFFFF072C 名称 COM0TX COM0RX COM0DIV0 COM0IEN0 COM0DIV1 COM0IID0 COM0FCR COM0CON0 COM0CON1 COM0STA0 COM0STA1 COM0DIV2 字节 1 1 1 1 1 1 1 1 1 2 2 2 访问类型 R/W R R/W R/W R/W R R/W R/W R/W R R R/W 周期 2 2 2 2 2 2 2 2 2 2 2 2 字节 1 1 1 1 1 1 1 1 1 2 2 2 访问类型 R/W R R/W R/W R/W R R/W R/W R/W R R R/W 周期 2 2 2 2 2 2 字节 2 2 1 2 2 1 1 1 2 2 2 1 1 1 1 1 1 1 1 访问类型 R/W R R R/W R/W R R/W R/W R/W R/W R R W R/W R/W R/W R/W R/W R/W 周期 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 表20. UART1基地址 = 0xFFFF0740 地址 0xFFFF0740 0xFFFF0740 0xFFFF0740 0xFFFF0744 0xFFFF0744 0xFFFF0748 0xFFFF0748 0xFFFF074C 0xFFFF0750 0xFFFF0754 0xFFFF0758 0xFFFF076C 名称 COM1TX COM1RX COM1DIV0 COM1IEN0 COM1DIV1 COM1IID0 COM1FCR COM1CON0 COM1CON1 COM1STA0 COM1STA1 COM1DIV2 2 2 2 2 2 表21. I2C0基地址 = 0xFFFF0800 地址 0xFFFF0800 0xFFFF0804 0xFFFF0808 0xFFFF080C 0xFFFF0810 0xFFFF0814 0xFFFF0818 0xFFFF081C 0xFFFF0824 0xFFFF0828 0xFFFF082C 0xFFFF0830 0xFFFF0834 0xFFFF0838 0xFFFF083C 0xFFFF0840 0xFFFF0844 0xFFFF0848 0xFFFF084C 名称 I2C0MCON I2C0MSTA I2C0MRX I2C0MTX I2C0MCNT0 I2C0MCNT1 I2C0ADR0 I2C0ADR1 I2C0DIV I2C0SCON I2C0SSTA I2C0SRX I2C0STX I2C0ALT I2C0ID0 I2C0ID1 I2C0ID2 I2C0ID3 I2C0FSTA Rev. C | Page 33 of 108 ADuC7124/ADuC7126 表22. I2C1基础地址 = 0xFFFF0900 地址 0xFFFF0900 0xFFFF0904 0xFFFF0908 0xFFFF090C 0xFFFF0910 0xFFFF0914 0xFFFF0918 0xFFFF091C 0xFFFF0924 0xFFFF0928 0xFFFF092C 0xFFFF0930 0xFFFF0934 0xFFFF0938 0xFFFF093C 0xFFFF0940 0xFFFF0944 0xFFFF0948 0xFFFF094C 名称 I2C1MCON I2C1MSTA I2C1MRX I2C1MTX I2C1MCNT0 I2C1MCNT1 I2C1ADR0 I2C1ADR1 I2C1DIV I2C1SCON I2C1SSTA I2C1SRX I2C1STX I2C1ALT I2C1ID0 I2C1ID1 I2C1ID2 I2C1ID3 I2C1FSTA 字节 2 2 1 2 2 1 1 1 2 2 2 1 1 1 1 1 1 1 1 访问类型 R/W R R R/W R/W R R/W R/W R/W R/W R R W R/W R/W R/W R/W R/W R/W 周期 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 字节 2 1 1 1 2 访问类型 R R W R/W R/W 周期 2 2 2 2 2 字节 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 1 2 4 4 4 1 访问类型 R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R W 周期 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 表23. SPI基地址 = 0xFFFF0A00 地址 0xFFFF0A00 0xFFFF0A04 0xFFFF0A08 0xFFFF0A0C 0xFFFF0A10 名称 SPISTA SPIRX SPITX SPIDIV SPICON 表24. PLA基地址 = 0xFFFF0B00 地址 0xFFFF0B00 0xFFFF0B04 0xFFFF0B08 0xFFFF0B0C 0xFFFF0B10 0xFFFF0B14 0xFFFF0B18 0xFFFF0B1C 0xFFFF0B20 0xFFFF0B24 0xFFFF0B28 0xFFFF0B2C 0xFFFF0B30 0xFFFF0B34 0xFFFF0B38 0xFFFF0B3C 0xFFFF0B40 0xFFFF0B44 0xFFFF0B48 0xFFFF0B4C 0xFFFF0B50 0xFFFF0B54 名称 PLAELM0 PLAELM1 PLAELM2 PLAELM3 PLAELM4 PLAELM5 PLAELM6 PLAELM7 PLAELM8 PLAELM9 PLAELM10 PLAELM11 PLAELM12 PLAELM13 PLAELM14 PLAELM15 PLACLK PLAIRQ PLAADC PLADIN PLADOUT PLALCK Rev. C | Page 34 of 108 ADuC7124/ADuC7126 表25. PWM基地址 = 0xFFFF0F80 地址 0xFFFF0F80 0xFFFF0F84 0xFFFF0F88 0xFFFF0F8C 0xFFFF0F90 0xFFFF0F94 0xFFFF0F98 0xFFFF0F9C 0xFFFF0FA0 0xFFFF0FA4 0xFFFF0FA8 0xFFFF0FAC 0xFFFF0FB0 0xFFFF0FB4 0xFFFF0FB8 名称 PWMCON0 PWM0COM0 PWM0COM1 PWM0COM2 PWM0LEN PWM1COM0 PWM1COM1 PWM1COM2 PWM1LEN PWM2COM0 PWM2COM1 PWM2COM2 PWM2LEN PWMCON1 PWMCLRI 字节 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 访问类型 R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W W 周期 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 表26. 外部存储器基地址 = 0xFFFFF000 地址 0xFFFFF000 0xFFFFF010 0xFFFFF014 0xFFFFF018 0xFFFFF01C 0xFFFFF020 0xFFFFF024 0xFFFFF028 0xFFFFF02C 名称 XMCFG XM0CON XM1CON XM2CON XM3CON XM0PAR XM1PAR XM2PAR XM3PAR 字节 1 1 1 1 1 2 2 2 2 Rev. C | Page 35 of 108 访问类型 R/W R/W R/W R/W R/W R/W R/W R/W R/W 周期 2 2 2 2 2 2 2 2 2 ADuC7124/ADuC7126 表27. GPIO基地址 = 0xFFFF0400 地址 0xFFFFF400 0xFFFFF404 0xFFFFF408 0xFFFFF40C 0xFFFFF410 0xFFFFF420 0xFFFFF424 0xFFFFF428 0xFFFFF42C 0xFFFFF430 0xFFFFF434 0xFFFFF438 0xFFFFF43C 0xFFFFF440 0xFFFFF444 0xFFFFF448 0xFFFFF44C 0xFFFFF450 0xFFFFF454 0xFFFFF458 0xFFFFF45C 0xFFFFF460 0xFFFFF464 0xFFFFF468 0xFFFFF46C 名称 GP0CON GP1CON GP2CON GP3CON GP4CON GP0DAT GP0SET GP0CLR GP0PAR GP1DAT GP1SET GP1CLR GP1PAR GP2DAT GP2SET GP2CLR GP2PAR GP3DAT GP3SET GP3CLR GP3PAR GP4DAT GP4SET GP4CLR GP4PAR 字节 4 4 4 4 4 4 1 1 4 4 1 1 4 4 1 1 4 4 1 1 4 4 1 1 4 访问类型 R/W R/W R/W R/W R/W R/W W W R/W R/W W W R/W R/W W W R/W R/W W W R/W R/W W W R/W 周期 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 字节 1 1 1 2 2 3 4 4 访问类型 R R/W R/W R/W R/W R R/W R/W 周期 1 1 1 1 1 1 1 1 访问类型 R R/W R/W R/W R/W R R/W R/W 周期 1 1 1 1 1 1 1 1 表28. Flash/EE模块0基地址 = 0xFFFFF800 地址 0xFFFFF800 0xFFFFF804 0xFFFFF808 0xFFFFF80C 0xFFFFF810 0xFFFFF818 0xFFFFF81C 0xFFFFF820 名称 FEE0STA FEE0MOD FEE0CON FEE0DAT FEE0ADR FEE0SGN FEE0PRO FEE0HID 表29. Flash/EE模块1基地址 = 0xFFFFF880 地址 0xFFFFF880 0xFFFFF884 0xFFFFF888 0xFFFFF88C 0xFFFFF890 0xFFFFF898 0xFFFFF89C 0xFFFFF8A0 名称 FEE1STA FEE1MOD FEE1CON FEE1DAT FEE1ADR FEE1SGN FEE1PRO FEE1HID 字节 1 1 1 2 2 3 4 4 Rev. C | Page 36 of 108 ADuC7124/ADuC7126 ADC电路概览 该模数转换器是一个快速多通道12位ADC。工作电压为 理想编码转换发生在两个连续整数LSB值的中点(即1/2 2.7 V至3.6 V,当时钟源为41.78 MHz时,其吞吐量最高可 LSB、3/2 LSB、5/2 LSB、……、FS - 3/2 LSB)。理想输入/输 以达到1 MSPS。这个模块提供给用户一个多通道多路复用 出传递特性如图28所示。 器、一个差分跟踪保持电路、一个片内基准电压源和一个 ADC。 1111 1111 1111 该ADC为一款基于两个电容DAC的12位逐次逼近型转换 1111 1111 1101 器。根据对输入信号的配置不同,ADC可以在三种不同的 模式下工作。 • 全差分模式,用于小信号和平衡信号 OUTPUT CODE 1111 1111 1110 1111 1111 1100 1LSB = FULLSCALE 4096 0000 0000 0011 • 单端模式,用于任意单端信号 0000 0000 0010 • 伪差分模式,用于任意单端信号,利用伪差分输入提供 0000 0000 0000 的共模抑制性能 0V 1LSB +FS – 1LSB VOLTAGE INPUT 当工作在单端模式或伪差分模式下时,转换器模拟输入范 围为0 V至VREF。在全差分模式下,输入信号共模电压VCM 在0 V至AVDD之间,最大幅值不超过2 × VREF(见图27)。 VCM 全差分模式 (即VIN+ − VIN−)。VIN+通过ADCCP寄存器选择,VIN−通过ADCCN 2VREF 寄 存 器 选 择 。 因 此 , 差 分 信 号 的 最 大 幅 值 为 −VREF至 2VREF +VREF峰峰值(即2 × VREF)。这与共模模式(CM)无关。共模模 2VREF 0 式是两输入信号的平均值,同时也是两个输入电压的中 09123-011 VCM 图28. 伪差分或单端模式下ADC传递函数 差分信号的幅值为输入引脚VIN+和输入引脚VIN–的信号差值 AVDD VCM 09123-012 0000 0000 0001 点,即(VIN++VIN-)/2。这使得各输入的范围为CM ± VREF/2。这一 输入电压必须在外部设定,并且它的范围随着VREF而变化 图27. 全差分模式下的平衡信号示例 (参见“驱动模拟输入”部分)。 片内提供一个高精度、低漂移、工厂校准的2.5V基准电压 源,也可以直接连接一个外部基准源,如“带隙基准电压 源”部分所述。 当VREF=2.5V时,全差分模式下,输出编码为二进制补码, 且1 LSB = 2 VREF/4096,或2 × 2.5 V/4096 = 1.22 mV。输出结 果为±11位,但是这个结果向右移了一位。这样,书写C语 单次或连续转换模式可由软件启动。外部CONV START 引 脚、片内PLA生成的输出、定时器0或定时器1溢出也可以 言代码时,就可以将ADCDAT中的结果声明为带符号整 数。设计的编码转换发生在两个连续整数LSB值的中点(即 用来生成重复性的ADC转换触发信号。 1/2 LSB、3/2 LSB、5/2 LSB、……、FS - 3/2 LSB)。理想输入 另外,从片内带隙基准源输出且与绝对温度成正比的电压 /输出传递特性如图29所示。 也可以通过ADC前端多路复用器(相当于一个附加ADC通 道输入)路由,这样可以很方便地形成一个内部温度传感器 SIGN BIT 0 1111 1111 1110 0 1111 1111 1100 通道,用于测量芯片温度。 1LSB = 2 × VREF 4096 传递函数 伪差分模式和单端模式 在伪差分或单端模式中,输入电压范围为0V至VREF,输出 OUTPUT CODE 0 1111 1111 1010 编码为标准二进制编码 0 0000 0000 0010 0 0000 0000 0000 1 1111 1111 1110 1 0000 0000 0100 1 LSB = FS/4096,或 1 0000 0000 0000 0LSB +VREF – 1LSB –VREF + 1LSB VOLTAGE INPUT (VIN+ – VIN–) 610 μV(VREF = 2.5 V) 图29. 差分模式下ADC传递函数 Rev. C | Page 37 of 108 09123-013 1 0000 0000 0010 2.5 V/4096 = 0.61 mV,或 ADuC7124/ADuC7126 典型操作 寄存器接口 通过ADC控制和通道选择寄存器配置后,ADC就会转换模 ADC通过8个寄存器进行控制和配置。 拟输入,并在ADC数据寄存器中提供12位结果。 ADCCON寄存器 高四位是符号位。12位转换结果存放在如图30所示寄存器 名称: ADCCON 中的16位至27位。同样应注意,在全差分模式下,其结果 地址: 0xFFFF0500 默认值: 0x0600 访问类型: 读/写 是二进制补码格式。在伪差分模式和单端模式下,其结果 是标准二进制格式。 27 16 15 SIGN BITS 0 09123-014 31 12-BIT ADC RESULT 图30. ADC转换结果格式 ADCCON是一个ADC控制寄存器,用户可以通过它使能 ADC外设,选择ADC的工作模式(单端模式、伪差分模 式、全差分模式)和转换类型等。该寄存器如表30所示。 DAC×DAT内采用相同格式,以简化软件。 表30. ADCCON寄存器位功能描述 功耗 待机模式下,也就是上电但是没有转换情况下,ADC典型 功耗为640μA。使用内部基准电压源时,电流要增加140 μA。 转换过程中,额外电流是0.3 μA乘以采样频率(单位为kHz)。 位 [15:14] 13 值 [12:10] 000 时序 ADC时 序 如 图 31所 示 。 用 户 可 以 控 制 ADC时 钟 速 度 和 001 010 011 100 101 ADCCON寄存器内采集时钟的数量。默认情况下,采集时 间是八个时钟周期,时钟为两分频。附加时钟(如位检验或 写入)个数可以设为19,这样采样速率为774 KSPS。对于温 度传感器的转换,ADC采集时间自动设置为16时钟,ADC [9:8] 时钟分频器设置为32。当使用包括温度传感器的多通道转 00 01 10 11 换时,在读取温度传感器通道之后,定时设置就会恢复到 用户自定义设定。 ACQ BIT TRIAL WRITE 7 ADC CLOCK 6 CONVSTART DATA ADCSTA = 1 ADC INTERRUPT 图31. ADC时序 9123-015 ADCSTA = 0 [4:3] 0 ADCDAT Rev. C | Page 38 of 108 ADC时钟速度。 fADC/1。该分频器用于在外部时钟频率 小于41.78MHz时获得1MSPS ADC。 fADC/2(默认值)。 fADC/4. fADC/8. fADC/16. fADC/32. ADC采集时间。 2个时钟。 4个时钟。 8个时钟(默认值)。 16个时钟。 转换启动使能。 用户置1启动任意类型的转换。 清0禁用转换启动(清0该位不能 使正进行的连续转换停止)。 使能ADCBUSY。 用户将该位置1,使能ADCBUSY引脚。 清0则禁用该引脚。 ADC电源控制。 用户将该位置1,ADC置于正常模式 (ADC在上电至少5 μs之后才能正确 转换)。 清0,ADC置于掉电模式。 5 ADCBUSY 描述 保留。 用户将该位置1,使能边沿触发模式。 用户清0,使能电平触发模式。 00 01 10 11 转换模式。 单端模式。 差分模式。 伪差分模式。 保留。 ADuC7124/ADuC7126 位 [2:0] 值 000 001 010 011 100 101 Other 描述 转换类型。 CONVSTART引脚输入作为转换使能信号。 定时器1输出作为转换使能信号。 定时器0输出作为转换使能信号。 单次软件转换。转换后设为000(注意, 为了避免CONVSTART引脚再一次触发转 换,ADCCON寄存器的第7位在启动单次 软件转换后应清0)。 地址: 0xFFFF0504 默认值: 0x00 访问类型: 读/写 ADCCN 地址: 0xFFFF0508 默认值: 0x01 访问类型: 读/写 ADCCN是一个ADC反向通道选择寄存器。该寄存器如表 表32. ADCCN寄存器位功能描述 位 00000 00001 00010 00011 00100 00101 00110 00111 01000 01001 01010 01011 01100 01101 01110 01111 10000 10001 10010 10011 Others ADCCP是ADC正向通道选择寄存器。该寄存器如表31 所示。 表31. ADCCP1寄存器位功能描述 位 [7:5] [4:0] 值 00000 00001 00010 00011 00100 00101 00110 00111 01000 01001 01010 01011 01100 01101 01110 01111 10000 10001 10010 10011 其它 1 描述 保留。 正向通道选择位。 ADC0. ADC1. ADC2. ADC3. ADC4. ADC5. ADC6. ADC7. ADC8. ADC9. ADC10. ADC11. DAC0/ADC12. DAC1/ADC13. DAC2/ADC14. DAC3/ADC15. 温度传感器。 AGND(自诊断特性)。 内部基准电压(自诊断特性)。 AVDD/2. 保留。 ADC和DAC通道可用性取决于器件型号。详情参考订购指南。 值 [7:5] [4:0] ADCCP寄存器 ADCCP 名称: 32所示。 连续软件转换。 PLA转换。 保留。 名称: ADCCN寄存器 描述 保留。 反向通道选择位。 ADC0. ADC1. ADC2. ADC3. ADC4. ADC5. ADC6. ADC7. ADC8. ADC9. ADC10. ADC11. DAC0/ADC12. DAC1/ADC13. DAC2/ADC14. DAC3/ADC15. 保留。 AGND. 保留。 保留。 保留。 ADCSTA寄存器 名称: ADCSTA 地址: 0xFFFF050C 默认值: 0x00 访问类型: 只读 ADCSTA是一个ADC状态寄存器,指示ADC转换结果已完 成。ADCSTA寄存器只有一个位,即ADCReady(位0),表 示ADC的转换状态。在一次ADC转换完成后该位将该位置 1,并且产生一个ADC中断。当读取ADCDAT寄存器时, 该 位 自 动 清 0。 在 ADC进 行 转 换 时 , 也 可 以 通 过 外 部 ADCBUSY引脚读取ADC的工作状态。在转换期间,该引脚 为高电平;当转换结束后,ADCBUSY引脚变为低电平。如 Rev. C | Page 39 of 108 ADuC7124/ADuC7126 果通过ADCCON寄存器使能,则可以在P0.5引脚输出此信 合,SW1和SW2都置于A上,比较器保持在平衡状态,采 息(参见“通用输入/输出”部分)。 样电容阵列充电,采集输入端的差分信号。 ADCDAT寄存器 ADCDAT 地址: 0xFFFF0510 默认值: 0x00000000 访问类型: 只读 CAPACITIVE DAC CHANNEL+ AIN0 MUX AIN11 COMPARATOR CS B A SW1 CHANNEL– A SW2 CS SW3 B VREF ADCDAT是一个ADC数据结果寄存器,里面存放12位ADC CAPACITIVE DAC 图32. ADC采样阶段 转换结果,如图30所示。 ADCRST寄存器 CONTROL LOGIC 09123-017 名称: 当ADC启动转换,如图33所示,SW3断开,而SW1和SW2 名称: ADCRST 移至位置B。这使得比较器变得不平衡。一旦转换开始, 地址: 0xFFFF0514 两个输入均会断开。控制逻辑和电荷再分配DAC可以加上 默认值: 0x00 访问类型: 读/写 和减去采样电容阵列中的固定电荷数量,使得比较器恢复 到平衡状态。当比较器重新平衡后,转换就已经完成。控 制逻辑产生ADC的输出代码。注意这里驱动VIN+和VIN-引脚 ADCRST可以复位ADC的数字接口。通过向此寄存器写入 的源输出阻抗一定要匹配,否则由于两个输入的建立时间 任意数据,可恢复所有ADC寄存器到默认值。 不同会产生错误。 ADCGN寄存器 ADCGN 地址: 0xFFFF0530 默认值: 0x0200 访问类型: 读/写 CAPACITIVE DAC CHANNEL+ AIN0 MUX AIN11 COMPARATOR CS B A SW1 CHANNEL– A SW2 CS SW3 CONTROL LOGIC B VREF CAPACITIVE DAC ADCGN是一个10位增益校准寄存器。 09123-018 名称: 图33. ADC转换阶段 ADCOF寄存器 名称: ADCOF 伪差分模式 地址: 0xFFFF0534 在伪差分模式下,负通道(Channel−)连接到ADuC7124/ 默认值: 0x0200 访问类型: 读/写 ADuC7126的ADCNEG引脚。图34中,ADCNEG表示为VIN−。 SW2 在A (Channel−)与B (VREF)之间切换。ADCNEG引脚必 须接地或者接一低电压。VIN+上的输入信号的范围为VIN-至 VREF+ VIN-。注意,必须恰当选择VIN-,不要使VREF+ VIN-超 ADCOF是一个10位失调校准寄存器。 过AVDD。 这款ADC集成了一个包含电荷采样输入级的逐次逼近型 (SAR)结构。该结构可在三种模式下工作:差分模式、伪 差分模式、单端模式。 CAPACITIVE DAC CHANNEL+ AIN0 A SW1 MUX 差模 A AIN11 ADuC7124/ADuC7126各包含一个基于两个容性DAC的逐 次逼近型ADC。图32和图33分别为ADC采样阶段和转换阶 VIN– 段的简化原理示意图。ADC由控制逻辑、一个SAR和两个 容性DAC组成。在信号采样阶段,如图32所示,SW3闭 Rev. C | Page 40 of 108 CS B SW2 CS COMPARATOR SW3 CONTROL LOGIC B VREF CHANNEL– 图34. 伪差分模式下ADC CAPACITIVE DAC 09123-019 转换器操作 ADuC7124/ADuC7126 单端模式 在单端模式下,SW2始终内部接地。VIN−引脚可悬空。VIN+ 在交流应用中,建议在相应的模拟输入引脚用一个RC低通 引脚上的输入信号范围为0 V至VREF。 滤波器来滤除模拟输入信号的高频成份。在对谐波失真和 信噪比要求严格的应用中,模拟输入应采用一个低阻抗源 CAPACITIVE DAC CHANNEL+ AIN0 CS B A SW1 MUX CS 进行驱动。高源阻抗会显著影响ADC的交流特性。这种情 COMPARATOR 况下有必要使用一个输入缓冲放大器。通常根据具体应用 来选择运算放大器。图37和图38为ADC前端的示例。 CONTROL LOGIC SW3 CHANNEL– 10Ω ADC0 09123-061 CAPACITIVE DAC ADuC7124/ ADuC7126 09123-020 0.01µF 图35. 单端模式下ADC 图37. 带缓冲的单端/伪差分输入 模拟输入结构 ADC模拟输入结构等效电路如图36所示,图中4个二极管 ADuC7124/ ADuC7126 为模拟输入提供ESD保护。切记,模拟输入信号不得超过 ADC0 供电轨300 mV以上,否则会造成二极管正偏,并开始向基 VREF ADC1 板内导通电流。这些二极管可以导通但不会导致器件彻底 损坏的最大电流为10 mA。 图38. 带缓冲的差分输入 图36中,电容C1典型值为4 pF,可基本上被归属为引脚寄 生电容。电阻是由开关阻抗构成的集总元件。这些电阻的 典型值约为100 Ω。电容C2是ADC采样电容,典型值为16 pF。 AVDD D C1 09123-062 AIN11 不用放大器来驱动模拟输入端时,应将源阻抗限制在1 kΩ 以下。源阻抗最大值取决于可容许的总谐波失真(THD)。 总谐波失真随着输入源阻抗的增加而增大,从而导致ADC 性能下降。 驱动模拟输入 R1 C2 该ADC既可以采用内部基准电压源,也可以采用外部基准 D 电压源。在差分工作模式下,共模输入信号(VCM)有所限 制,该限制取决于基准电压值和电源电压,用来确保信号 AVDD D 值和最小值。 D 09123-021 C1 始终处于供电轨以内。表33给出了一些计算出的VCM最小 R1 C2 图36. 等效模拟输入电路转换阶段:开关打开, 采样阶段:开关关闭 表33. VCM范围 AVDD 3.3 V 3.0 V VREF 2.5 V 2.048 V 1.25 V 2.5 V 2.048 V 1.25 V VCM最小值 1.25 V 1.024 V 0.75 V 1.25 V 1.024 V 0.75 V VCM最大值 2.05 V 2.276 V 2.55 V 1.75 V 1.976 V 2.25 V Rev. C | Page 41 of 108 信号峰峰值 2.5 V 2.048 V 1.25 V 2.5 V 2.048 V 1.25 V ADuC7124/ADuC7126 校准 K为 ADC在 温 度 传 感 器 模 式 下 的 增 益 , 由 特 性 数 据 确 ADC失调寄存器(ADCOF)和增益系数寄存器为出厂设定值 定。对于ADuC7124,K = 0.2555°C/mV。对于ADuC7126, 时,单次操作的端点误差和线性度可以达到最佳效果(参 K = 0.2212℃/mV。它对应于表1中的电压温度系数的倒数。 见“技术规格”部分)。如果需要进行系统校准,可以通过 使用表1中的默认值,并且不经任何校准,此公式变为: 修改失调和增益系数的默认值来改善端点误差。但需要注 ADuC7124:T − 25°C = (VADC − 1415) × 0.2555 意的是,任何对ADCOF和ADCGN出厂设定值的修改都会 ADuC7126:T − 25°C = (VADC −1392) × 0.2212 降低ADC的线性性能。 对于系统失调误差校正,必须将ADC通道输入级连接在 其中VADC的单位为mV。 AGND上。用软件控制实现连续转换环,在转换过程中不 要提高精度,用户应在受控温度值上执行单点校准。 断修改ADCOF的值,直到ADC转换结果数据(ADCDAT)读 数从0变为1。如果ADCDAT值大于1,应该降低ADCOF中 的值,直到ADCDAT读数从0变为1。这种数字化校正失调 对于无校准的计算,使用25°C和1415 mV(ADuC7124) /1392mV(ADuC7126)。单点校准的思路是使用其他已知 的(TREF, VTREF)值来取代各器件的通用值(T = 25°C, 1415 mV/ 误差的分辨率可以达到0.25 LSB,范围可达到VREF的±3.125%。 1392mV)。 对于系统增益误差校正,必须将ADC通道输入级连接到 有些用户可能无法取得此类数值对。 VREF上。用软件控制实现连续转换环,在转换过程中不断 修改ADCGN的值,直到ADC结果(ADCDAT)读数从4094变 为4095。如果ADCDAT值小于4094,应该降低ADCGN中 的值,直到ADCDAT读数从4094变为4095。与失调误差校 准一样,增益校准分辨率可以达到0.25 LSB,范围可达到VREF 的±3%。 这种情况下,ADuC7124/ADuC7126会在TEMPREF寄存器 中载入单点校准值。欲了解此寄存器的更多信息,请参见 表 35。 在 ADuC7124/ADuC7126的 生 产 测 试 过 程 中 , TEMPREF寄存器中载入了失调调整因子。每个器件的 TEMPREF寄存器拥有不同的值。借助此单点校准,仍可使 用同样的公式: 温度传感器 ADuC7124/ADuC7126提供从片内带隙基准电压源输出并 与绝对温度成正比的电压。该电压可以通过前端ADC多路 复用器连接到ADC模拟输入通道,这样就可以很方便地形 成一个内部温度传感器通道,用于测量芯片温度。 ADC温度传感器转换与标准ADC电压不同。ADC性能规格 T – TREF = (VADC – VTREF) × K 其中: TREF = 25°C,但不保证。 VTREF可使用TEMPREF寄存器算出。 TSCON寄存器 名称: TSCON 地址: 0xFFFF0544 使能此模式,用户必须将TSCON的位0置1。用户还必须在 默认值: 0x00 此模式下取得两个连续的ADC读数并求取平均值。 访问类型: 读/写 并不适用于温度传感器。 内置放大器的斩波功能必须使用TSCON寄存器来使能。要 ADCCON寄存器必须配置为0x37A3。 表34. TSCON寄存器位功能描述 计算芯片温度的公式: 位 [7:1] 0 T – TREF = (VADC – VTREF) × K 其中: T为温度结果。 TREF = 25°C。 ADuC7124的VTREF = 1.415 V,ADuC7126的VTREF = 1.392 V, 相应的TREF = 25°C,如表1所示。 VADC为从两个连续转换结果得出的ADC转换结果平均值。 Rev. C | Page 42 of 108 描述 保留。 温度传感器斩波使能位。此位必须置1。 通过将该位置1,可使能ADC内置放大器 的斩波功能。 通过将该位清0,可禁用斩波。这会导致 温度传感器读数不正确。 该位的默认值为0。 ADuC7124/ADuC7126 TEMPREF寄存器 时的稳定性和快速响应。片内基准电压源也可以连接至一 名称: TEMPREF 地址: 0xFFFF0548 个外部引脚(VREF),作为系统其它电路的基准电压源。但 是由于VREF输出的驱动能力较低(
EVAL-ADUC7126QSPZ 价格&库存

很抱歉,暂时无法提供与“EVAL-ADUC7126QSPZ”相匹配的价格&库存,您可以联系我们找货

免费人工找货