0
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心
发布
  • 发文章

  • 发资料

  • 发帖

  • 提问

  • 发视频

创作活动
CY3128R62

CY3128R62

  • 厂商:

    CYPRESS(赛普拉斯)

  • 封装:

  • 描述:

    CY3128R62 - Warp Professional CPLD Software - Cypress Semiconductor

  • 数据手册
  • 价格&库存
CY3128R62 数据手册
8 CY3128 Warp Professional™ CPLD Software Features • VHDL (IEEE 1076 and 1164) and Verilog (IEEE 1364) high-level language compilers with the following features: — Designs are portable across multiple devices and/or EDA environments — Facilitates the use of industry-standard simulation and synthesis tools for board- and system-level design — Support for functions and libraries facilitating modular design methodology • IEEE Standard 1076 and 1164 VHDL synthesis supports: — Enumerated types — Operator overloading — For... Generate statements — Integers • IEEE Standard 1364 Verilog synthesis supports: — Reduction and conditional operators — Blocking and non-blocking procedural assignments — While loops — Integers • Several design entry methods support high-level and low-level design descriptions: — Graphical HDL Block Diagram editor and a library of blocks from Aldec — Aldec Active-HDL™ FSM graphical Finite State Machine editor — Behavioral VHDL and Verilog (IF...THEN...ELSE; CASE...) — Boolean — Structural Verilog and VHDL — Designs can include multiple entry methods (but only one HDL) in a single design. • Language Assistant library of VHDL and Verilog templates • Flow Manager Interface to keep track of complex projects • UltraGen™ Synthesis and Fitting Technology: — Infers “modules” such as adders, comparators, etc., from behavioral descriptions and replaces them with circuits pre-optimized for the target device. — User-selectable speed and/or area optimization on a block-by-block basis — Perfectly integrated synthesis and fitting — Automatic selection of optimal flip-flop type (D type/T type) — Automatic pin assignment • Support for all Cypress Programmable Logic Devices — PSI™ (Programmable Serial Interface™) — Delta39K™ CPLDs — Quantum38K™ CPLDs — Ultra37000™ CPLDs — FLASH370i™ CPLDs — MAX340™ CPLDs — Industry standard PLDs (16V8, 20V8, 22V10) • VHDL and Verilog timing model output for use with third-party simulators • Active-HDL™ Sim Release 4.1 timing simulation from Aldec — Graphical waveform simulator — Graphical entry and modification of stimulus waveforms — Ability to compare waveforms and highlight differences before and after a design change — Ability to probe internal nodes — Display of inputs, outputs, and high impedance (Z) signals in different colors — Automatic clock and pulse creation — Support for buses — Up to 5 ms simulation time • Architecture Explorer analysis tool and Dynamic Timing Analysis for PSI, Delta39K and Quantum38K devices: — Graphical representation of exactly how your design will be implemented on your specific target device — Zoom from the device level down to the macrocell level — Determine the timing for any path and view that path on a graphical representation of the chip • Static Timing Report for all devices • UltraISR Programming Cable • Delta39K\Ultra37000 prototype board with a CY37256V 160-pin TQFP device and a CY39100V 208-pin PQFP device[1] • On-line documentation and help Functional Description Warp Professional™ is an integration of the Warp® CPLD Development package with additional sophisticated EDA software features from Aldec. In addition to accepting IEEE 1076/1164 VHDL text, IEEE 1364 Verilog text and graphical finite state machines for design entry, Warp Professional provides a graphical HDL block diagram editor with a library of graphical HDL blocks pre-optimized for Cypress devices. It synthesizes and optimizes the entered design, and outputs a JEDEC or Intel hex file for the desired PLD or CPLD (see Figure 1). For simulation, Warp Professional provides a timing simulator, as well as VHDL timing models for use with third party simulators. Warp Professional also provides the designer with important productivity tools like the Architecture Explorer graphical analysis tool. • San Jose • CA 95134 • 408-943-2600 Revised January 9, 2002 Note: 1. Cypress reserves the right to substitute prototype boards based on availability. Cypress Semiconductor Corporation Document #: 38-03047 Rev. *A • 3901 North First Street CY3128 their project using Warp Professional for Cypress CPLDs and convert to high volume ASICs using the same VHDL or Verilog behavioral description with industry-standard synthesis tools. VHDL and Verilog Text Graphical HDL Blocks State Machine DESIGN ENTRY COMPILATION The VHDL and Verilog languages also allow users to define their own functions. User-defined functions allow users to extend the capabilities of the language and build reusable files of tested routines. VHDL and Verilog provide control over the timing of events or processes. They have constructs that identify processes as either sequential, concurrent, or a combination of both. This is essential when describing the interaction of complex state machines. VHDL and Verilog are rich programming languages. Their flexibility reflects the nature of modern digital systems and allows designers to create accurate models of digital designs. Because they are not verbose languages they are easy to learn and compile. In addition, models created in VHDL and Verilog can readily be transported to other EDA Environments. Warp Professional supports IEEE 1076/1164 VHDL including loops, for/generate statements, full hierarchical designs with packages, enumerated types, and integers as well as IEEE 1364 Verilog including loops, reduction and conditional operators. UltraGen™ Synthesis and Fitting VERFICA TION Programming File Timing Simulator VHDL, Verilog &Third-Party Simulation Models A VHDL Design Example Design Entry Warp Professional descriptions specify: • The behavior or structure of a design, and • The mapping of signals in a design to the pins of a PLD/CPLD (optional) The part of a Warp Professional description that specifies the behavior or structure of the design is called an entity/architecture pair. Entity/architecture pairs, as their name implies, are divided into two parts: an entity declaration, which declares the design’s interface signals (i.e., defines what external signals the design has, and what their directions and types are), and a design architecture, which describes the design’s behavior or structure. The entity portion of a design file is a declaration of what a design presents to the outside world (the interface). For each external signal, the entity declaration specifies a signal name, a direction and a data type. In addition, the entity declaration specifies a name by which the entity can be referenced in a design architecture. This section shows code segments from five sample design files. The top portion of each example features the entity declaration. Behavioral Description The architecture portion of a design file specifies the function of the design. As shown in Figure 1, multiple design-entry methods are supported in Warp Professional. A behavioral description in VHDL often includes well known constructs such as If...Then...Else, and Case statements. Here is a code segment from a simple state machine design (soda vending machine) that uses behavioral VHDL to implement the design: LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY drink IS PORT (nickel,dime,quarter,clock:#in Page 2 of 8 Figure 1. Warp® Design Flow VHDL and Verilog Compilers VHDL and Verilog are powerful, industry standard languages for behavioral design entry and simulation, and are supported by all major vendors of EDA tools. They allow designers to learn a single language that is useful for all facets of the design process. VHDL and Verilog offer designers the ability to describe designs at many different levels. At the highest level, designs can be entered as a description of their behavior. This behavioral description is not tied to any specific target device. As a result, simulation can be done very early in the design to verify correct functionality, which significantly speeds the design process. The Warp syntax for VHDL and Verilog includes support for intermediate level entry modes such as state tables and Boolean entry. At the lowest level, designs can be described using gate-level descriptions. Warp Professional gives the designer the flexibility to intermix all of these entry modes. In addition, Verilog and VHDL allow you to design hierarchically, building up entities in terms of other entities. This allows you to work either “top-down” (designing the highest levels of the system and its interfaces first, then progressing to greater and greater detail) or “bottom-up” (designing elementary building blocks of the system, then combining these to build larger and larger parts) with equal ease. Because these languages are IEEE standards, multiple vendors offer tools for design entry and simulation at both high and low levels and synthesis of designs to different silicon targets. The use of device-independent behavioral design entry gives users the freedom to easily migrate to high volume technologies. The wide availability of VHDL and Verilog tools provides complete vendor independence as well. Designers can begin Document #: 38-03047 Rev. *A CY3128 std_logic; returnDime,returnNickel,giveDrink:out std_logic); END drink; that “count s
CY3128R62 价格&库存

很抱歉,暂时无法提供与“CY3128R62”相匹配的价格&库存,您可以联系我们找货

免费人工找货