0
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心
发布
  • 发文章

  • 发资料

  • 发帖

  • 提问

  • 发视频

创作活动
IDT7016L15J

IDT7016L15J

  • 厂商:

    IDT

  • 封装:

  • 描述:

    IDT7016L15J - HIGH-SPEED 16K x 9 DUAL-PORT STATIC RAM - Integrated Device Technology

  • 数据手册
  • 价格&库存
IDT7016L15J 数据手册
HIGH-SPEED 16K x 9 DUAL-PORT STATIC RAM Integrated Device Technology, Inc. IDT7016S/L FEATURES: • True Dual-Ported memory cells which allow simultaneous access of the same memory location • High-speed access — Military: 20/25/35ns (max.) — Commercial:12/15/20/25/35ns (max.) • Low-power operation — IDT7016S Active: 750mW (typ.) Standby: 5mW (typ.) — IDT7016L Active: 750mW (typ.) Standby: 1mW (typ.) • IDT7016 easily expands data bus width to 18 bits or more using the Master/Slave select when cascading more than one device • M/S = H for BUSY output flag on Master M/S = L for BUSY input on Slave • Busy and Interrupt Flags • On-chip port arbitration logic • Full on-chip hardware support of semaphore signaling between ports • Fully asynchronous operation from either port • Devices are capable of withstanding greater than 2001V electrostatic discharge • TTL-compatible, single 5V (± 10%) power supply • Available in ceramic 68-pin PGA, 68-pin PLCC, and an 80-pin TQFP • Industrial temperature range (–40°C to +85°C) is available, tested to military electrical specifications DESCRIPTION: The IDT7016 is a high-speed 16K x 9 Dual-Port Static RAMs. The IDT7016 is designed to be used as standalone Dual-Port RAM or as a combination MASTER/ SLAVE Dual-Port RAM for 18-bit-or-more wider systems. FUNCTIONAL BLOCK DIAGRAM OEL OER CEL R/ WL CER R/ WR I/O0L- I/O8L I/O Control I/O Control I/O0R-I/O8R BUSYL(1,2) A13L A0L Address Decoder 14 BUSYR(1,2) MEMORY ARRAY Address Decoder A13R A0R 14 OEL R/ CEL WL ARBITRATION INTERRUPT SEMAPHORE LOGIC CER R/ OER WR SEMR (2) SEML INTL (2) M/S INTR 3190 drw 01 NOTES: 1. In MASTER mode: BUSY is an output and is a push-pull driver In SLAVE mode: BUSY is input. 2. BUSY outputs and INT outputs are non-tri-stated push-pull drivers. The IDT logo is a registered trademark of Integrated Device Technology, Inc. MILITARY AND COMMERCIAL TEMPERATURE RANGES ©1996 Integrated Device Technology, Inc. For latest information contact IDT’s web site at www.idt.com or fax-on-demand at 408-492-8391. OCTOBER 1996 DSC-3190/2 6.13 1 IDT7016S/L HIGH-SPEED 16K x 9 DUAL-PORT STATIC RAM MILITARY AND COMMERCIAL TEMPERATURE RANGES Using the IDT MASTER/SLAVE Dual-Port RAM approach in 18-bit or wider memory system applications results in fullspeed, error-free operation without the need for additional discrete logic. This device provides two independent ports with separate control, address, and I/O pins that permit independent, asynchronous access for reads or writes to any location in memory. An automatic power down feature controlled by CE permits the on-chip circuitry of each port to enter a very low standby power mode. Fabricated using IDT’s CMOS high-performance technology, these devices typically operate on only 750mW of power. The IDT7016 is packaged in a ceramic 68-pin PGA, a 64pin PLCC and an 80-pin TQFP (Thin Quad FlatPack). Military grade product is manufactured in compliance with the latest revision of MIL-STD-883, Class B, making it ideally suited to military temperature applications demanding the highest level of performance and reliability. PIN CONFIGURATIONS(1,2) I/O1L I/O0L I/O8L SEML 4 WL R/ 5 INDEX I/O2L I/O3L I/O4L I/O5L GND I/O6L I/O7L VCC GND I/O0R I/O1R I/O2R VCC I/O3R I/O4R I/O5R I/O6R 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 9 8 7 6 3 N/C A13L VCC A12L A11L A10L A9L A8L A7L A6L 2 1 68 67 66 65 64 63 62 61 60 59 58 57 56 55 OEL CEL A5L A4L A3L A2L A1L A0L INTL IDT7016 J68-1 PLCC TOP VIEW(3) 54 53 52 51 50 49 48 47 46 45 BUSYL BUSYR GND M/S INTR 44 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 A0R A1R A2R A3R A4R 3190 drw 02 I/O7R I/O8R NOTES: 1. All VCC pins must be connected to power supply. 2. All GND pins must be connected to ground supply. 3. This text does not imply orientation of Part-Mark. SEMR R/ N/C A13R GND A12R A11R A10R A9R A8R A7R A6R A5R OER CER WR PIN NAMES Left Port R/WL Right Port Names Chip Enable Read/Write Enable Output Enable Address Data Input/Output Semaphore Enable Interrupt Flag Busy Flag Master or Slave Select Power Ground 3190 tbl 01 CEL OEL CER R/WR OER A0R – A13R I/O0R – I/O8R A0L – A13L I/O0L – I/O8L SEML INTL BUSYL M/S VCC SEMR INTR BUSYR GND 6.13 2 IDT7016S/L HIGH-SPEED 16K x 9 DUAL-PORT STATIC RAM MILITARY AND COMMERCIAL TEMPERATURE RANGES PIN CONFIGURATIONS (CONT'D) (1,2) I/O0L I/O8L I/O1L R/ L SEML A11L A10L A13L VCC A12L OEL W CEL A8L A7L A6L A9L INDEX NC NC 71 70 69 66 65 64 63 68 67 80 79 78 77 76 74 73 72 75 62 61 NC NC 60 59 58 57 56 55 54 NC I/O2L I/O3L I/O4L I/O5L GND I/O6L I/O7L VCC NC GND I/O0R I/O1R I/O2R VCC I/O3R I/O4R I/O5R I/O6R NC 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 NC A5L A4L A3L A2L A1L A0L INTL IDT7016 PN-80 TQFP TOP VIEW(3) 53 52 51 50 49 48 47 46 45 44 43 42 41 BUSYL GND M/S BUSYR INTR A0R A1R A2R A3R A4R NC NC 30 32 33 21 22 36 37 24 25 27 28 29 31 35 23 26 34 38 39 40 19 20 I/O7R I/O8R R/ R NC NC A13R A11R SEMR A10R A9R A8R A12R A7R OER CER GND A6R A5R NC 51 11 53 A7L 55 A9L A5L 52 A6L 54 A8L NC 3190 drw 03 W 50 A4L 49 A3L 48 A2L 47 A1L 46 44 42 A0L BUSYL M/S 45 INTL 40 INTR 38 A1R 36 A3R 35 A4R 32 A7R 30 A9R 34 A5R 33 A6R 31 A8R 10 43 41 39 37 GND BUSYR A0R A2R 09 08 57 56 A11L A10L 59 58 VCC A12L 61 60 N/C A13L 63 07 06 IDT7016 G68-1 68-PIN PGA TOP VIEW(3) 28 29 A11R A10R 26 27 GND A12R 24 N/C 25 A13R 23 05 SEML CEL OEL R/WL 64 62 65 04 SEMR 20 22 CER 03 67 66 I/O0L I/O8L 1 3 68 I/O1L I/O2L I/O4L 2 4 I/O3L I/O5L A B C 5 7 9 11 13 15 GND I/O7L GND I/O1R VCC I/O4R 6 8 I/O6L VCC D E 10 12 14 16 I/O0R I/O2R I/O3R I/O5R F G H J OER 21 R/ R W 02 18 19 I/O7R I/O8R 17 I/O6R K L 3190 drw 04 01 NOTES: INDEX 1. All Vcc pins must be connected to the power supply. 2. All GND pins must be connected to the ground supply. 3. This text does not indicate orientation of the actual part-marking. 6.13 3 IDT7016S/L HIGH-SPEED 16K x 9 DUAL-PORT STATIC RAM MILITARY AND COMMERCIAL TEMPERATURE RANGES TRUTH TABLE I – NON-CONTENTION READ/WRITE CONTROL Inputs(1) Outputs CE H L L X R/W X L H X OE X X L H SEM H H H X I/O0-8 High-Z DATAIN DATAOUT High-Z Deselected: Power-Down Write to Memory Read Memory Outputs Disabled Mode NOTE: 1. Condition: A0L — A13L is not equal to A0R — A13R. 3190 tbl 02 TRUTH TABLE II – SEMAPHORE READ/WRITE CONTROL(1) Inputs Outputs CE H H L R/W OE L X X SEM L L L I/O0-8 DATAOUT DATAIN — Write I/O0 into Semaphore Flag Not Allowed Mode Read Semaphore Flag Data Out (I/O0 - I/O8) u X H NOTE: 1. There are eight semaphore flags written to via I/O0 and read from all I/O's (I/O0-I/O8). These eight semaphores are addressed by A0-A2. 3190 tbl 03 ABSOLUTE MAXIMUM RATINGS(1) Symbol VTERM(2) Rating Commercial Military –0.5 to +7.0 Unit V Terminal Voltage –0.5 to +7.0 with Respect to GND Operating Temperature Temperature Under Bias Storage Temperature DC Output Current 0 to +70 –55 to +125 –55 to +125 50 RECOMMENDED OPERATING TEMPERATURE AND SUPPLY VOLTAGE Grade Military Ambient Temperature –55°C to +125°C 0°C to +70°C GND 0V 0V VCC 5.0V ± 10% 5.0V ± 10% 3190 tbl 05 TA TBIAS TSTG IOUT –55 to +125 –65 to +135 –65 to +150 50 °C °C °C mA Commercial RECOMMENDED DC OPERATING CONDITIONS Symbol VCC GND VIH VIL Parameter Supply Voltage Supply Voltage Input High Voltage Input Low Voltage Min. 4.5 0 2.2 –0.5 (1) Typ. 5.0 0 — — Max. Unit 5.5 0 6.0 (2) V V V V 3190 tbl 06 NOTES: 3190 tbl 04 1. Stresses greater than those listed under ABSOLUTE MAXIMUM RATINGS may cause permanent damage to the device. This is a stress rating only and functional operation of the device at these or any other conditions above those indicated in the operational sections of this specification is not implied. Exposure to absolute maximum rating conditions for extended periods may affect reliability. 2. VTERM must not exceed Vcc + 0.5V for more than 25% of the cycle time or 10ns maximum, and is limited to < 20mA for the period of VTERM > Vcc + 0.5V. 0.8 NOTES: 1. VIL > -1.5V for pulse width less than 10ns. 2. VTERM must not exceed Vcc + 0.5V. CAPACITANCE(1) (TA = +25°C, f = 1.0MHz, TQFP ONLY) Symbol CIN COUT Parameter Input Capacitance Output Capacitance Conditions(2) VIN = 3dV VOUT = 3dV Max. Unit 9 10 pF pF 3190 tbl 07 NOTES: 1. This parameter is determined by device characteristics but is not production tested. 2. 3dV references the interpolated capacitance when the input and output signals switch from 0V to 3V or from 3V to 0V . 6.13 4 IDT7016S/L HIGH-SPEED 16K x 9 DUAL-PORT STATIC RAM MILITARY AND COMMERCIAL TEMPERATURE RANGES DC ELECTRICAL CHARACTERISTICS OVER THE OPERATING TEMPERATURE AND SUPPLY VOLTAGE RANGE (VCC = 5.0V ± 10%) 7016S Symbol |ILI| |ILO| VOL VOH Parameter Input Leakage Current (1) 7016L Min. — — — 2.4 Max. 5 5 0.4 — Unit µA µA V V 3190 tbl 08 Test Conditions VCC = 5.5V, VIN = 0V to VCC Min. — — — 2.4 Max. 10 10 0.4 — Output Leakage Current Output Low Voltage Output High Voltage CE = VIH, VOUT = 0V to VCC IOL = 4mA IOH = -4mA NOTE: 1. At Vcc < 2.0V, Input leakages are undefined. DC ELECTRICAL CHARACTERISTICS OVER THE OPERATING TEMPERATURE AND SUPPLY VOLTAGE RANGE(1) (VCC = 5.0V ± 10%) Symbol ICC Parameter Dynamic Operating Current (Both Ports Active) Standby Current (Both Ports — TTL Level Inputs) Standby Current (One Port — TTL Level Inputs) Full Standby Current (Both Ports — All CMOS Level Inputs) Test Condition Version MIL. COM’L. MIL. COM’L. MIL. COM’L. S L S L S L S L S L S L S L S L S L S L 7016X12 7016X15 Com'l. Only Com'l. Only Typ.(2) Max. Typ.(2) Max. — — 170 170 — — 25 25 — — 105 105 — — 1.0 0.2 — — 100 100 — — 325 275 — — 70 60 — — 200 170 — — 15 5 — — 180 150 — — 170 170 — — 25 25 — — 105 105 — — 1.0 0.2 — — 100 100 — — 310 260 — — 60 50 — — 190 160 — — 15 5 — — 170 140 3190 tbl 09 Unit CE = VIL, Outputs Open SEM = VIH f = fMAX(3) mA ISB1 CER = CEL = VIH SEMR = SEML = VIH f = fMAX(3) mA ISB2 ISB3 Both Ports CEL and MIL. CER > VCC - 0.2V VIN > VCC - 0.2V or COM’L. VIN < 0.2V, f = 0(4) SEMR = SEML > VCC - 0.2V Active Port Outputs Open f = fMAX(3) SEMR= SEML = VIH CE"A"=VIL and CE"B" = VIH(5) mA mA ISB4 Full Standby Current (One Port — All CMOS Level Inputs) CE"A"< 0.2V and CE"B" > VCC - 0.2V(5) SEMR = SEML > VCC - 0.2V VIN>VCC - 0.2V or VIN VCC - 0.2V VIN > VCC - 0.2V or VIN < 0.2V, f = 0(4) SEMR = SEML > VCC - 0.2V CE"A"< 0.2V and CE"B" > VCC - 0.2V(5) SEMR = SEML > VCC - 0.2V VIN > VCC - 0.2V or VIN < 0.2V Active Port Outputs Open, f = fMAX(3) SEMR = SEML = VIH MIL. mA COM’L. NOTES: 1. "X" in part numbers indicates power rating (S or L). 2. VCC = 5V, TA = +25°C, and are not production tested. ICCDC = 120mA(typ.) 3. At f = fMAX, address and I/Os are cycling at the maximum frequency read cycle of 1/tRC. 4. f = 0 means no address or control lines change. 5. Port "A" may be either left or right port. Port "B" is the opposite of port "A". 3190 tbl 10 OUTPUT LOADS AND AC TEST CONDITIONS Input Pulse Levels Input Rise/Fall Times(1) Output Reference Levels Output Load NOTE: 1. 3ns max for tAA = 12ns 5V 893Ω DATAOUT 5V 893Ω DATAOUT GND to 3.0V 5ns Max. 1.5V Figures 1 and 2 Input Timing Reference Levels 1.5V BUSY INT 347Ω 30pF 347Ω 5pF 3190 drw 06 Figure 1. AC Output Test Load Figure 2. Output Test Load ( for tLZ, tHZ, tWZ, tOW) Including scope and jig. 6.13 6 IDT7016S/L HIGH-SPEED 16K x 9 DUAL-PORT STATIC RAM MILITARY AND COMMERCIAL TEMPERATURE RANGES AC ELECTRICAL CHARACTERISTICS OVER THE OPERATING TEMPERATURE AND SUPPLY VOLTAGE RANGE(4) Symbol READ CYCLE tRC tAA tACE tAOE tOH tLZ tHZ tPU tPD tSOP tSAA Read Cycle Time Address Access Time Chip Enable Access Time(3) Output Enable Access Time Output Hold from Address Change Output Low-Z Time(1, 2) Output High-Z Time(1, 2) Chip Enable to Power Up Time (2) Parameter IDT7016X12 Com'l. Only Min. Max 12 — — — 3 3 — 0 — 10 — IDT7016X20 — 12 12 8 — — 10 — 12 — 12 IDT7016X25 Min. 25 — — — 3 3 — 0 — 10 — Max. — 25 25 13 — — 15 — 25 — 25 IDT7016X15 Com'l. Only Min. Max. 15 — — — 3 3 — 0 — 10 — — 15 15 10 — — 10 — 15 — 15 Unit ns ns ns ns ns ns ns ns ns ns ns Chip Disable to Power Down Time(2) Semaphore Flag Update Pulse (OE or SEM) Semaphore Address Access Time IDT7016X35 Min. 35 — — — 3 3 — 0 — 15 — Max. — 35 35 20 — — 20 — 35 — 35 Unit ns ns ns ns ns ns ns ns ns ns ns 3190 tbl 11 Symbol READ CYCLE tRC tAA tACE tAOE tOH tLZ tHZ tPU tPD tSOP tSAA Read Cycle Time Address Access Time Parameter Min. 20 — — — 3 3 — 0 — 10 — Max. — 20 20 12 — — 12 — 20 — 20 Chip Enable Access Time(3) Output Enable Access Time Output Hold from Address Change Output Low-Z Time (1, 2) (1, 2) Output High-Z Time Chip Enable to Power Up Time(2) Chip Disable to Power Down Time(2) Semaphore Flag Update Pulse (OE or SEM) Semaphore Address Access Time NOTES: 1. Transition is measured ± 200mV from Low or High-impedance voltage with Output Test Load (Figure 2). 2. This parameter is guaranteed by device characterization but not production tested. 3. To access RAM, CE = VIL and SEM = VIH. To access semaphore, CE = VIH and SEM = VIL. 4. "X" in part numbers indicates power rating (S or L). 6.13 7 IDT7016S/L HIGH-SPEED 16K x 9 DUAL-PORT STATIC RAM MILITARY AND COMMERCIAL TEMPERATURE RANGES WAVEFORM OF READ CYCLES(5) tRC ADDR tAA (4) tACE tAOE (4) (4) CE OE R/ W tLZ (1) tOH VALID DATA (4) DATAOUT tHZ(2) BUSY OUT tBDD (3, 4) 3190 drw 07 NOTES: 1. Timing depends on which signal is asserted last, OE or CE. 2. Timing depends on which signal is de-asserted first, CE or OE. 3. tBDD delay is required only in cases where the opposite port is completing a write operation to the same address location. For simultaneous read operations BUSY has no relation to valid output data. 4. Start of valid data depends on which timing becomes effective last : tAOE, tACE, tAA, or tBDD. 5. SEM = VIH. TIMING OF POWER-UP / POWER-DOWN CE tPU ICC 50% tPD 50% 3190 drw 08 ISB 6.13 8 IDT7016S/L HIGH-SPEED 16K x 9 DUAL-PORT STATIC RAM MILITARY AND COMMERCIAL TEMPERATURE RANGES AC ELECTRICAL CHARACTERISTICS OVER THE OPERATING TEMPERATURE AND SUPPLY VOLTAGE(5) Symbol WRITE CYCLE tWC tEW tAW tAS tWP tWR tDW tHZ tDH tWZ tOW tSWRD tSPS Write Cycle Time Chip Enable to End-of-Write(3) Address Valid to End-of-Write Address Set-up Time(3) Write Pulse Width Write Recovery Time Data Valid to End-of-Write Output High-Z Time Data Hold Time (4) (1, 2) (1, 2) Parameter IDT7016X12 Com'l. Only Min. Max 12 10 10 0 10 2 10 — 0 — 3 5 5 IDT7016X20 — — — — — — — 10 — 10 — — — IDT7016X25 Min. 25 20 20 0 20 2 15 — 0 — 3 5 5 Max. — — — — — — — 15 — 15 — — — IDT7016X15 Com'l. Only Min. Max. 15 12 12 0 12 2 10 — 0 — 3 5 5 — — — — — — — 10 — 10 — — — Unit ns ns ns ns ns ns ns ns ns ns ns ns ns Write Enable to Output in High-Z Output Active from End-of-Write(1, 2, 4) SEM Flag Write to Read Time SEM Flag Contention Window IDT7016X35 Min. 35 30 30 0 25 2 15 — 0 — 3 5 5 Max. — — — — — — — 20 — 20 — — — Unit ns ns ns ns ns ns ns ns ns ns ns ns ns Symbol WRITE CYCLE tWC tEW tAW tAS tWP tWR tDW tHZ tDH tWZ tOW tSWRD tSPS Write Cycle Time Parameter Min. 20 15 15 0 15 2 15 — 0 — 3 5 5 Max. — — — — — — — 12 — 12 — — — Chip Enable to End-of-Write(3) Address Valid to End-of-Write Address Set-up Time(3) Write Pulse Width Write Recovery Time Data Valid to End-of-Write Output High-Z Time Data Hold Time (4) (1, 2) Write Enable to Output in High-Z(1, 2) Output Active from End-of-Write (1, 2, 4) SEM Flag Write to Read Time SEM Flag Contention Window NOTES: 3190 tbl 12 1. Transition is measured ± 200mV from Low or High-impedance voltage with the Output Test Load (Figure 2). 2. This parameter is guaranteed by device characterization but not production tested. 3. To access RAM, CE = VIL and SEM = VIH. To access semaphore, CE = VIH and SEM = VIL. Either condition must be valid for the entire tEW time. 4. The specification for tDH must be met by the device supplying write data to the RAM under all operating conditions. Although tDH and tOW values will vary over voltage and temperature, the actual tDH will always be smaller than the actual tOW. 5. "X" in part numbers indicates power rating (S or L). 6.13 9 IDT7016S/L HIGH-SPEED 16K x 9 DUAL-PORT STATIC RAM MILITARY AND COMMERCIAL TEMPERATURE RANGES TIMING WAVEFORM OF WRITE CYCLE NO. 1, R/W CONTROLLED TIMING(1,5,8) tWC ADDRESS tHZ (7) OE tAW CE or SEM (9) tAS (6) R/ tWP (2) tWR (3) W tWZ (7) tOW (4) DATA OUT (4) tDW DATA IN tDH 3190 drw 09 TIMING WAVEFORM OF WRITE CYCLE NO. 2, CE CONTROLLED TIMING(1,5) CE tWC ADDRESS tAW CE or SEM R/ (9) tAS (6) tEW (2) tWR(3) W tDW tDH DATAIN 3190 drw 10 NOTES: 1. R/W or CE must be High during all address transitions. 2. A write occurs during the overlap (tEW or tWP) of a Low CE and a Low R/W for memory array writing cycle. 3. tWR is measured from the earlier of CE or R/W (or SEM or R/W) going High to the end of write cycle. 4. During this period, the I/O pins are in the output state and input signals must not be applied. 5. If the CE or SEM Low transition occurs simultaneously with or after the R/W Low transition, the outputs remain in the High-impedance state. 6. Timing depends on which enable signal is asserted last, CE or R/W. 7. This parameter is guaranteed by device characterization but is not production tested. Transition is measured +/-200mV from steady state with the Output Test load (Figure 2). 8. If OE is Low during R/W controlled write cycle, the write pulse width must be the larger of tWP or (tWZ + tDW) to allow the I/O drivers to turn off and data to be placed on the bus for the required tDW. If OE is high during an R/W controlled write cycle, this requirement does not apply and the write pulse can be as short as the specified tWP. 9. To access RAM, CE = VIL and SEM = VIH. To access Semaphore, CE = VIH and SEM = VIL. tEW must be met for either condition. 6.13 10 IDT7016S/L HIGH-SPEED 16K x 9 DUAL-PORT STATIC RAM MILITARY AND COMMERCIAL TEMPERATURE RANGES TIMING WAVEFORM OF SEMAPHORE READ AFTER WRITE TIMING, EITHER SIDE(1) tSAA A0-A2 VALID ADDRESS tAW tWR tEW tDW I/O0 R/ DATAIN VALID tAS tWP tDH tOH tSOP DATAOUT VALID(2) VALID ADDRESS tACE SEM W tSWRD tAOE OE Write Cycle Read Cycle 3190 drw 11 NOTES: 1. CE = VIH for the duration of the above timing (both write and read cycle). 2. "DATAOUT VALID" represents all I/O's (I/O0-I/O8) equal to the semaphore value. TIMING WAVEFORM OF SEMAPHORE WRITE CONTENTION(1,3,4) A0"A"-A2 "A" MATCH SIDE(2) "A" R/ W"A" tSPS MATCH SEM"A" A0"B"-A2 "B" SIDE(2) "B" R/ W"B" 3190 drw 12 SEM"B" NOTES: 1. DOR = DOL =VIH, CER = CEL =VIH. 2. All timing is the same for left and right ports. Port“A” may be either left or right port. “B” is the opposite port from “A”. 3. This parameter is measured from R/WA or SEM"A" going high to R/W"B" or SEM"B" going High. 4. If tSPS is not satisfied, there is no guarantee which side will be granted the semaphore flag. 6.13 11 IDT7016S/L HIGH-SPEED 16K x 9 DUAL-PORT STATIC RAM MILITARY AND COMMERCIAL TEMPERATURE RANGES AC ELECTRICAL CHARACTERISTICS OVER THE OPERATING TEMPERATURE AND SUPPLY VOLTAGE RANGE(6) Symbol BUSY TIMING (M/S = VIH) tBAA tBDA tBAC tBDC tAPS tBDD Parameter IDT7016X12 Com'l. Only Min. Max. — — — — 5 — 11 0 11 — (1) IDT7016X15 Com'l. Only Min. Max. — — — — 5 — 13 0 13 — — 15 15 15 15 — 18 — — — 30 25 Unit ns ns ns ns ns ns ns ns ns ns ns BUSY Access Time from Address Match BUSY Disable Time from Address Not Matched BUSY Access Time from Chip Enable Low BUSY Disable Time from Chip Enable High Arbitration Priority Set-up Time (2) 12 12 12 12 — 15 — — — 25 20 BUSY Disable to Valid Data(3) Write Hold After BUSY(5) tWH BUSY TIMING (M/S = VIL) BUSY Input to Write(4) tWB tWH Write Hold After BUSY(5) PORT-TO-PORT DELAY TIMING tWDD tDDD Write Pulse to Data Delay(1) Write Data Valid to Read Data Delay — IDT7016X20 Symbol BUSY TIMING (M/S = VIH) tBAA tBDA tBAC tBDC tAPS tBDD Parameter Min. — — — — 17 5 — 15 Max. 20 20 20 — — 30 — IDT7016X25 Min. — — — 17 5 — 17 Max. 20 20 20 — — 30 — IDT7016X35 Min. — — — 20 5 — 25 Max. 20 20 20 ns — 35 — ns ns ns Unit ns ns ns BUSY Access Time from Address Match BUSY Disable Time from Address Not Matched BUSY Access Time from Chip Enable Low BUSY Disable Time from Chip Enable High Arbitration Priority Set-up Time(2) (3) BUSY Disable to Valid Data tWH Write Hold After BUSY(5) BUSY TIMING (M/S = VIL) tWB BUSY Input to Write(4) tWH Write Hold After BUSY(5) PORT-TO-PORT DELAY TIMING tWDD tDDD 0 15 — — 0 17 — — 0 25 — — ns ns Write Pulse to Data Delay(1) Write Data Valid to Read Data Delay (1) — — 45 30 — — 50 30 — — 60 35 ns ns 2940 tbl 13 NOTES: 1. Port-to-port delay through RAM cells from writing port to reading port, refer to "Timing Waveformof Write with Port-to-Port Read and 2. To ensure that the earlier of the two ports wins. 3. tBDD is a calculated parameter and is the greater of 0, tWDD – tWP (actual), or tDDD – tDW (actual). 4. To ensure that the write cycle is inhibited on port "B" during contention on port "A". 5. To ensure that a write cycle is completed on port "B" after contention on port "A". 6. "X" in part numbers indicates power rating (S or L). BUSY (M/S = VIH)". 6.13 12 IDT7016S/L HIGH-SPEED 16K x 9 DUAL-PORT STATIC RAM MILITARY AND COMMERCIAL TEMPERATURE RANGES TIMING WAVEFORM OF READ WITH BUSY (M/S = VIH)(2,4,5) BUSY tWC ADDR"A" MATCH tWP R/ W"A" tDW tDH VALID tAPS (1) DATAIN "A" ADDR"B" MATCH tBDA tBDD BUSY"B" tWDD DATAOUT "B" tDDD (3) 3190 drw 13 NOTES: 1. To ensure that the earlier of the two ports wins. tAPS is ignored for M/S=VIL 2. CEL = CER = VIL. 3. OE = VIL for the reading port. 4. If M/S = VIL (slave), BUSY is an input. Then for this example BUSY"A" = VIH and BUSY"B" input is shown above. 5. All timing is the same for left and right ports. Port "A" may be either the left or right port. Port "B" is the port opposite from port "A". VALID TIMING WAVEFORM OF WRITE WITH BUSY BUSY tWP tWB R/ W"A" BUSY"B" tWH (1) R/ W"B" (2) NOTES: 3190 drw 14 1. tWH must be met for both BUSY input (SLAVE) and output (MASTER). 2. BUSY is asserted on port "B" blocking R/W"B", until BUSY"B" goes High. 3. All timing is the same for left and right ports. Port "A" may be either the left or right port. Port "B" is the port opposite from port "A". 6.13 13 IDT7016S/L HIGH-SPEED 16K x 9 DUAL-PORT STATIC RAM MILITARY AND COMMERCIAL TEMPERATURE RANGES WAVEFORM OF BUSY ARBITRATION CONTROLLED BY CE TIMING (M/S = VIH)(1) CE ADDR"A" and "B" ADDRESSES MATCH CE"A" tAPS (2) CE"B" tBAC tBDC BUSY"B" 3190 drw 15 WAVEFORM OF BUSY ARBITRATION CYCLE CONTROLLED BY ADDRESS MATCH TIMING (M/S = VIH)(1) ADDR"A" tAPS ADDR"B" tBAA (2) ADDRESS "N" MATCHING ADDRESS "N" tBDA BUSY"B" 3190 drw 16 NOTES: 1. All timing is the same for left and right ports. Port “A” may be either the left or right port. Port “B” is the port opposite from “A”. 2. If tAPS is not satisfied, the busy signal will be asserted on one side or another but there is no guarantee on which side busy will be asserted. AC ELECTRICAL CHARACTERISTICS OVER THE OPERATING TEMPERATURE AND SUPPLY VOLTAGE RANGE(1) Symbol INTERRUPT TIMING tAS tWR tINS tINR Address Set-up Time Write Recovery Time Interrupt Set Time Interrupt Reset Time 0 0 — — IDT7016X20 Symbol INTERRUPT TIMING tAS tWR tINS tINR Address Set-up Time Write Recovery Time Interrupt Set Time Interrupt Reset Time 0 0 — — — — 20 20 0 0 — — — — 20 20 0 0 — — — — 25 25 ns ns ns ns 2739 tbl 14 Parameter IDT7016X12 Com'l. Only Min. Max. — — 12 12 IDT7016X15 Com'l. Only Min Max. 0 0 — — — — 15 15 IDT7016X35 Min. Max. Unit ns ns ns ns IDT7016X25 Min. Max. Parameter Min. Max. Unit NOTE: 1. "X" in part numbers indicates power rating (S or L). 6.13 14 IDT7016S/L HIGH-SPEED 16K x 9 DUAL-PORT STATIC RAM MILITARY AND COMMERCIAL TEMPERATURE RANGES WAVEFORM OF INTERRUPT TIMING(1) tWC ADDR"A" tAS (3) INTERRUPT SET ADDRESS (2) tWR (4) CE"A" R/ W"A" tINS (3) INT"B" 3190 drw 17 tRC ADDR"B" tAS (3) INTERRUPT CLEAR ADDRESS (2) CE"B" OE"B" tINR INT"B" (3) 3190 drw 18 NOTES: 1. All timing is the same for left and right ports. Port “A” may be either the left or right port. Port “B” is the port opposite from “A”. 2. See Interrupt truth table. 3. Timing depends on which enable signal (CE or R/W) is asserted last. 4. Timing depends on which enable signal (CE or R/W) is de-asserted first. TRUTH TABLES TRUTH TABLE I — INTERRUPT FLAG(1) Left Port R/WL L X X X Right Port A13L-A0L 3FFF X X 3FFE CEL L X X L OEL X X X L INTL X X L(3) H (2) R/WR X X L X CER X L L X OER X L X X A13R-A0R X 3FFF 3FFE X INTR L (2) (3) Function Set Right INTR Flag Set Left INTL Flag Reset Right INTR Flag Reset Left INTL Flag 3190 tbl 15 H X X NOTES: 1. Assumes BUSYL = BUSYR = VIH. 2. If BUSYL = VIL, then no change. 3. If BUSYR = VIL, then no change. 6.13 15 IDT7016S/L HIGH-SPEED 16K x 9 DUAL-PORT STATIC RAM MILITARY AND COMMERCIAL TEMPERATURE RANGES TRUTH TABLE II — ADDRESS BUSY ARBITRATION Inputs CEL CER Outputs BUSYL A0L-A13L A0R-A13R NO MATCH MATCH MATCH MATCH (1) BUSYR (1) Function Normal Normal Normal Write Inhibit(3) X H X L X X H L H H H (2) H H H (2) NOTES: 3190 tbl 16 1. Pins BUSYL and BUSYR are both outputs when the part is configured as a master. Both are inputs when configured as a slave. BUSYX outputs on the IDT7016 are push-pull, not open drain outputs. On slaves the BUSYX input internally inhibits writes. 2. "L" if the inputs to the opposite port were stable prior to the address and enable inputs of this port. "H" if the inputs to the opposite port became stable after the address and enable inputs of this port. If tAPS is not met, either BUSYL or BUSYR = Low will result. BUSYL and BUSYR outputs can not be low simultaneously. 3. Writes to the left port are internally ignored when BUSYL outputs are driving low regardless of actual logic level on the pin. Writes to the right port are internally ignored when BUSYR outputs are driving low regardless of actual logic level on the pin. TRUTH TABLE III — EXAMPLE OF SEMAPHORE PROCUREMENT SEQUENCE(1, 2) Functions No Action Left Port Writes "0" to Semaphore Right Port Writes "0" to Semaphore Left Port Writes "1" to Semaphore Left Port Writes "0" to Semaphore Right Port Writes "1" to Semaphore Left Port Writes "1" to Semaphore Right Port Writes "0" to Semaphore Right Port Writes "1" to Semaphore Left Port Writes "0" to Semaphore Left Port Writes "1" to Semaphore D0 - D8 Left 1 0 0 1 1 0 1 1 1 0 1 D0 - D8 Right 1 1 1 0 0 1 1 0 1 1 1 Semaphore free Left port has semaphore token No change. Right side has no write access to semaphore Right port obtains semaphore token No change. Left port has no write access to semaphore Left port obtains semaphore token Semaphore free Right port has semaphore token Semaphore free Left port has semaphore token Semaphore free 3190 tbl 17 Status NOTES: 1. This table denotes a sequence of events for only one of the eight semaphores on the IDT7016. 2. There are eight semaphore flags written to via I/O0 and read from all I/O's (I/O0-I/O8). These eight semaphores are addressed by A0 - A2. FUNCTIONAL DESCRIPTION The IDT7016 provides two ports with separate control, address and I/O pins that permit independent access for reads or writes to any location in memory. The IDT7016 has an automatic power down feature controlled by CE. The CE controls on-chip power down circuitry that permits the respective port to go into a standby mode when not selected (CE High). When a port is enabled, access to the entire memory array is permitted. memory location 3FFF and to clear the interrupt flag (INTR), the right port must access memory location 3FFF. The message (9 bits) at 3FFE or 3FFF is user-defined since it is in an addressable SRAM location. If the interrupt function is not used, address locations 3FFE and 3FFF are not used as mail boxes but are still part of the random access memory. Refer to Truth Table for the interrupt operation. BUSY LOGIC INTERRUPTS If the user chooses to use the interrupt function, a memory location (mail box or message center) is assigned to each port. The left port interrupt flag (INTL) is asserted when the right port writes to memory location 3FFE where a write is defined as the CE = R/W = VIL per the Truth Table. The left port clears the interrupt by an address location 3FFE access when CER =OER =VIL, R/W is a "don't care". Likewise, the right port interrupt flag (INTR) is asserted when the left port writes to Busy Logic provides a hardware indication that both ports of the RAM have accessed the same location at the same time. It also allows one of the two accesses to proceed and signals the other side that the RAM is “Busy”. The busy pin can then be used to stall the access until the operation on the other side is completed. If a write operation has been attempted from the side that receives a busy indication, the write signal is gated internally to prevent the write from proceeding. The use of busy logic is not required or desirable for all 6.13 16 IDT7016S/L HIGH-SPEED 16K x 9 DUAL-PORT STATIC RAM MILITARY AND COMMERCIAL TEMPERATURE RANGES MASTER Dual Port RAM CE BUSYR BUSYL SLAVE Dual Port RAM CE BUSYR BUSYL MASTER Dual Port RAM CE BUSYR BUSYL BUSYL SLAVE Dual Port RAM CE BUSYR BUSYR BUSYL 3190 drw 19 Figure 3. Busy and chip enable routing for both width and depth expansion with IDT7016 RAMs. applications. In some cases it may be useful to logically OR the busy outputs together and use any busy indication as an interrupt source to flag the event of an illegal or illogical operation. If the write inhibit function of busy logic is not desirable, the busy logic can be disabled by placing the part in slave mode with the M/S pin. Once in slave mode the BUSY pin operates solely as a write inhibit input pin. Normal operation can be programmed by tying the BUSY pins high. If desired, unintended write operations can be prevented to a port by tying the busy pin for that port low. The busy outputs on the IDT7016 RAM in master mode, are push-pull type outputs and do not require pull up resistors to operate. If these RAMs are being expanded in depth, then the busy indication for the resulting array requires the use of an external AND gate. can be initiated with the R/W signal. Failure to observe this timing can result in a glitched internal write inhibit signal and corrupted data in the slave. SEMAPHORES The IDT7016 are extremely fast Dual-Port 16Kx9 Static RAMs with an additional 8 address locations dedicated to binary semaphore flags. These flags allow either processor on the left or right side of the Dual-Port RAM to claim a privilege over the other processor for functions defined by the system designer’s software. As an example, the semaphore can be used by one processor to inhibit the other from accessing a portion of the Dual-Port RAM or any other shared resource. The Dual-Port RAM features a fast access time, and both ports are completely independent of each other. This means that the activity on the left port in no way slows the access time of the right port. Both ports are identical in function to standard CMOS Static RAM and can be read from, or written to, at the same time with the only possible conflict arising from the simultaneous writing of, or a simultaneous READ/WRITE of, a non-semaphore location. Semaphores are protected against such ambiguous situations and may be used by the system program to avoid any conflicts in the non-semaphore portion of the Dual-Port RAM. These devices have an automatic power-down feature controlled by CE, the Dual-Port RAM enable, and SEM, the semaphore enable. The CE and SEM pins control on-chip power down circuitry that permits the respective port to go into standby mode when not selected. This is the condition which is shown in Truth Table where CE and SEM are both high. Systems which can best use the IDT7016 contain multiple processors or controllers and are typically very high-speed systems which are software controlled or software intensive. These systems can benefit from a performance increase offered by the IDT7016's hardware semaphores, which provide a lockout mechanism without requiring complex programming. WIDTH EXPANSION WITH BUSY LOGIC MASTER/SLAVE ARRAYS When expanding an IDT7016 RAM array in width while using busy logic, one master part is used to decide which side of the RAM array will receive a busy indication, and to output that indication. Any number of slaves to be addressed in the same address range as the master, use the busy signal as a write inhibit signal. Thus on the IDT7016 RAM the busy pin is an output if the part is used as a master (M/S pin = H), and the busy pin is an input if the part used as a slave (M/S pin = L) as shown in Figure 3. If two or more master parts were used when expanding in width, a split decision could result with one master indicating busy on one side of the array and another master indicating busy on one other side of the array. This would inhibit the write operations from one port for part of a word and inhibit the write operations from the other port for the other part of the word. The busy arbitration, on a master, is based on the chip enable and address signals only. It ignores whether an access is a read or write. In a master/slave array, both address and chip enable must be valid long enough for a busy flag to be output from the master before the actual write pulse 6.13 DECODER 17 IDT7016S/L HIGH-SPEED 16K x 9 DUAL-PORT STATIC RAM MILITARY AND COMMERCIAL TEMPERATURE RANGES Software handshaking between processors offers the maximum in system flexibility by permitting shared resources to be allocated in varying configurations. The IDT7016 does not use its semaphore flags to control any resources through hardware, thus allowing the system designer total flexibility in system architecture. An advantage of using semaphores rather than the more common methods of hardware arbitration is that wait states are never incurred in either processor. This can prove to be a major advantage in very high-speed systems. HOW THE SEMAPHORE FLAGS WORK The semaphore logic is a set of eight latches which are independent of the Dual-Port RAM. These latches can be used to pass a flag, or token, from one port to the other to indicate that a shared resource is in use. The semaphores provide a hardware assist for a use assignment method called “Token Passing Allocation.” In this method, the state of a semaphore latch is used as a token indicating that shared resource is in use. If the left processor wants to use this resource, it requests the token by setting the latch. This processor then verifies its success in setting the latch by reading it. If it was successful, it proceeds to assume control over the shared resource. If it was not successful in setting the latch, it determines that the right side processor has set the latch first, has the token and is using the shared resource. The left processor can then either repeatedly request that semaphore’s status or remove its request for that semaphore to perform another task and occasionally attempt again to gain control of the token via the set and test sequence. Once the right side has relinquished the token, the left side should succeed in gaining control. The semaphore flags are active low. A token is requested by writing a zero into a semaphore latch and is released when the same side writes a one to that latch. The eight semaphore flags reside within the IDT7016 in a separate memory space from the Dual-Port RAM. This address space is accessed by placing a low input on the SEM pin (which acts as a chip select for the semaphore flags) and using the other control pins (Address, OE, and R/W) as they would be used in accessing a standard static RAM. Each of the flags has a unique address which can be accessed by either side through address pins A0 – A2. When accessing the semaphores, none of the other address pins has any effect. When writing to a semaphore, only data pin D0 is used. If a low level is written into an unused semaphore location, that flag will be set to a zero on that side and a one on the other side (see Table III). That semaphore can now only be modified by the side showing the zero. When a one is written into the same location from the same side, the flag will be set to a one for both sides (unless a semaphore request from the other side is pending) and then can be written to by both sides. The fact that the side which is able to write a zero into a semaphore subsequently locks out writes from the other side is what makes semaphore flags useful in interprocessor communications. (A thorough discussing on the use of this feature follows shortly.) A zero written into the same location from the other side will be stored in the semaphore request latch for that side until the semaphore is freed by the first side. When a semaphore flag is read, its value is spread into all data bits so that a flag that is a one reads as a one in all data bits and a flag containing a zero reads as all zeros. The read value is latched into one side’s output register when that side's semaphore select (SEM) and output enable (OE) signals go active. This serves to disallow the semaphore from changing state in the middle of a read cycle due to a write cycle from the other side. Because of this latch, a repeated read of a semaphore in a test loop must cause either signal (SEM or OE) to go inactive or the output will never change. A sequence WRITE/READ must be used by the semaphore in order to guarantee that no system level contention will occur. A processor requests access to shared resources by attempting to write a zero into a semaphore location. If the semaphore is already in use, the semaphore request latch will contain a zero, yet the semaphore flag will appear as one, a fact which the processor will verify by the subsequent read (see Table III). As an example, assume a processor writes a zero to the left port at a free semaphore location. On a subsequent read, the processor will verify that it has written successfully to that location and will assume control over the resource in question. Meanwhile, if a processor on the right side attempts to write a zero to the same semaphore flag it will fail, as will be verified by the fact that a one will be read from that semaphore on the right side during subsequent read. Had a sequence of READ/WRITE been used instead, system contention problems could have occurred during the gap between the read and write cycles. It is important to note that a failed semaphore request must be followed by either repeated reads or by writing a one into the same location. The reason for this is easily understood by looking at the simple logic diagram of the semaphore flag in Figure 4. Two semaphore request latches feed into a semaphore flag. Whichever latch is first to present a zero to the semaphore flag will force its side of the semaphore flag low and the other side high. This condition will continue until a one is written to the same semaphore request latch. Should the other side’s semaphore request latch have been written to a zero in the meantime, the semaphore flag will flip over to the other side as soon as a one is written into the first side’s request latch. The second side’s flag will now stay low until its semaphore request latch is written to a one. From this it is easy to understand that, if a semaphore is requested and the processor which requested it no longer needs the resource, the entire system can hang up until a one is written into that semaphore request latch. The critical case of semaphore timing is when both sides request a single token by attempting to write a zero into it at the same time. The semaphore logic is specially designed to resolve this problem. If simultaneous requests are made, the logic guarantees that only one side receives the token. If one side is earlier than the other in making the request, the first side to make the request will receive the token. If both requests arrive at the same time, the assignment will be arbitrarily made to one port or the other. One caution that should be noted when using semaphores is that semaphores alone do not guarantee that access to a 6.13 18 IDT7016S/L HIGH-SPEED 16K x 9 DUAL-PORT STATIC RAM MILITARY AND COMMERCIAL TEMPERATURE RANGES resource is secure. As with any powerful programming technique, if semaphores are misused or misinterpreted, a software error can easily happen. Initialization of the semaphores is not automatic and must be handled via the initialization program at power-up. Since any semaphore request flag which contains a zero must be reset to a one, all semaphores on both sides should have a one written into them at initialization from both sides to assure that they will be free when needed. USING SEMAPHORES—SOME EXAMPLES Perhaps the simplest application of semaphores is their application as resource markers for the IDT7016’s Dual-Port RAM. Say the 16K x 9 RAM was to be divided into two 8K x 9 blocks which were to be dedicated at any one time to servicing either the left or right port. Semaphore 0 could be used to indicate the side which would control the lower section of memory, and Semaphore 1 could be defined as the indicator for the upper section of memory. To take a resource, in this example the lower 8K of Dual-Port RAM, the processor on the left port could write and then read a zero in to Semaphore 0. If this task were successfully completed (a zero was read back rather than a one), the left processor would assume control of the lower 8K. Meanwhile the right processor was attempting to gain control of the resource after the left processor, it would read back a one in response to the zero it had attempted to write into Semaphore 0. At this point, the software could choose to try and gain control of the second 8K section by writing, then reading a zero into Semaphore 1. If it succeeded in gaining control, it would lock out the left side. Once the left side was finished with its task, it would write a one to Semaphore 0 and may then try to gain access to Semaphore 1. If Semaphore 1 was still occupied by the right side, the left side could undo its semaphore request and perform other tasks until it was able to write, then read a zero into Semaphore 1. If the right processor performs a similar task with Semaphore 0, this protocol would allow the two processors to swap 8K blocks of Dual-Port RAM with each other. The blocks do not have to be any particular size and can even be variable, depending upon the complexity of the software using the semaphore flags. All eight semaphores could be used to divide the Dual-Port RAM or other shared resources into eight parts. Semaphores can even be assigned different meanings on different sides rather than being given a common meaning as was shown in the example above. Semaphores are a useful form of arbitration in systems like disk interfaces where the CPU must be locked out of a section of memory during a transfer and the I/O device cannot tolerate any wait states. With the use of semaphores, once the two devices has determined which memory area was “off-limits” to the CPU, both the CPU and the I/O devices could access their assigned portions of memory continuously without any wait states. Semaphores are also useful in applications where no memory “WAIT” state is available on one or both sides. Once a semaphore handshake has been performed, both processors can access their assigned RAM segments at full speed. Another application is in the area of complex data structures. In this case, block arbitration is very important. For this application one processor may be responsible for building and updating a data structure. The other processor then reads and interprets that data structure. If the interpreting processor reads an incomplete data structure, a major error condition may exist. Therefore, some sort of arbitration must be used between the two different processors. The building processor arbitrates for the block, locks it and then is able to go in and update the data structure. When the update is completed, the data structure block is released. This allows the interpreting processor to come back and read the complete data structure, thereby guaranteeing a consistent data structure. L PORT SEMAPHORE REQUEST FLIP FLOP D0 WRITE SEMAPHORE READ D Q R PORT SEMAPHORE REQUEST FLIP FLOP Q D D0 WRITE SEMAPHORE READ 3190 drw 20 Figure 4. IDT7016 Semaphore Logic 6.13 19 IDT7016S/L HIGH-SPEED 16K x 9 DUAL-PORT STATIC RAM MILITARY AND COMMERCIAL TEMPERATURE RANGES ORDERING INFORMATION IDT XXXXX Device Type A Power 999 Speed A Package A Process/ Temperature Range Blank B Commercial (0°C to +70°C) Military (–55°C to +125°C) Compliant to MIL-STD-883, Class B PF G J 80-pin TQFP (PN80-1) 68-pin PGA (G68-1) 68-pin PLCC (J68-1) 12 15 20 25 35 S L 7016 Commercial Only Commercial Only Speed in nanoseconds Standard Power Low Power 144K (16K x 9) Dual-Port RAM 3190 drw 21 6.13 20
IDT7016L15J 价格&库存

很抱歉,暂时无法提供与“IDT7016L15J”相匹配的价格&库存,您可以联系我们找货

免费人工找货