0
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心
发布
  • 发文章

  • 发资料

  • 发帖

  • 提问

  • 发视频

创作活动
STC8H3K64S2-45I-LQFP32

STC8H3K64S2-45I-LQFP32

  • 厂商:

    STC(宏晶科技)

  • 封装:

    LQFP32

  • 描述:

    其他微处理器 1.9~5.5V 8051

  • 数据手册
  • 价格&库存
STC8H3K64S2-45I-LQFP32 数据手册
STC micro TM 宏 晶 科 技 深圳国芯人工智能有限公司 STC8H 系列单片机 技术参考手册 技术支持网站:www.STCMCUDATA.com 资料更新日期:2022/1/25 STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 目录 1 2 2.1 2.1.1 2.1.2 2.1.3 2.2 2.2.1 2.2.2 2.2.3 2.3 2.3.1 2.3.2 2.3.3 2.4 2.4.1 2.4.2 2.4.3 2.5 2.5.1 2.5.2 2.5.3 2.6 2.6.1 2.6.2 2.6.3 2.6.4 2.7 2.7.1 2.7.2 2.7.3 2.7.4 2.8 2.8.1 2.8.2 2.8.3 2.9 2.9.1 2.9.2 2.9.3 概述 .......................................................................................................................................... 1 特性、价格及管脚 .................................................................................................................. 2 STC8H1K08-36I-TSSOP20/QFN20 系列 ............................................................................... 2 特性及价格 .............................................................................................................................. 2 管脚图,最小系统 .................................................................................................................. 5 管脚说明 .................................................................................................................................. 8 STC8H1K28-36I-LQFP32/QFN32 系列 ................................................................................ 11 特性及价格 ............................................................................................................................. 11 管脚图,最小系统 ................................................................................................................ 14 管脚说明 ................................................................................................................................ 16 STC8H3K64S2-45I-LQFP48/32,QFN48/32,TSSOP20 系列 ................................................ 20 特性及价格(有 16 位硬件乘除法器 MDU16,准 16 位单片机) .................................. 20 管脚图,最小系统 ................................................................................................................ 23 管脚说明 ................................................................................................................................ 27 STC8H3K64S4-45I-LQFP48/32,QFN48/32,TSSOP20 系列 ................................................ 33 特性及价格(有 16 位硬件乘除法器 MDU16,准 16 位单片机) .................................. 33 管脚图,最小系统 ................................................................................................................ 36 管脚说明 ................................................................................................................................ 40 STC8H8K64U-45I-LQFP64/48,QFN64/48(USB 系列) ........................................................ 46 特性及价格(有 16 位硬件乘除法器 MDU16,准 16 位单片机) .................................. 46 管脚图,最小系统 ................................................................................................................ 49 管脚说明 ................................................................................................................................ 53 STC8H4K64TLR-45I-LQFP48/QFN48/LQFP32/TSSOP20 (触摸按键/LED/RTC 系列) ... 59 特性及价格(有 16 位硬件乘除法器 MDU16,准 16 位单片机) .................................. 59 管脚图,最小系统 ................................................................................................................ 62 RTC 参考线路图(无 VBAT 管脚) ................................................................................... 66 管脚说明 ................................................................................................................................ 67 STC8H4K64TLCD-45I-LQFP64/QFN64/LQFP48/QFN48 (触摸按键/LCD/RTC 系列) .... 74 特性及价格(有 16 位硬件乘除法器 MDU16,准 16 位单片机) .................................. 74 管脚图,最小系统 ................................................................................................................ 77 RTC 参考线路图(无 VBAT 管脚) ................................................................................... 81 管脚说明 ................................................................................................................................ 83 STC8H1K08TR-36I-TSSOP20/QFN20 (触摸按键/ RTC 系列) ........................................... 92 特性及价格(有 16 位硬件乘除法器 MDU16,准 16 位单片机) .................................. 92 管脚图,最小系统 ................................................................................................................ 95 管脚说明 ................................................................................................................................ 96 STC32G12K128-LQFP64/48/32,PDIP40(新品预告) .....................................................100 特性及价格 ...........................................................................................................................100 管脚图,最小系统 ...............................................................................................................103 管脚说明 ...............................................................................................................................105 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 -i- STC8H 系列技术手册 2.10 2.10.1 2.10.2 2.10.3 2.11 2.11.1 2.11.2 2.11.3 3 3.1 3.1.1 3.1.2 3.1.3 3.1.4 3.1.5 3.1.6 3.2 3.2.1 3.2.2 3.2.3 3.2.4 3.2.5 3.2.6 3.2.7 3.2.8 4 4.1 4.2 4.3 4.4 4.5 4.6 4.7 4.8 4.9 4.10 4.11 4.12 5 5.1 5.1.1 5.1.2 5.1.3 5.1.4 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 STC32G6K64-LQFP48/LQFP32/PDIP40(新品预告) .................................................... 113 特性及价格 ........................................................................................................................... 113 管脚图,最小系统 ............................................................................................................... 116 管脚说明 ............................................................................................................................... 117 STC32F12K60-LQFP48/LQFP32/PDIP40(新品预告) ...................................................124 特性及价格 ...........................................................................................................................124 管脚图,最小系统 ...............................................................................................................127 管脚说明 ...............................................................................................................................128 功能脚切换 ...........................................................................................................................136 功能脚切换相关寄存器 .......................................................................................................136 外设端口切换控制寄存器 1(P_SW1),串口 1、SPI 切换 ............................................136 外设端口切换控制寄存器 2(P_SW2),串口 2/3/4、I2C、比较器输出切换 ...............137 时钟选择寄存器(MCLKOCR) .......................................................................................137 T3/T4 选择寄存器(T3T4PIN) .........................................................................................137 高级 PWM 选择寄存器(PWMx_PS)..............................................................................139 高级 PWM 功能脚选择寄存器(PWMx_ETRPS) ..........................................................141 范例程序 ...............................................................................................................................142 串口 1 切换 ...........................................................................................................................142 串口 2 切换 ...........................................................................................................................143 串口 3 切换 ...........................................................................................................................145 串口 4 切换 ...........................................................................................................................146 SPI 切换 ................................................................................................................................148 I2C 切换 ................................................................................................................................149 比较器输出切换 ...................................................................................................................151 主时钟输出切换 ...................................................................................................................153 封装尺寸图 ...........................................................................................................................155 SOP8 封装尺寸图 .................................................................................................................155 DFN8 封装尺寸图(3mm*3mm) ......................................................................................156 SOP16 封装尺寸图 ...............................................................................................................157 TSSOP20 封装尺寸图 ..........................................................................................................158 QFN20 封装尺寸图(3mm*3mm) ....................................................................................159 LQFP32 封装尺寸图(9mm*9mm) ..................................................................................160 QFN32 封装尺寸图(4mm*4mm) ....................................................................................161 LQFP48 封装尺寸图(9mm*9mm) ..................................................................................162 QFN48 封装尺寸图(6mm*6mm) ....................................................................................163 LQFP64S 封装尺寸图(12mm*12mm) ............................................................................164 QFN64 封装尺寸图(8mm*8mm) ....................................................................................165 STC8H 系列单片机命名规则 ..............................................................................................166 ISP 下载及典型应用线路图 ................................................................................................167 STC8H 系列 ISP 下载应用线路图 ......................................................................................167 使用 RS-232 转换器下载(一般精度 ADC),也可支持仿真 ..........................................167 使用 RS-232 转换器下载(高精度 ADC),也可支持仿真 ..............................................168 STC8H3K64S4 系列的高精度 ADC 参考线路图,也可支持仿真 ...................................169 使用 PL2303-GL 下载,也可支持仿真 ..............................................................................170 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - ii - STC8H 系列技术手册 5.1.5 5.1.6 5.1.7 5.1.8 5.1.9 5.1.10 6 6.1 6.1.1 6.1.2 6.1.3 6.1.4 6.1.5 6.1.6 6.1.7 6.2 6.2.1 6.2.2 6.2.3 6.2.4 6.2.5 6.3 6.3.1 6.3.2 6.3.3 6.3.4 6.3.5 6.3.6 6.4 6.4.1 6.4.2 6.5 6.5.1 6.6 6.6.1 6.7 6.7.1 6.7.2 6.7.3 6.7.4 6.7.5 6.7.6 6.7.7 6.7.8 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 使用通用 USB 转串口工具下载,支持 ISP 在线下载,也可支持仿真 ..........................171 使用 U8-Mini 工具下载,支持 ISP 在线和脱机下载,也可支持仿真 ............................172 使用 U8W 工具下载,支持 ISP 在线和脱机下载,也可支持仿真 .................................173 软件模拟 USB 直接 ISP 下载,不建议使用,不支持仿真 ..............................................175 硬件 USB 直接 ISP 下载 .....................................................................................................176 单片机电源控制参考电路 ...................................................................................................178 时钟、复位与电源管理 .......................................................................................................179 系统时钟控制 .......................................................................................................................179 系统时钟选择寄存器(CKSEL) ......................................................................................179 时钟分频寄存器(CLKDIV) ............................................................................................180 内部高速高精度 IRC 控制寄存器(HIRCCR) ................................................................180 外部振荡器控制寄存器(XOSCCR) ...............................................................................180 外部 32K 振荡器控制寄存器(X32KCR) .......................................................................181 内部 32KHz 低速 IRC 控制寄存器(IRC32KCR) ..........................................................181 主时钟输出控制寄存器(MCLKOCR) ...........................................................................182 STC8H 系列内部 IRC 频率调整 .........................................................................................183 IRC 频段选择寄存器(IRCBAND)..................................................................................183 内部 IRC 频率调整寄存器(IRTRIM) .............................................................................183 内部 IRC 频率微调寄存器(LIRTRIM) ..........................................................................184 时钟分频寄存器(CLKDIV) ............................................................................................184 分频出 3MHz 用户工作频率,并用户动态改变频率追频示例 .......................................185 系统复位 ...............................................................................................................................188 看门狗复位(WDT_CONTR) ..........................................................................................189 软件复位(IAP_CONTR) .................................................................................................191 低压复位(RSTCFG) ........................................................................................................192 低电平上电复位参考电路(一般不需要) .......................................................................193 低电平按键复位参考电路 ...................................................................................................193 传统 8051 高电平上电复位参考电路 .................................................................................194 外部晶振及外部时钟电路 ...................................................................................................195 外部晶振输入电路 ...............................................................................................................195 外部时钟输入电路(P1.6 不可用作普通 I/O) .................................................................195 时钟停振/省电模式与系统电源管理 ..................................................................................195 电源控制寄存器(PCON) ................................................................................................195 掉电唤醒定时器 ...................................................................................................................197 掉电唤醒定时器计数寄存器(WKTCL,WKTCH) ......................................................197 范例程序 ...............................................................................................................................198 选择系统时钟源 ...................................................................................................................198 主时钟分频输出 ...................................................................................................................200 看门狗定时器应用 ...............................................................................................................202 软复位实现自定义下载 .......................................................................................................204 低压检测 ...............................................................................................................................206 省电模式 ...............................................................................................................................208 使用 INT0/INT1/INT2/INT3/INT4 管脚中断唤醒省电模式..............................................210 使用 T0/T1/T2/T3/T4 管脚中断唤醒省电模式...................................................................213 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - iii - STC8H 系列技术手册 6.7.9 6.7.10 6.7.11 6.7.12 6.7.13 6.7.14 7 7.1 7.2 7.2.1 7.2.2 7.2.3 7.2.4 7.2.5 7.2.6 7.2.7 7.3 7.4 7.4.1 7.4.2 7.4.3 7.4.4 7.4.5 7.4.6 7.5 7.5.1 7.5.2 7.5.3 7.5.4 7.5.5 7.5.6 7.5.7 7.5.8 7.5.9 7.5.10 7.5.11 7.5.12 8 8.1 8.2 8.3 8.4 8.5 8.6 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 使用 RxD/RxD2/RxD3/RxD4 管脚中断唤醒省电模式 ......................................................217 使用 I2C 的 SDA 脚唤醒 MCU 省电模式 ..........................................................................221 使用掉电唤醒定时器唤醒省电模式 ...................................................................................223 LVD 中断唤醒省电模式,建议配合使用掉电唤醒定时器...............................................225 比较器中断唤醒省电模式,建议配合使用掉电唤醒定时器 ...........................................229 使用 LVD 功能检测工作电压(电池电压) .....................................................................231 存储器 ...................................................................................................................................236 程序存储器 ...........................................................................................................................236 数据存储器 ...........................................................................................................................238 内部 RAM .............................................................................................................................238 程序状态寄存器(PSW) ...................................................................................................239 内部扩展 RAM,XRAM,XDATA ....................................................................................240 辅助寄存器(AUXR) ........................................................................................................240 外部扩展 RAM,XRAM,XDATA ....................................................................................241 总线速度控制寄存器(BUS_SPEED) .............................................................................241 8051 中可位寻址的数据存储器 ..........................................................................................242 存储器中的特殊参数,在 ISP 下载时可烧录进程序 FLASH ..........................................244 只读特殊功能寄存器中存储的唯一 ID 号和重要参数(CHIPID) ................................249 CHIP 之全球唯一 ID 号解读 ...............................................................................................251 CHIP 之内部参考信号源解读 .............................................................................................251 CHIP 之内部 32K 的 IRC 振荡频率解读............................................................................252 CHIP 之高精度 IRC 参数解读 ............................................................................................253 CHIP 之测试时间参数解读 .................................................................................................254 CHIP 之芯片封装形式编号解读 .........................................................................................254 范例程序 ...............................................................................................................................255 读取内部 1.19V 参考信号源值 (从 CHIPID 中读取) .......................................................255 读取内部 1.19V 参考信号源值 (从 Flash 程序存储器(ROM)中读取) ......................258 读取内部 1.19V 参考信号源值 (从 RAM 中读取)............................................................261 读取全球唯一 ID 号 (从 CHIPID 中读取) .........................................................................264 读取全球唯一 ID 号 (从 Flash 程序存储器(ROM)中读取) ........................................267 读取全球唯一 ID 号 (从 RAM 中读取) .............................................................................270 读取 32K 掉电唤醒定时器的频率 (从 CHIPID 中读取) ..................................................273 读取 32K 掉电唤醒定时器的频率 (从 Flash 程序存储器(ROM)中读取) .................276 读取 32K 掉电唤醒定时器的频率 (从 RAM 中读取) .......................................................279 用户自定义内部 IRC 频率 (从 CHIPID 中读取)...............................................................282 用户自定义内部 IRC 频率 (从 Flash 程序存储器(ROM)中读取) .............................290 用户自定义内部 IRC 频率 (从 RAM 中读取) ...................................................................296 特殊功能寄存器 ...................................................................................................................299 STC8H1K08 系列 .................................................................................................................299 STC8H1K28 系列 .................................................................................................................301 STC8H3K64S4 系列 .............................................................................................................302 STC8H3K64S2 系列 .............................................................................................................304 STC8H8K64U-64Pin/48Pin USB 系列 ................................................................................306 STC8H4K64TLR 系列 .........................................................................................................308 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - iv - STC8H 系列技术手册 8.7 8.8 8.9 9 9.1 9.1.1 9.1.2 9.1.3 9.1.4 9.1.5 9.1.6 9.1.7 9.2 9.3 9.3.1 9.3.2 9.3.3 9.3.4 9.3.5 9.3.6 9.3.7 9.3.8 9.4 9.4.1 9.4.2 9.5 9.6 9.7 9.8 9.9 9.10 9.11 10 11 11.1 11.2 11.3 11.4 11.4.1 11.4.2 11.4.3 11.5 11.5.1 11.5.2 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 STC8H4K64TLCD 系列 ......................................................................................................310 STC8H4K64LCD 系列 .........................................................................................................312 特殊功能寄存器列表 ...........................................................................................................314 I/O 口 ....................................................................................................................................327 I/O 口相关寄存器 .................................................................................................................327 端口数据寄存器(Px) .......................................................................................................330 端口模式配置寄存器(PxM0,PxM1) ............................................................................330 端口上拉电阻控制寄存器(PxPU) ..................................................................................331 端口施密特触发控制寄存器(PxNCS) ...........................................................................331 端口电平转换速度控制寄存器(PxSR) ..........................................................................332 端口驱动电流控制寄存器(PxDR) .................................................................................332 端口数字信号输入使能控制寄存器(PxIE) ...................................................................332 配置 I/O 口............................................................................................................................334 I/O 的结构图.........................................................................................................................335 准双向口(弱上拉) ...........................................................................................................335 推挽输出 ...............................................................................................................................335 高阻输入 ...............................................................................................................................336 开漏输出 ...............................................................................................................................336 新增 4.1K 上拉电阻 .............................................................................................................337 如何设置 I/O 口对外输出速度 ............................................................................................337 如何设置 I/O 口电流驱动能力 ............................................................................................338 如何降低 I/O 口对外辐射 ....................................................................................................338 范例程序 ...............................................................................................................................339 端口模式设置 .......................................................................................................................339 双向口读写操作 ...................................................................................................................340 一种典型三极管控制电路 ...................................................................................................343 典型发光二极管控制电路 ...................................................................................................343 混合电压供电系统 3V/5V 器件 I/O 口互连 .......................................................................344 如何让 I/O 口上电复位时为低电平 ....................................................................................345 利用 74HC595 驱动 8 个数码管(串行扩展,3 根线)的线路图 ...........................................346 I/O 口直接驱动 LED 数码管应用线路图 ...........................................................................347 用 STC 系列 MCU 的 I/O 口直接驱动段码 LCD ..............................................................348 指令系统 ...............................................................................................................................367 中断系统 ...............................................................................................................................371 STC8H 系列中断源 ..............................................................................................................371 STC8H 中断结构图 ..............................................................................................................374 STC8H 系列中断列表 ..........................................................................................................375 中断相关寄存器 ...................................................................................................................378 中断使能寄存器(中断允许位) .......................................................................................380 中断请求寄存器(中断标志位) .......................................................................................385 中断优先级寄存器 ...............................................................................................................389 范例程序 ...............................................................................................................................395 INT0 中断(上升沿和下降沿),可同时支持上升沿和下降沿 ........................................395 INT0 中断(下降沿) .........................................................................................................397 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 -v- STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 INT1 中断(上升沿和下降沿),可同时支持上升沿和下降沿 ........................................398 INT1 中断(下降沿) .........................................................................................................400 INT2 中断(下降沿),只支持下降沿中断........................................................................402 INT3 中断(下降沿),只支持下降沿中断........................................................................404 INT4 中断(下降沿),只支持下降沿中断........................................................................406 定时器 0 中断 .......................................................................................................................408 定时器 1 中断 .......................................................................................................................409 定时器 2 中断 ....................................................................................................................... 411 定时器 3 中断 .......................................................................................................................413 定时器 4 中断 .......................................................................................................................416 UART1 中断 .........................................................................................................................418 UART2 中断 .........................................................................................................................420 UART3 中断 .........................................................................................................................423 UART4 中断 .........................................................................................................................425 ADC 中断 .............................................................................................................................428 LVD 中断 ..............................................................................................................................430 比较器中断 ...........................................................................................................................432 SPI 中断 ................................................................................................................................434 I2C 中断 ................................................................................................................................436 12 I/O 口中断 ............................................................................................................................440 12.1 I/O 口中断相关寄存器 .........................................................................................................440 12.1.1 端口中断使能寄存器(PxINTE) ......................................................................................441 12.1.2 端口中断标志寄存器(PxINTF) ......................................................................................442 12.1.3 端口中断模式配置寄存器(PxIM0,PxIM1) .................................................................442 12.1.4 端口中断优先级控制寄存器(PINIPL,PINIPH) ..........................................................443 12.1.5 端口中断掉电唤醒使能寄存器(PxWKUE) ...................................................................443 12.2 范例程序 ...............................................................................................................................444 12.2.1 P0 口下降沿中断 ..................................................................................................................444 12.2.2 P1 口上升沿中断 ..................................................................................................................448 12.2.3 P2 口低电平中断 ..................................................................................................................451 12.2.4 P3 口高电平中断 ..................................................................................................................455 13 定时器/计数器 ......................................................................................................................460 13.1 定时器的相关寄存器 ...........................................................................................................460 13.2 定时器 0/1 .............................................................................................................................462 13.2.1 定时器 0/1 控制寄存器(TCON) .....................................................................................462 13.2.2 定时器 0/1 模式寄存器(TMOD) ....................................................................................462 13.2.3 定时器 0 模式 0(16 位自动重装载模式) .......................................................................463 13.2.4 定时器 0 模式 1(16 位不可重装载模式) .......................................................................464 13.2.5 定时器 0 模式 2(8 位自动重装载模式) .........................................................................465 13.2.6 定时器 0 模式 3(不可屏蔽中断 16 位自动重装载,实时操作系统节拍器)...............465 13.2.7 定时器 1 模式 0(16 位自动重装载模式) .......................................................................466 13.2.8 定时器 1 模式 1(16 位不可重装载模式) .......................................................................467 13.2.9 定时器 1 模式 2(8 位自动重装载模式) .........................................................................468 13.2.10 定时器 0 计数寄存器(TL0,TH0) .................................................................................468 11.5.3 11.5.4 11.5.5 11.5.6 11.5.7 11.5.8 11.5.9 11.5.10 11.5.11 11.5.12 11.5.13 11.5.14 11.5.15 11.5.16 11.5.17 11.5.18 11.5.19 11.5.20 11.5.21 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - vi - STC8H 系列技术手册 13.2.11 13.2.12 13.2.13 13.2.14 13.2.15 13.3 13.3.1 13.3.2 13.3.3 13.3.4 13.3.5 13.3.6 13.4 13.4.1 13.4.2 13.4.3 13.4.4 13.4.5 13.4.6 13.4.7 13.4.8 13.4.9 13.5 13.5.1 13.5.2 13.5.3 13.5.4 13.5.5 13.5.6 13.5.7 13.5.8 13.5.9 13.5.10 13.5.11 13.5.12 13.5.13 13.5.14 13.5.15 13.5.16 13.5.17 13.5.18 13.5.19 13.5.20 13.5.21 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 定时器 1 计数寄存器(TL1,TH1) .................................................................................468 辅助寄存器 1(AUXR) .....................................................................................................468 中断与时钟输出控制寄存器(INTCLKO) ......................................................................469 定时器 0 计算公式 ...............................................................................................................469 定时器 1 计算公式 ...............................................................................................................470 定时器 2(24 位定时器,8 位预分频+16 位定时) .........................................................471 辅助寄存器 1(AUXR) .....................................................................................................471 中断与时钟输出控制寄存器(INTCLKO) ......................................................................471 定时器 2 计数寄存器(T2L,T2H) .................................................................................471 定时器 2 的 8 位预分频寄存器(TM2PS) .......................................................................471 定时器 2 工作模式 ...............................................................................................................472 定时器 2 计算公式 ...............................................................................................................472 定时器 3/4(24 位定时器,8 位预分频+16 位定时) ......................................................473 定时器 4/3 控制寄存器(T4T3M)....................................................................................473 定时器 3 计数寄存器(T3L,T3H) .................................................................................473 定时器 4 计数寄存器(T4L,T4H) .................................................................................473 定时器 3 的 8 位预分频寄存器(TM3PS) .......................................................................474 定时器 4 的 8 位预分频寄存器(TM4PS) .......................................................................474 定时器 3 工作模式 ...............................................................................................................474 定时器 4 工作模式 ...............................................................................................................476 定时器 3 计算公式 ...............................................................................................................476 定时器 4 计算公式 ...............................................................................................................477 范例程序 ...............................................................................................................................478 定时器 0(模式 0-16 位自动重载),用作定时 ..............................................................478 定时器 0(模式 1-16 位不自动重载) ,用作定时 ..........................................................479 定时器 0(模式 2-8 位自动重载),用作定时 ................................................................481 定时器 0(模式 3-16 位自动重载不可屏蔽中断) ,用作定时 ......................................483 定时器 0(外部计数-扩展 T0 为外部下降沿中断) ......................................................485 定时器 0(测量脉宽-INT0 高电平宽度).......................................................................487 定时器 0(模式 0),时钟分频输出 ...................................................................................489 定时器 1(模式 0-16 位自动重载),用作定时 ..............................................................491 定时器 1(模式 1-16 位不自动重载) ,用作定时 ..........................................................493 定时器 1(模式 2-8 位自动重载),用作定时 ................................................................494 定时器 1(外部计数-扩展 T1 为外部下降沿中断) ......................................................496 定时器 1(测量脉宽-INT1 高电平宽度).......................................................................498 定时器 1(模式 0),时钟分频输出 ...................................................................................500 定时器 1(模式 0)做串口 1 波特率发生器 .....................................................................502 定时器 1(模式 2)做串口 1 波特率发生器 .....................................................................506 定时器 2(16 位自动重载),用作定时 .............................................................................510 定时器 2(外部计数-扩展 T2 为外部下降沿中断) ......................................................512 定时器 2,时钟分频输出 ....................................................................................................514 定时器 2 做串口 1 波特率发生器 .......................................................................................516 定时器 2 做串口 2 波特率发生器 .......................................................................................519 定时器 2 做串口 3 波特率发生器 .......................................................................................523 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - vii - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 定时器 2 做串口 4 波特率发生器 .......................................................................................527 定时器 3(16 位自动重载),用作定时 .............................................................................531 定时器 3(外部计数-扩展 T3 为外部下降沿中断) ......................................................534 定时器 3,时钟分频输出 ....................................................................................................536 定时器 3 做串口 3 波特率发生器 .......................................................................................538 定时器 4(16 位自动重载),用作定时 .............................................................................542 定时器 4(外部计数-扩展 T4 为外部下降沿中断) ......................................................545 定时器 4,时钟分频输出 ....................................................................................................547 定时器 4 做串口 4 波特率发生器 .......................................................................................549 14 串口通信 ...............................................................................................................................554 14.1 串口相关寄存器 ...................................................................................................................554 14.2 串口 1 ....................................................................................................................................555 14.2.1 串口 1 控制寄存器(SCON) ............................................................................................555 14.2.2 串口 1 数据寄存器(SBUF) .............................................................................................555 14.2.3 电源管理寄存器(PCON) ................................................................................................556 14.2.4 辅助寄存器 1(AUXR) .....................................................................................................556 14.2.5 串口 1 模式 0,模式 0 波特率计算公式 ............................................................................556 14.2.6 串口 1 模式 1,模式 1 波特率计算公式 ............................................................................557 14.2.7 串口 1 模式 2,模式 2 波特率计算公式 ............................................................................560 14.2.8 串口 1 模式 3,模式 3 波特率计算公式 ............................................................................560 14.2.9 自动地址识别 .......................................................................................................................561 14.2.10 串口 1 从机地址控制寄存器(SADDR,SADEN) ........................................................561 14.3 串口 2 ....................................................................................................................................563 14.3.1 串口 2 控制寄存器(S2CON) ..........................................................................................563 14.3.2 串口 2 数据寄存器(S2BUF) ...........................................................................................563 14.3.3 串口 2 模式 0,模式 0 波特率计算公式 ............................................................................563 14.3.4 串口 2 模式 1,模式 1 波特率计算公式 ............................................................................564 14.4 串口 3 ....................................................................................................................................566 14.4.1 串口 3 控制寄存器(S3CON) ..........................................................................................566 14.4.2 串口 3 数据寄存器(S3BUF) ...........................................................................................566 14.4.3 串口 3 模式 0,模式 0 波特率计算公式 ............................................................................566 14.4.4 串口 3 模式 1,模式 1 波特率计算公式 ............................................................................567 14.5 串口 4 ....................................................................................................................................569 14.5.1 串口 4 控制寄存器(S4CON) ..........................................................................................569 14.5.2 串口 4 数据寄存器(S4BUF) ...........................................................................................569 14.5.3 串口 4 模式 0,模式 0 波特率计算公式 ............................................................................569 14.5.4 串口 4 模式 1,模式 1 波特率计算公式 ............................................................................570 14.6 串口注意事项 .......................................................................................................................572 14.7 范例程序 ...............................................................................................................................573 14.7.1 串口 1 使用定时器 2 做波特率发生器 ...............................................................................573 14.7.2 串口 1 使用定时器 1(模式 0)做波特率发生器 .............................................................576 14.7.3 串口 1 使用定时器 1(模式 2)做波特率发生器 .............................................................580 14.7.4 串口 2 使用定时器 2 做波特率发生器 ...............................................................................584 14.7.5 串口 3 使用定时器 2 做波特率发生器 ...............................................................................588 13.5.22 13.5.23 13.5.24 13.5.25 13.5.26 13.5.27 13.5.28 13.5.29 13.5.30 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - viii - STC8H 系列技术手册 14.7.6 14.7.7 14.7.8 14.7.9 14.7.10 15 15.1 15.2 15.2.1 15.2.2 15.2.3 15.3 15.3.1 15.3.2 15.3.3 15.3.4 15.3.5 15.3.6 15.3.7 15.3.8 16 16.1 16.2 16.2.1 16.2.2 16.2.3 16.2.4 16.2.5 16.2.6 16.3 16.4 16.4.1 16.4.2 16.4.3 16.4.4 16.4.5 17 17.1 17.1.1 17.1.2 17.1.3 17.1.4 17.2 17.2.1 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 串口 3 使用定时器 3 做波特率发生器 ...............................................................................592 串口 4 使用定时器 2 做波特率发生器 ...............................................................................596 串口 4 使用定时器 4 做波特率发生器 ...............................................................................600 串口多机通讯 .......................................................................................................................605 串口转 LIN 总线 ..................................................................................................................606 比较器,掉电检测,内部 1.19V 参考信号源 ...................................................................615 比较器内部结构图 ...............................................................................................................616 比较器相关的寄存器 ...........................................................................................................617 比较器控制寄存器 1(CMPCR1) ....................................................................................617 比较器控制寄存器 2(CMPCR2) ....................................................................................618 比较器扩展配置寄存器(CMPEXCFG) ..........................................................................618 范例程序 ...............................................................................................................................620 旧版比较器的使用(中断方式) .......................................................................................620 旧版比较器的使用(查询方式) .......................................................................................622 新版比较器的使用(中断方式) .......................................................................................625 新版比较器的使用(查询方式) .......................................................................................628 旧版比较器的多路复用应用(比较器+ADC 输入通道) ................................................631 新版比较器的多路复用应用(比较器+ADC 输入通道) ................................................633 比较器作外部掉电检测(掉电过程中应及时保存用户数据到 EEPROM 中) .............636 比较器检测工作电压(电池电压) ...................................................................................637 IAP/EEPROM/DATA-FLASH ...........................................................................................642 EEPROM 操作时间 ..............................................................................................................642 EEPROM 相关的寄存器 ......................................................................................................642 EEPROM 数据寄存器(IAP_DATA) ...............................................................................642 EEPROM 地址寄存器(IAP_ADDR) ..............................................................................643 EEPROM 命令寄存器(IAP_CMD) ................................................................................643 EEPROM 触发寄存器(IAP_TRIG) ................................................................................643 EEPROM 控制寄存器(IAP_CONTR) ............................................................................643 EEPROM 等待时间控制寄存器(IAP_TPS) ...................................................................644 EEPROM 大小及地址 ..........................................................................................................645 范例程序 ...............................................................................................................................648 EEPROM 基本操作 ..............................................................................................................648 使用 MOVC 读取 EEPROM ................................................................................................651 使用串口送出 EEPROM 数据 .............................................................................................655 串口 1 读写 EEPROM-带 MOVC 读...................................................................................659 口令擦除写入-多扇区备份-串口 1 操作.............................................................................666 ADC 模数转换,内部 1.19V 参考信号源 .........................................................................675 ADC 相关的寄存器..............................................................................................................675 ADC 控制寄存器(ADC_CONTR),PWM 触发 ADC 控制 ...........................................676 ADC 配置寄存器(ADCCFG) .........................................................................................679 ADC 转换结果寄存器(ADC_RES,ADC_RESL) ........................................................680 ADC 时序控制寄存器..........................................................................................................681 ADC 相关计算公式..............................................................................................................682 ADC 速度计算公式..............................................................................................................682 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - ix - STC8H 系列技术手册 17.2.2 17.2.3 17.2.4 17.3 17.4 17.5 17.5.1 17.5.2 17.6 17.6.1 17.6.2 17.6.3 17.6.4 17.6.5 17.6.6 17.6.7 17.6.8 18 18.1 18.1.1 18.1.2 18.1.3 18.2 18.2.1 18.2.2 18.2.3 18.3 18.4 18.5 18.5.1 18.5.2 18.5.3 18.5.4 18.5.5 18.5.6 19 19.1 19.2 19.2.1 19.2.2 19.2.3 19.2.4 19.3 19.3.1 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 ADC 转换结果计算公式......................................................................................................682 反推 ADC 输入电压计算公式 .............................................................................................683 反推工作电压计算公式 .......................................................................................................684 10 位 ADC 静态特性............................................................................................................684 12 位 ADC 静态特性............................................................................................................684 ADC 应用参考线路图..........................................................................................................685 一般精度 ADC 参考线路图 .................................................................................................685 高精度 ADC 参考线路图.....................................................................................................686 范例程序 ...............................................................................................................................687 ADC 基本操作(查询方式) ..............................................................................................687 ADC 基本操作(中断方式) ..............................................................................................689 格式化 ADC 转换结果.........................................................................................................691 利用 ADC 第 15 通道测量外部电压或电池电压 ...............................................................694 ADC 做电容感应触摸按键 ..................................................................................................697 ADC 作按键扫描应用线路图 ..............................................................................................710 检测负电压参考线路图 ....................................................................................................... 711 常用加法电路在 ADC 中的应用 .........................................................................................712 同步串行外设接口 SPI ........................................................................................................713 SPI 相关的寄存器 ................................................................................................................713 SPI 状态寄存器(SPSTAT)...............................................................................................713 SPI 控制寄存器(SPCTL),SPI 速度控制........................................................................713 SPI 数据寄存器(SPDAT) ................................................................................................714 SPI 通信方式 ........................................................................................................................715 单主单从 ...............................................................................................................................715 互为主从 ...............................................................................................................................715 单主多从 ...............................................................................................................................716 配置 SPI ................................................................................................................................717 数据模式 ...............................................................................................................................719 范例程序 ...............................................................................................................................720 SPI 单主单从系统主机程序(中断方式) ........................................................................720 SPI 单主单从系统从机程序(中断方式) ........................................................................722 SPI 单主单从系统主机程序(查询方式) ........................................................................724 SPI 单主单从系统从机程序(查询方式) ........................................................................726 SPI 互为主从系统程序(中断方式) ................................................................................729 SPI 互为主从系统程序(查询方式) ................................................................................731 I2C 总线 ................................................................................................................................735 I2C 相关的寄存器 .................................................................................................................735 I2C 主机模式.........................................................................................................................736 I2C 配置寄存器(I2CCFG),总线速度控制 ....................................................................736 I2C 主机控制寄存器(I2CMSCR) ...................................................................................737 I2C 主机辅助控制寄存器(I2CMSAUX) ........................................................................738 I2C 主机状态寄存器(I2CMSST) ....................................................................................738 I2C 从机模式.........................................................................................................................740 I2C 从机控制寄存器(I2CSLCR) ....................................................................................740 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 -x- STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 I2C 从机状态寄存器(I2CSLST) .....................................................................................740 I2C 从机地址寄存器(I2CSLADR) .................................................................................742 I2C 数据寄存器(I2CTXD,I2CRXD)............................................................................743 范例程序 ...............................................................................................................................744 I2C 主机模式访问 AT24C256(中断方式) ......................................................................744 I2C 主机模式访问 AT24C256(查询方式) ......................................................................750 I2C 主机模式访问 PCF8563.................................................................................................756 I2C 从机模式(中断方式) .................................................................................................761 I2C 从机模式(查询方式) .................................................................................................766 测试 I2C 从机模式代码的主机代码 ....................................................................................770 20 16 位高级 PWM 定时器,支持正交编码器 ......................................................................777 20.1 简介 .......................................................................................................................................780 20.2 主要特性 ...............................................................................................................................780 20.3 时基单元 ...............................................................................................................................781 20.3.1 读写 16 位计数器 .................................................................................................................782 20.3.2 16 位 PWMA_ARR 寄存器的写操作 .................................................................................782 20.3.3 预分频器 ...............................................................................................................................782 20.3.4 向上计数模式 .......................................................................................................................782 20.3.5 向下计数模式 .......................................................................................................................784 20.3.6 中间对齐模式(向上/向下计数) ......................................................................................785 20.3.7 重复计数器 ...........................................................................................................................786 20.4 时钟/触发控制器 ..................................................................................................................787 20.4.1 预分频时钟(CK_PSC) ....................................................................................................787 20.4.2 内部时钟源(fMASTER) .......................................................................................................787 20.4.3 外部时钟源模式 1 ...............................................................................................................788 20.4.4 外部时钟源模式 2 ................................................................................................................789 20.4.5 触发同步 ...............................................................................................................................790 20.4.6 与 PWMB 同步 .....................................................................................................................792 20.5 捕获/比较通道 ......................................................................................................................795 20.5.1 16 位 PWMA_CCRi 寄存器的写流程.................................................................................796 20.5.2 输入模块 ...............................................................................................................................796 20.5.3 输入捕获模式 .......................................................................................................................796 20.5.4 输出模块 ...............................................................................................................................798 20.5.5 强制输出模式 .......................................................................................................................799 20.5.6 输出比较模式 .......................................................................................................................799 20.5.7 PWM 模式 ............................................................................................................................800 20.5.8 使用刹车功能(PWMFLT) ...............................................................................................805 20.5.9 在外部事件发生时清除 OCiREF 信号 ...............................................................................806 20.5.10 编码器接口模式 ...................................................................................................................807 20.6 中断 .......................................................................................................................................808 20.7 PWMA/PWMB 寄存器描述 ................................................................................................810 20.7.1 输出使能寄存器(PWMx_ENO) .....................................................................................810 20.7.2 输出附加使能寄存器(PWMx_IOAUX) ......................................................................... 811 20.7.3 控制寄存器 1(PWMx_CR1) ........................................................................................... 811 19.3.2 19.3.3 19.3.4 19.4 19.4.1 19.4.2 19.4.3 19.4.4 19.4.5 19.4.6 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - xi - STC8H 系列技术手册 20.7.4 20.7.5 20.7.6 20.7.7 20.7.8 20.7.9 20.7.10 20.7.11 20.7.12 20.7.13 20.7.14 20.7.15 20.7.16 20.7.17 20.7.18 20.7.19 20.7.20 20.7.21 20.7.22 20.7.23 20.7.24 20.7.25 20.7.26 20.7.27 20.7.28 20.7.29 20.7.30 20.7.31 20.7.32 20.7.33 20.7.34 20.8 20.8.1 20.8.2 20.8.3 20.8.4 20.8.5 20.8.6 20.8.7 20.8.8 20.8.9 20.8.10 20.8.11 20.8.12 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 控制寄存器 2(PWMx_CR2),及实时触发 ADC ............................................................813 从模式控制寄存器(PWMx_SMCR) ....................................................................................814 外部触发寄存器(PWMx_ETR) ...........................................................................................816 中断使能寄存器(PWMx_IER) ............................................................................................817 状态寄存器 1(PWMx_SR1) .................................................................................................817 状态寄存器 2(PWMx_SR2) .................................................................................................818 事件产生寄存器(PWMx_EGR)......................................................................................818 捕获/比较模式寄存器 1(PWMx_CCMR1) ....................................................................819 捕获/比较模式寄存器 2(PWMx_CCMR2) ....................................................................823 捕获/比较模式寄存器 3(PWMx_CCMR3) ....................................................................824 捕获/比较模式寄存器 4(PWMx_CCMR4) ....................................................................825 捕获/比较使能寄存器 1(PWMx_CCER1) .....................................................................826 捕获/比较使能寄存器 2(PWMx_CCER2) .....................................................................828 计数器高 8 位(PWMx_CNTRH) ....................................................................................829 计数器低 8 位(PWMx_CNTRL) ....................................................................................829 预分频器高 8 位(PWMx_PSCRH),输出频率计算公式 ...............................................829 预分频器低 8 位(PWMx_PSCRL) .................................................................................829 自动重装载寄存器高 8 位(PWMx_ARRH)...................................................................830 自动重装载寄存器低 8 位(PWMx_ARRL) ...................................................................830 重复计数器寄存器(PWMx_RCR)..................................................................................830 捕获/比较寄存器 1/5 高 8 位(PWMx_CCR1H).............................................................830 捕获/比较寄存器 1/5 低 8 位(PWMx_CCR1L) .............................................................830 捕获/比较寄存器 2/6 高 8 位(PWMx_CCR2H).............................................................831 捕获/比较寄存器 2/6 低 8 位(PWMx_CCR2L) .............................................................831 捕获/比较寄存器 3/7 高 8 位(PWMx_CCR3H).............................................................831 捕获/比较寄存器 3/7 低 8 位(PWMx_CCR3L) .............................................................831 捕获/比较寄存器 4/8 高 8 位(PWMx_CCR4H).............................................................831 捕获/比较寄存器 4/8 低 8 位(PWMx_CCR4L) .............................................................831 刹车寄存器(PWMx_BKR) .............................................................................................832 死区寄存器(PWMx_DTR)..............................................................................................833 输出空闲状态寄存器(PWMx_OISR).............................................................................833 范例程序 ...............................................................................................................................834 BLDC 无刷直流电机驱动(带 HALL) .................................................................................834 BLDC 无刷直流电机驱动(无 HALL),一个比较器当 3 个比较器分时复用..................845 正交编码器模式 ...................................................................................................................851 单脉冲模式(触发控制脉冲输出) ...................................................................................853 门控模式(输入电平使能计数器) ...................................................................................855 外部时钟模式 .......................................................................................................................857 输入捕获模式测量脉冲周期(捕获上升沿到上升沿或者下降沿到下降沿)................859 输入捕获模式测量脉冲高电平宽度(捕获上升沿到下降沿) .......................................861 输入捕获模式测量脉冲低电平宽度(捕获下降沿到上升沿) .......................................862 输入捕获模式同时测量脉冲周期和占空比 .......................................................................863 带死区控制的 PWM 互补输出............................................................................................865 PWM 端口做外部中断(下降沿中断或者上升沿中断) .................................................867 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - xii - STC8H 系列技术手册 20.8.13 20.8.14 20.8.15 20.8.16 20.8.17 21 21.1 21.1.1 21.1.2 21.1.3 21.1.4 21.2 21.2.1 21.2.2 21.2.3 21.2.4 21.2.5 21.2.6 21.2.7 21.2.8 21.2.9 21.2.10 21.2.11 21.2.12 21.2.13 21.2.14 21.2.15 21.2.16 21.2.17 21.2.18 21.2.19 21.2.20 21.3 21.4 21.4.1 22 22.1 22.2 22.3 22.4 22.5 22.5.1 22.5.2 22.5.3 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 输出任意周期和任意占空比的波形 ...................................................................................868 使用 PWM 的 CEN 启动 PWMA 定时器,实时触发 ADC ..............................................869 利用 PWM 实现 16 位 DAC 的参考线路图 .......................................................................870 利用 PWM 实现互补 SPWM ...............................................................................................871 高级 PWM 输出-频率可调-脉冲计数 .................................................................................875 USB 通用串行总线 ..............................................................................................................881 USB 相关的寄存器 ..............................................................................................................881 USB 控制寄存器(USBCON) ..........................................................................................882 USB 时钟控制寄存器(USBCLK)...................................................................................882 USB 间址地址寄存器(USBADDR) ...............................................................................883 USB 间址数据寄存器(USBDATA) ................................................................................883 USB 控制器寄存器(SIE) ................................................................................................884 USB 功能地址寄存器(FADDR) .....................................................................................885 USB 电源控制寄存器(POWER) ....................................................................................885 USB 端点 IN 中断标志位(INTRIN1) .............................................................................885 USB 端点 OUT 中断标志位(INTROUT1) .....................................................................886 USB 电源中断标志(INTRUSB) .....................................................................................886 USB 端点 IN 中断允许寄存器(INTRIN1E) ..................................................................887 USB 端点 OUT 中断允许寄存器(INTROUT1E) ..........................................................887 USB 电源中断允许寄存器(INTRUSB) .........................................................................887 USB 数据帧号寄存器(FRAMEn)) .................................................................................888 USB 端点索引寄存器(INDEX) ......................................................................................888 IN 端点的最大数据包大小(INMAXP) ..........................................................................888 USB 端点 0 控制状态寄存器(CSR0) .............................................................................888 IN 端点控制状态寄存器 1(INCSR1) .............................................................................889 IN 端点控制状态寄存器 2(INCSR2) .............................................................................890 OUT 端点的最大数据包大小(OUTMAXP) ..................................................................890 OUT 端点控制状态寄存器 1(OUTCSR1) .....................................................................890 OUT 端点控制状态寄存器 2(OUTCSR2) .....................................................................891 USB 端点 0 的 OUT 长度(COUNT0) ............................................................................891 USB 端点的 OUT 长度(OUTCOUNTn)) .......................................................................892 USB 端点的 FIFO 数据访问寄存器(FIFOn) .................................................................892 USB 产品开发注意事项 ......................................................................................................892 范例程序 ...............................................................................................................................893 HID 人机接口设备范例 .......................................................................................................893 触摸按键控制器,先工程样片,可少量送样 ...................................................................904 触摸按键控制器内部框架图 ...............................................................................................905 低功耗触摸唤醒 ...................................................................................................................906 仅做触摸按键功能时的操作步骤 .......................................................................................906 低功耗触摸按键唤醒功能的操作步骤 ...............................................................................906 触摸按键相关的寄存器 .......................................................................................................907 触摸按键使能寄存器(TSCHENn)) ................................................................................908 触摸按键配置寄存器(TSCFGn) .....................................................................................908 触摸按键低电模式唤醒时间控制寄存器(TSWUTC) ...................................................909 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - xiii - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 触摸按键控制寄存器(TSCTRL) ....................................................................................909 触摸按键状态寄存器 1(TSSTA1) ..................................................................................910 触摸按键状态寄存器 2(TSSTA2) .................................................................................. 911 触摸按键时间控制寄存器(TSRT)..................................................................................912 触摸按键数据寄存器(TSDAT) .......................................................................................912 触摸按键门槛值寄存器(TSTH) .....................................................................................912 基本参考电路图以及注意事项 ...........................................................................................913 范例程序 ...............................................................................................................................914 触摸按键配置软件介绍 .......................................................................................................914 23 LED 驱动器 ..........................................................................................................................917 23.1 LED 驱动器内部框架图 ......................................................................................................918 23.2 LED 驱动相关的寄存器 ......................................................................................................918 23.2.1 COM 使能寄存器(COMEN)...........................................................................................919 23.2.2 SEG 使能寄存器(SEGEN) ..............................................................................................919 23.2.3 LED 控制寄存器(LEDCTRL) ........................................................................................919 23.2.4 LED 时钟分频寄存器(LEDCKS) ...................................................................................920 23.2.5 LED 共阳模式数据寄存器(COMn_DA)........................................................................921 23.2.6 LED 共阴模式数据寄存器(COMn_DC) ........................................................................921 23.3 LED 共阴模式(LEDMODE = 00)...................................................................................922 23.4 LED 共阳模式(LEDMODE = 01)...................................................................................923 23.5 LED 共阴/共阳模式(LEDMODE = 10) .........................................................................924 23.6 触摸按键与 LED 分时复用 I/O ...........................................................................................925 23.7 共阴模式参考电路图 ...........................................................................................................927 23.8 共阳模式参考电路图 ...........................................................................................................927 23.9 共阴/共阳模式参考电路图 ..................................................................................................928 23.10 范例程序 ...............................................................................................................................929 23.10.1 共阴/共阳模式驱动 16 个 7 段数码管 ................................................................................929 24 RTC 实时时钟......................................................................................................................932 24.1 RTC 相关的寄存器 ..............................................................................................................932 24.1.1 RTC 控制寄存器(RTCCR) ..............................................................................................934 24.1.2 RTC 配置寄存器(RTCCFG) ...........................................................................................934 24.1.3 RTC 中断使能寄存器(RTCIEN) ....................................................................................934 24.1.4 RTC 中断请求寄存器(RTCIF) .......................................................................................935 24.1.5 RTC 闹钟设置寄存器 ..........................................................................................................935 24.1.6 RTC 实时时钟初始值设置寄存器.......................................................................................935 24.1.7 RTC 实时时钟计数寄存器...................................................................................................936 24.2 RTC 参考线路图(无 VBAT 管脚) .................................................................................937 24.3 RTC 实战线路图 ..................................................................................................................938 24.4 范例程序 ...............................................................................................................................939 24.4.1 串口打印 RTC 时钟范例......................................................................................................939 25 LCD 液晶驱动,即传统的段式/笔画式屏驱动 ................................................................942 25.1 LCD 功能脚切换 ..................................................................................................................942 25.2 LCD 相关的寄存器 ..............................................................................................................943 25.2.1 LCD 配置寄存器(LCDCFG) ..........................................................................................945 22.5.4 22.5.5 22.5.6 22.5.7 22.5.8 22.5.9 22.6 22.7 22.7.1 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - xiv - STC8H 系列技术手册 25.2.2 25.2.3 25.2.4 25.2.5 25.2.6 25.2.7 25.2.8 25.2.9 25.3 25.3.1 25.3.2 26 26.1 26.2 26.2.1 26.2.2 26.2.3 26.2.4 26.2.5 26.3 26.3.1 26.3.2 27 27.1 27.2 27.2.1 27.2.2 27.2.3 27.2.4 27.2.5 27.2.6 27.2.7 27.3 27.3.1 27.3.2 27.3.3 27.3.4 27.3.5 27.3.6 27.3.7 27.4 27.4.1 27.4.2 27.4.3 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 LCD 配置寄存器 2(LCDCFG2) .....................................................................................945 死区时间长度配置寄存器(DBLEN) ..............................................................................946 COM 时间长度配置寄存器(COMLENx) ......................................................................946 闪烁率配置寄存器(BLANKRATE) ...............................................................................946 LCD 控制寄存器(LCDCR) .............................................................................................946 COM 线使能寄存器(COMON) ......................................................................................946 SEG 线使能寄存器(SEGONx) .......................................................................................947 LCD 数据寄存器(CxSEGVx) .........................................................................................947 LCD 显示相关配置 ..............................................................................................................947 配置 LCD 刷新率(帧速率) .............................................................................................947 配置 LCD 闪烁率 .................................................................................................................948 LCM 接口(8/16 位彩屏模块 I8080/M6800 接口) ........................................................949 LCM 接口功能脚切换 .........................................................................................................950 LCM 相关的寄存器 .............................................................................................................950 LCM 接口配置寄存器(LCMIFCFG)..............................................................................950 LCM 接口配置寄存器 2(LCMIFCFG2).........................................................................951 LCM 接口控制寄存器(LCMIFCR) ................................................................................951 LCM 接口状态寄存器(LCMIFSTA) ..............................................................................952 LCM 接口数据寄存器(LCMIFDATL,LCMIFDATH) ................................................952 I8080/M6800 模式 LCM 接口时序图 .................................................................................953 I8080 模式.............................................................................................................................953 M6800 模式 ..........................................................................................................................954 DMA(批量数据传输) .....................................................................................................955 DMA 相关的寄存器 .............................................................................................................955 存储器与存储器之间的数据读写(M2M_DMA) ...........................................................958 M2M_DMA 配置寄存器(DMA_M2M_CFG)................................................................958 M2M_DMA 控制寄存器(DMA_M2M_CR) ..................................................................958 M2M_DMA 状态寄存器(DMA_M2M_STA) ................................................................958 M2M_DMA 传输总字节寄存器(DMA_M2M_AMT)...................................................959 M2M_DMA 传输完成字节寄存器(DMA_M2M_DONE) ............................................959 M2M_DMA 发送地址寄存器(DMA_M2M_TXAx) .....................................................959 M2M_DMA 接收地址寄存器(DMA_M2M_RXAx) .....................................................959 ADC 数据自动存储(ADC_DMA) ..................................................................................960 ADC_DMA 配置寄存器(DMA_ADC_CFG) .................................................................960 ADC_DMA 控制寄存器(DMA_ADC_CR) ...................................................................960 ADC_DMA 状态寄存器(DMA_ADC_STA) .................................................................960 ADC_DMA 接收地址寄存器(DMA_ADC_RXAx) ......................................................960 ADC_DMA 配置寄存器 2(DMA_ADC_CFG2) ............................................................961 ADC_DMA 通道使能寄存器(DMA_ADC_CHSWx) ...................................................961 ADC_DMA 的数据存储格式 ..............................................................................................962 SPI 与存储器之间的数据交换(SPI_DMA) ...................................................................964 SPI_DMA 配置寄存器(DMA_SPI_CFG) ......................................................................964 SPI_DMA 控制寄存器(DMA_SPI_CR) .........................................................................964 SPI_DMA 状态寄存器(DMA_SPI_STA) .......................................................................965 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - xv - STC8H 系列技术手册 27.4.4 27.4.5 27.4.6 27.4.7 27.4.8 27.5 27.5.1 27.5.2 27.5.3 27.5.4 27.5.5 27.5.6 27.5.7 27.5.8 27.5.9 27.5.10 27.5.11 27.5.12 27.6 27.6.1 27.6.2 27.6.3 27.6.4 27.6.5 27.6.6 27.6.7 27.6.8 27.6.9 27.6.10 27.6.11 27.6.12 27.7 27.7.1 27.7.2 27.7.3 27.7.4 27.7.5 27.7.6 27.7.7 27.7.8 27.7.9 27.7.10 27.7.11 27.7.12 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 SPI_DMA 传输总字节寄存器(DMA_SPI_AMT) .........................................................965 SPI_DMA 传输完成字节寄存器(DMA_SPI_DONE) ...................................................965 SPI_DMA 发送地址寄存器(DMA_SPI_TXAx) ............................................................965 SPI_DMA 接收地址寄存器(DMA_SPI_RXAx)............................................................965 SPI_DMA 配置寄存 2 器(DMA_SPI_CFG2) ................................................................966 串口 1 与存储器之间的数据交换(UR1T_DMA,UR1R_DMA).................................967 UR1T_DMA 配置寄存器(DMA_UR1T_CFG) ..............................................................967 UR1T_DMA 控制寄存器(DMA_UR1T_CR) ................................................................967 UR1T_DMA 状态寄存器(DMA_UR1T_STA) ..............................................................967 UR1T_DMA 传输总字节寄存器(DMA_UR1T_AMT) .................................................968 UR1T_DMA 传输完成字节寄存器(DMA_UR1T_DONE) ...........................................968 UR1T_DMA 发送地址寄存器(DMA_UR1T_TXAx) ...................................................968 UR1R_DMA 配置寄存器(DMA_UR1R_CFG) .............................................................968 UR1R_DMA 控制寄存器(DMA_UR1R_CR) ................................................................968 UR1R_DMA 状态寄存器(DMA_UR1R_STA) ..............................................................969 UR1R_DMA 传输总字节寄存器(DMA_UR1R_AMT) ................................................969 UR1R_DMA 传输完成字节寄存器(DMA_UR1R_DONE) ..........................................969 UR1R_DMA 接收地址寄存器(DMA_UR1T_RXAx) ...................................................969 串口 2 与存储器之间的数据交换(UR2T_DMA,UR2R_DMA).................................970 UR2T_DMA 配置寄存器(DMA_UR2T_CFG) ..............................................................970 UR2T_DMA 控制寄存器(DMA_UR2T_CR) ................................................................970 UR2T_DMA 状态寄存器(DMA_UR2T_STA) ..............................................................970 UR2T_DMA 传输总字节寄存器(DMA_UR2T_AMT) .................................................971 UR2T_DMA 传输完成字节寄存器(DMA_UR2T_DONE) ...........................................971 UR2T_DMA 发送地址寄存器(DMA_UR2T_TXAx) ...................................................971 UR2R_DMA 配置寄存器(DMA_UR2R_CFG) .............................................................971 UR2R_DMA 控制寄存器(DMA_UR2R_CR) ................................................................971 UR2R_DMA 状态寄存器(DMA_UR2R_STA) ..............................................................972 UR2R_DMA 传输总字节寄存器(DMA_UR2R_AMT) ................................................972 UR2R_DMA 传输完成字节寄存器(DMA_UR2R_DONE) ..........................................972 UR2R_DMA 接收地址寄存器(DMA_UR2T_RXAx) ...................................................972 串口 3 与存储器之间的数据交换(UR3T_DMA,UR3R_DMA).................................973 UR3T_DMA 配置寄存器(DMA_UR3T_CFG) ..............................................................973 UR3T_DMA 控制寄存器(DMA_UR3T_CR) ................................................................973 UR3T_DMA 状态寄存器(DMA_UR3T_STA) ..............................................................973 UR3T_DMA 传输总字节寄存器(DMA_UR3T_AMT) .................................................974 UR3T_DMA 传输完成字节寄存器(DMA_UR3T_DONE) ...........................................974 UR3T_DMA 发送地址寄存器(DMA_UR3T_TXAx) ...................................................974 UR3R_DMA 配置寄存器(DMA_UR3R_CFG) .............................................................974 UR3R_DMA 控制寄存器(DMA_UR3R_CR) ................................................................974 UR3R_DMA 状态寄存器(DMA_UR3R_STA) ..............................................................975 UR3R_DMA 传输总字节寄存器(DMA_UR3R_AMT) ................................................975 UR3R_DMA 传输完成字节寄存器(DMA_UR3R_DONE) ..........................................975 UR3R_DMA 接收地址寄存器(DMA_UR3T_RXAx) ...................................................975 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - xvi - STC8H 系列技术手册 27.8 27.8.1 27.8.2 27.8.3 27.8.4 27.8.5 27.8.6 27.8.7 27.8.8 27.8.9 27.8.10 27.8.11 27.8.12 27.9 27.9.1 27.9.2 27.9.3 27.9.4 27.9.5 27.9.6 27.9.7 27.10 27.10.1 27.10.2 28 28.1 28.1.1 28.1.2 28.1.3 28.1.4 28.2 28.2.1 28.2.2 29 29.1 29.1.1 29.1.2 29.1.3 29.1.4 29.2 附录 A 附录 B 附录 C 附录 D 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 串口 4 与存储器之间的数据交换(UR4T_DMA,UR4R_DMA).................................976 UR4T_DMA 配置寄存器(DMA_UR4T_CFG) ..............................................................976 UR4T_DMA 控制寄存器(DMA_UR4T_CR) ................................................................976 UR4T_DMA 状态寄存器(DMA_UR4T_STA) ..............................................................976 UR4T_DMA 传输总字节寄存器(DMA_UR4T_AMT) .................................................977 UR4T_DMA 传输完成字节寄存器(DMA_UR4T_DONE) ...........................................977 UR4T_DMA 发送地址寄存器(DMA_UR4T_TXAx) ...................................................977 UR4R_DMA 配置寄存器(DMA_UR4R_CFG) .............................................................977 UR4R_DMA 控制寄存器(DMA_UR4R_CR) ................................................................977 UR4R_DMA 状态寄存器(DMA_UR4R_STA) ..............................................................978 UR4R_DMA 传输总字节寄存器(DMA_UR4R_AMT) ................................................978 UR4R_DMA 传输完成字节寄存器(DMA_UR4R_DONE) ..........................................978 UR4R_DMA 接收地址寄存器(DMA_UR4T_RXAx) ...................................................978 LCM 与存储器之间的数据读写(LCM_DMA)..............................................................979 LCM_DMA 配置寄存器(DMA_LCM_CFG) ................................................................979 LCM_DMA 控制寄存器(DMA_LCM_CR) ...................................................................979 LCM_DMA 状态寄存器(DMA_LCM_STA) .................................................................980 LCM_DMA 传输总字节寄存器(DMA_LCM_AMT) ...................................................980 LCM_DMA 传输完成字节寄存器(DMA_LCM_DONE) .............................................980 LCM_DMA 发送地址寄存器(DMA_LCM_TXAx) ......................................................980 LCM_DMA 接收地址寄存器(DMA_LCM_RXAx) ......................................................980 范例程序 ...............................................................................................................................981 串口 1 中断模式与电脑收发测试 - DMA 接收超时中断 .................................................981 串口 1 中断模式与电脑收发测试 - DMA 数据校验.........................................................986 增强型双数据指针 ...............................................................................................................993 相关的特殊功能寄存器 .......................................................................................................993 第 1 组 16 位数据指针寄存器(DPTR0) .........................................................................993 第 2 组 16 位数据指针寄存器(DPTR1) .........................................................................993 数据指针控制寄存器(DPS) ............................................................................................993 数据指针控制寄存器(TA) ..............................................................................................994 范例程序 ...............................................................................................................................996 示例代码 1 ............................................................................................................................996 示例代码 2 ............................................................................................................................997 MDU16 硬件 16 位乘除法器 ..............................................................................................999 相关的特殊功能寄存器 .......................................................................................................999 操作数 1 数据寄存器(MD0~MD3) ..............................................................................999 操作数 2 数据寄存器(MD4~MD5) ............................................................................1000 MDU 模式控制寄存器(ARCON),运算所需时钟数 ...................................................1000 MDU 操作控制寄存器(OPCON) .................................................................................1001 范例程序 .............................................................................................................................1002 编译器(汇编器)/仿真器/头文件使用指南 ...................................................................1004 如何测试 I/O 口 ................................................................................................................. 1011 如何让传统的 8051 单片机学习板可仿真 .......................................................................1012 STC-USB 驱动程序安装说明 ...........................................................................................1014 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - xvii - STC8H 系列技术手册 附录 E 附录 F 附录 G 附录 H H.1 H.2 H.3 H.3.1 H.3.2 H.3.3 H.3.4 H.3.5 H.3.6 H.3.7 H.3.8 H.3.9 H.3.10 H.4 H.4.1 H.4.2 H.4.3 H.4.4 H.4.5 H.5 H.5.1 H.5.2 附录 I I.1 I.2 I.3 I.4 I.4.1 I.4.2 I.4.3 I.5 I.5.1 I.5.2 I.5.3 附录 J 附录 K 附录 L 附录 M 附录 N 附录 O 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 USB 下载步骤演示 ............................................................................................................1077 USB 仿真步骤演示 ............................................................................................................1081 RS485 自动控制或 I/O 口控制线路图 .............................................................................1086 STC 工具使用说明书 ........................................................................................................1087 概述 .....................................................................................................................................1087 系统可编程(ISP)流程说明 ...........................................................................................1087 USB 型联机/脱机下载工具 U8W/U8W-Mini ...................................................................1088 安装 U8W/U8W-Mini 驱动程序 ........................................................................................1090 U8W 的功能介绍 ...............................................................................................................1093 U8W 的在线联机下载使用说明 .......................................................................................1094 U8W 的脱机下载使用说明 ...............................................................................................1097 U8W-Mini 的功能介绍 ...................................................................................................... 1105 U8W-Mini 的在线联机下载使用说明............................................................................... 1106 U8W-Mini 的脱机下载使用说明....................................................................................... 1107 制作/更新 U8W/U8W-Mini................................................................................................ 1113 U8W/U8W-Mini 设置直通模式(可用于仿真) ............................................................. 1115 U8W/U8W-Mini 的参考电路 ............................................................................................. 1115 STC 通用 USB 转串口工具 ............................................................................................... 1117 STC 通用 USB 转串口工具外观图 ................................................................................... 1117 STC 通用 USB 转串口工具布局图 ................................................................................... 1118 STC 通用 USB 转串口工具驱动安装 ............................................................................... 1119 使用 STC 通用 USB 转串口工具下载程序到 MCU ........................................................ 1120 使用 STC 通用 USB 转串口工具仿真用户代码 .............................................................. 1122 应用线路图 ......................................................................................................................... 1129 U8W 工具应用参考线路图 ............................................................................................... 1129 STC 通用 USB 转串口工具应用参考线路图 ................................................................... 1129 STC 仿真使用说明书 ........................................................................................................ 1131 概述 ..................................................................................................................................... 1131 安装 Keil 软件 .................................................................................................................... 1132 安装仿真驱动 ..................................................................................................................... 1133 串口直接仿真 ..................................................................................................................... 1136 制作串口仿真芯片 ............................................................................................................. 1136 在 Keil 软件中进行串口仿真设置 .................................................................................... 1139 在 Keil 软件中使用串口进行仿真 .................................................................................... 1141 USB 直接仿真(目前只有 STC8H8K64U-B 版本芯片支持) ....................................... 1143 制作 USB 仿真芯片 ........................................................................................................... 1143 在 Keil 软件中进行 USB 仿真设置 .................................................................................. 1147 在 Keil 软件中使用 USB 进行仿真 .................................................................................. 1149 U8W 下载工具中 RS485 部分线路图 .............................................................................. 1151 运行用户程序时收到用户命令后自动启动 ISP 下载(不停电) ...................................... 1152 使用 STC 的 IAP 系列单片机开发自己的 ISP 程序 ...................................................... 1154 用户程序复位到系统区进行 ISP 下载的方法(不停电) ............................................. 1166 使用第三方 MCU 对 STC8H 系列单片机进行 ISP 下载范例程序 .............................. 1172 使用第三方应用程序调用 STC 发布项目程序对单片机进行 ISP 下载 ....................... 1180 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - xviii - STC8H 系列技术手册 附录 P 附录 Q 附录 R 附录 S S.1 S.2 S.3 S.4 S.5 附录 T T.1 T.2 T.3 T.4 T.5 附录 U 附录 V 附录 W 附录 X 附录 Y 附录 Z 附录 AA AA.1 AA.1.1 AA.1.2 AA.2 AA.2.1 AA.2.2 附录 BB 附录 CC 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 STC8H 系列正交解码示例(成都逐飞科技友情提供) ............................................... 1184 在 Keil 中建立多文件项目的方法 .................................................................................... 1188 关于中断号大于 31 在 Keil 中编译出错的处理 .............................................................. 1192 电气特性 .............................................................................................................................1202 绝对最大额定值 .................................................................................................................1202 直流特性(3.3V) .............................................................................................................1203 直流特性(5.0V) .............................................................................................................1205 内部 IRC 温漂特性(参考温度 25℃)............................................................................1206 低压复位门槛电压(测试温度 25℃) ............................................................................1206 应用注意事项 .....................................................................................................................1207 关于 STC8H 系列 IO 口的注意事项 .................................................................................1207 STC8H8K64U 系列 ............................................................................................................1207 STC8H3K64S2/S4 系列 .....................................................................................................1207 STC8H4K64TLR 系列 .......................................................................................................1207 STC8H2K64T 系列 ............................................................................................................1208 触摸按键的 PCB 设计指导 ...............................................................................................1209 QFN/DFN 封装元器件焊接方法 ...................................................................................... 1211 关于回流焊前是否要烘烤 .................................................................................................1214 单片机是否可以提供裸芯 .................................................................................................1215 STC8H 系列单片机取代 STC15 系列的注意事项 .........................................................1216 STC8H 系列单片机取代 STC8A/8F 系列的注意事项 ...................................................1218 内部测试型号 .....................................................................................................................1219 STC8H2K64T-35I-LQFP48/QFN48 ...................................................................................1219 特性及价格 .........................................................................................................................1219 管脚图,最小系统 .............................................................................................................1222 STC8H4K64LCD-45I-LQFP64/QFN64/LQFP48/QFN48 .................................................1223 特性及价格(有 16 位硬件乘除法器 MDU16,准 16 位单片机) ...............................1223 管脚图,最小系统 .............................................................................................................1226 更新记录 .............................................................................................................................1228 STC8 系列命名花絮 ..........................................................................................................1237 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - xix - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 1 概述 STC8H 系列单片机是不需要外部晶振和外部复位的单片机,是以超强抗干扰/超低价/高速/低功耗为 目标的 8051 单片机,在相同的工作频率下,STC8H 系列单片机比传统的 8051 约快 12 倍 (速度快 11.2~13.2 倍),依次按顺序执行完全部的 111 条指令,STC8H 系列单片机仅需 147 个时钟,而传统 8051 则需要 1944 个时钟。STC8H 系列单片机是 STC 生产的单时钟/机器周期(1T)的单片机,是宽电压/高速/高可靠/ 低功耗/强抗静电/较强抗干扰的新一代 8051 单片机,超级加密。指令代码完全兼容传统 8051。 MCU 内部集成高精度 R/C 时钟(±0.3%,常温下+25℃),-1.38%~+1.42%温飘(-40℃~+85℃) , -0.88%~+1.05%温飘(-20℃~+65℃)。ISP 编程时 4MHz~35MHz 宽范围可设置(注意:温度范围为-40℃ ~+85℃时,最高频率须控制在 35MHz 以下),可彻底省掉外部昂贵的晶振和外部复位电路(内部已集成高 可靠复位电路,ISP 编程时 4 级复位门槛电压可选)。 MCU 内部有 3 个可选时钟源:内部高精度 IRC 时钟(可适当调高或调低)、内部 32KHz 的低速 IRC、 外部 4M~33M 晶振或外部时钟信号。用户代码中可自由选择时钟源,时钟源选定后可再经过 8-bit 的分 频器分频后再将时钟信号提供给 CPU 和各个外设(如定时器、串口、SPI 等)。 MCU 提供两种低功耗模式:IDLE 模式和 STOP 模式。IDLE 模式下,MCU 停止给 CPU 提供时钟, CPU 无时钟,CPU 停止执行指令,但所有的外设仍处于工作状态,此时功耗约为 1.3mA(6MHz 工作频 率)。STOP 模式即为主时钟停振模式,即传统的掉电模式/停电模式/停机模式,此时 CPU 和全部外设 都停止工作,功耗可降低到 0.6uA@Vcc=5.0V,0.4uA@Vcc=3.3V。 掉电模式可以使用 INT0(P3.2)、INT1(P3.3)、INT2(P3.6)、INT3(P3.7)、INT4(P3.0)、T0(P3.4)、T1(P3.5)、 T2(P1.2) 、 T3(P0.4) 、 T4(P0.6) 、 RXD(P3.0/P3.6/P1.6/P4.3) 、 RXD2(P1.0/P4.6) 、 RXD3(P0.0/P5.0) 、 RXD4(P0.2/P5.2)、I2C_SDA(P1.4/P2.4/P3.3)以及比较器中断、低压检测中断、掉电唤醒定时器唤醒。 MCU 提供了丰富的数字外设(串口、定时器、高级 PWM 以及 I2C、SPI、USB)接口与模拟外设(超 高速 ADC、比较器),可满足广大用户的设计需求。 STC8H 系列单片机内部集成了增强型的双数据指针。通过程序控制,可实现数据指针自动递增或递 减功能以及两组数据指针的自动切换功能。 产品线 I/O UART 定时器 ADC STC8H1K08 系列 17 2 3 9CH*10B STC8H1K28 系列 29 2 5 STC8H3K64S4 系列 45 4 STC8H3K64S2 系列 45 STC8H8K64U 系列 A 版本 STC8H8K64U 系列 B 版本 高级 Touch Key I/O 彩屏 LCD LCM 驱动 ● ● ● ● 12CH*10B ● ● ● ● 5 12CH*12B ● ● ● ● ● ● 2 5 12CH*12B ● ● ● ● ● ● 60 4 5 15CH*12B ● ● ● ● ● ● 60 4 5 15CH*12B ● ● ● ● ● ● STC8H4K64TLR 系列 44 4 5 15CH*12B ● ● ● ● ● STC8H4K64TLCD 系列 60 4 5 15CH*12B ● ● ● ● ● STC8H4K64LCD 系列 61 4 5 15CH*12B ● ● ● ● ● STC8H1K08TR 系列 16 2 3 15CH*12B ● ● ● ● ● ● RTC 中断 I2C 国内分销商电话: 0513-5501 2928/2929/2966 MDU16 LED SPI 深圳国芯人工智能有限公司 USB 驱动 CMP PWM DMA ● ● ● ● ● ● ● ● ● ● ● ● ● ● ● ● ● ● ● ● ● ● ● ● 传真: 0513-5501 2926/2956/2947 ● -1- STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 2 特性、价格及管脚 2.1 STC8H1K08-36I-TSSOP20/QFN20 系列 2.1.1  特性及价格 选型价格(不需要外部晶振、不需要外部复位,10 位 ADC,9 通道) 传 统 16 定 时 器 位 计 高 数 级 器 掉 ( 电 定 唤 时 醒 器 专 用 管 互 定 脚 补 时 也 对 器 可 称 掉 死 电 区 唤 控 醒 制 ) 9 I/O / 有 3 8 有 10 位 有 有 有 4级 有 是 有 是 是 是 是 ¥1.9 √ STC8H1K17 1.9-5.5 17K 256 1K 2 IAP 17 有 2 有 有 3 8 有 10 位 有 有 有 4级 有 是 有 是 是 - - STC8H1K12 1.9-5.5 12K 256 1K 2 IAP 17 有 2 有 有 3 8 有 10 位 有 有 有 4级 有 是 有 是 是 是 是 36MHz PWM 8  A/D  超高速 8051 内核(1T),比传统 8051 约快 12 倍以上  指令代码完全兼容传统 8051  17 个中断源,4 级中断优先级  支持在线仿真 程 序 加 密 后 传 输 ( 防 拦 截 ) 可 设 置 下 次 更 新 程 序 需 口 令 支 持 支 软 本 持 件 身 就 可 在 线 下 直 仿 载 接 真 下 载 √ √ 主 力 产 品 供 货 信 息 现 货 暂无 工作电压 1.9V~5.5V 工作温度   可 对 外 输 出 时 钟 以 及 下 复 可 位 调 ) 追 频 内核   SPI  DPTR SRAM 8051 RAM 10 V  , 可 可 当 作 外 路 部 掉 电 检 使 测 用 ) ) 看 门 狗 复 位 定 时 器 QFN20 TSSOP20 有 1 2 ) 并 可 掉 电 唤 醒 ADC 8 有 字 字 节 节 字 节 并 可 掉 电 唤 醒 T0/T1/T2 17 万 次 口 最 万 多 可 次 数 量 增 可 字 减 节 PWM 4K ) 强 大 的 双 I2 C 2 工 作 电 压 ( , 内 部 大 容 量 扩 展 I/O STC8H1K08 1.9-5.5 8K 256 1K 单 片 机 型 号 程 序 存 储 器 , 内 部 传 统 EEPROM 10 串 口 并 可 掉 电 唤 醒 内 部 低 压 检 测 中 断 并 可 掉 电 唤 醒 USB 内 部 高 精 准 时 钟 ( RS485 内 部 高 可 靠 复 位 ( 可 选 复 位 门 槛 电 压 ) D/A 路 比 高 较 速 器 ( 可 当 ( 路 路 INT0/INT1/INT2/INT3/INT4 idata xdata Flash 中 断 ( 价 格 及 封 装 -40℃~85℃(芯片为-40℃~125℃制程,超温度范围应用请参考电气特性章节说明) Flash 存储器  最大 17K 字节 FLASH 程序存储器(ROM),用于存储用户代码  支持用户配置 EEPROM 大小,512 字节单页擦除,擦写次数可达 10 万次以上  支持在系统编程方式(ISP)更新用户应用程序,无需专用编程器  支持单芯片仿真,无需专用仿真器,理论断点个数无限制 SRAM  128 字节内部直接访问 RAM(DATA,C 语言程序中使用 data 关键字进行声明)  128 字节内部间接访问 RAM(IDATA,C 语言程序中使用 idata 关键字进行声明) 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 -2- STC8H 系列技术手册   官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 1024 字节内部扩展 RAM(内部 XDATA,C 语言程序中使用 xdata 关键字进行声明) 时钟控制  内部高精度 IRC(4MHz~38MHz,ISP 编程时选择或手动输入,还可以用户软件分频到较低的频率工作, 如 100KHz)  误差±0.3%(常温下 25℃)  -1.35%~+1.30%温漂(全温度范围,-40℃~85℃)  -0.76%~+0.98%温漂(温度范围,-20℃~65℃)  内部 32KHz 低速 IRC(误差较大)  外部晶振(4MHz~38MHz)和外部时钟 用户可自由选择上面的 3 种时钟源  复位  硬件复位  上电复位。(在芯片未使能低压复位功能时有效)  复位脚复位。出厂时 P5.4 默认为 I/O 口,ISP 下载时可将 P5.4 管脚设置为复位脚(注意:当设置 P5.4 管脚为复位脚时,复位电平为低电平)   看门狗溢出复位  低压检测复位,提供 4 级低压检测电压:2.0V、2.4V、2.7V、3.0V。 软件复位   软件方式写复位触发寄存器 中断  提供 17 个中断源:INT0(支持上升沿和下降沿中断)、INT1(支持上升沿和下降沿中断)、INT2(只支 持下降沿中断)、INT3(只支持下降沿中断)、INT4(只支持下降沿中断)、定时器 0、定时器 1、定时 器 2、串口 1、串口 2、ADC 模数转换、LVD 低压检测、SPI、I2C、比较器、PWMA、PWMB  提供 4 级中断优先级  时钟停振模式下可以唤醒的中断:INT0(P3.2)、INT1(P3.3)、INT2(P3.6)、INT3(P3.7)、INT4(P3.0)、T0(P3.4)、 T1(P3.5)、T2(P1.2)、RXD(P3.0/P3.6/P1.6)、RXD2(P1.0)、I2C_SDA(P1.4/ P3.3)以及比较器中断、低压检测 中断、掉电唤醒定时器唤醒。  数字外设  3 个 16 位定时器:定时器 0、定时器 1、定时器 2,其中定时器 0 的模式 3 具有 NMI(不可屏蔽中断)功 能,定时器 0 和定时器 1 的模式 0 为 16 位自动重载模式  2 个高速串口:串口 1、串口 2,波特率时钟源最快可为 FOSC/4  8 路/2 组高级 PWM,可实现带死区的控制信号,并支持外部异常检测功能,另外还支持 16 位定时器、8 个外部中断、8 路外部捕获测量脉宽等功能   SPI:支持主机模式和从机模式以及主机/从机自动切换  I2C:支持主机模式和从机模式 模拟外设  超高速 ADC,支持 10 位高精度 9 通道(通道 0~通道 1、通道 8~通道 14)的模数转换,速度最快能达 到 500K(每秒进行 50 万次 ADC 转换)  ADC 的通道 15 用于测试内部 1.19V 参考信号源(芯片在出厂时,内部参考信号源已调整为 1.19V)  比较器,一组比较器(比较器的正端可选择 CMP+端口和所有的 ADC 输入端口,所以比较器可当作多路  DAC:8 路高级 PWM 定时器可当 8 路 DAC 使用 比较器进行分时复用)  GPIO 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 -3- STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190  最多可达 17 个 GPIO:P1.0~P1.7、P3.0~P3.7、P5.4  所有的 GPIO 均支持如下 4 种模式:准双向口模式、强推挽输出模式、开漏输出模式、高阻输入模式  除 P3.0 和 P3.1 外,其余所有 IO 口上电后的状态均为高阻输入状态,用户在使用 IO 口时必须先设置 IO 口模式。另外每个 I/O 均可独立使能内部 4K 上拉电阻  封装  TSSOP20 、QFN20 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 -4- STC8H 系列技术手册 2.1.2 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 管脚图,最小系统 1 2 3 4 5 6 7 8 9 10 TSSOP20 T2/SS/PWM2P/P1.2 T2CLKO/MOSI/PWM2N/P1.3 I2CSDA/MISO/PWM3P/P1.4 I2CSCL/SCLK/PWM3N/P1.5 XTALO/MCLKO_2/RxD_3/PWM4P/P1.6 XTALI/TxD_3/PWM5_2/PWM4N/P1.7 MCLKO/NRST/PWM6_2/P5.4 Vcc/AVcc MCU-VCC 22u 0.1u ADC_VRef+ Gnd/AGnd 20 19 18 17 16 15 14 13 12 11 P1.1/ADC1/TxD2/PWM1N P1.0/ADC0/RxD2/PWM1P P3.7/INT3/TxD_2/CMP+ P3.6/ADC14/INT2/RxD_2/CMPP3.5/ADC13/T1/T0CLKO/SS_4/PWMFLT/PWMFLT2 P3.4/ADC12/T0/T1CLKO/MOSI_4/PWM4P_2/PWM8_2/CMPO P3.3/ADC11/INT1/MISO_4/I2CSDA_4/PWM4N_2/PWM7_2 P3.2/ADC10/INT0/SCLK_4/I2CSCL_4/PWMETI/PWMETI2 P3.1/ADC9/TxD P3.0/ADC8/RxD/INT4 注意: 1、ADC的外部参考电源管脚ADC_VRef+,一定不能浮空, 必须接外部参考电源或者直接连到Vcc 2、若不需要进行USB下载,芯片复位时P3.0/P3.1/P3.2 不可同时为低电平 MCU-VCC P3.0 P3.1 Gnd 连接 电脑/PC 通用USB转串口工具 (人民币30元) ISP下载步骤: 1、按照如图所示的连接方式将通用USB转串口工具和目标芯片连接 2、按下电源按钮,确定目标芯片处于停电状态(上电指示灯为灭的状态)。 注意:工具第一次上电时是不对外供电的,因此若是第一次上电使用此工具,可跳过此步。 3、点击STC-ISP下载软件中的“下载/编程”按钮 4、再次按下电源按钮,给目标芯片上电(上电指示灯为亮的状态) 5、开始ISP下载 注意:目前有发现使用USB线供电进行ISP下载时,由于USB线太细,在USB线上的压降过大,导致ISP 下载时供电不足,所以请在使用USB线供电进行ISP下载时,务必使用USB加强线。 注意: 1、除 P3.0 和 P3.1 外,其余所有 I/O 口上电后的状态均为高阻输入状态,用户在使用 I/O 口时 必须先设置 I/O 口模式 2、所有的 I/O 口均可以设置为准双向口模式、强推挽输出模式、开漏输出模式或者高阻输入 模式,另外每个 I/O 均可独立使能内部 4K 上拉电阻 3、当使能 P5.4 口为复位脚时,复位电平为低电平 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 -5- 官方网站: www.STCMCUDATA.com P3.7/INT3/TxD_2/CMP+ P3.6/ADC14/INT2/RxD_2/CMPP3.5/ADC13/T1/T0CLKO/SS_4/PWMFLT/PWMFLT2 P3.4/ADC12/T0/T1CLKO/MOSI_4/PWM4P_2/PWM8_2/CMPO P3.3/ADC11/INT1/MISO_4/I2CSDA_4/PWM4N_2/PWM7_2 STC8H 系列技术手册 技术支持: 19864585985 选型顾问: 13922805190 15 14 13 12 11 注意: 1、ADC的外部参考电源管脚ADC_VRef+, 一定不能浮空,必须接外部参考电源 或者直接连到Vcc 2、若不需要进行USB下载,芯片复位时 P3.0/P3.1/P3.2不可同时为低电平 16 17 18 19 20 QFN20 10 9 8 7 6 P3.2/ADC10/INT0/SCLK_4/I2CSCL_4/PWMETI/PWMETI2 P3.1/ADC9/TxD P3.0/ADC8/RxD/INT4 Gnd/AGnd ADC_VRef+ 1 2 3 4 5 PWM1P/RxD2/ADC0/P1.0 I2CSDA/MISO/PWM3P/P1.4 T2CLKO/MOSI/PWM2N/P1.3 T2/SS/PWM2P/P1.2 PWM1N/TxD2/ADC1/P1.1 I2CSCL/SCLK/PWM3N/P1.5 XTALO/MCLKO_2/RxD_3/PWM4P/P1.6 XTALI/TxD_3/PWM5_2/PWM4N/P1.7 MCLKO/NRST/PWM6_2/P5.4 Vcc/AVcc MCU-VCC 22u 0.1u 典型下载线路见下一页 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 -6- STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 MCU-VCC P3.0 P3.1 Gnd 连接 电脑/PC 通用USB转串口工具 (人民币30元) ISP下载步骤: 1、按照如图所示的连接方式将通用USB转串口工具和目标芯片连接 2、按下电源按钮,确定目标芯片处于停电状态(上电指示灯为灭的状态)。 注意:工具第一次上电时是不对外供电的,因此若是第一次上电使用此工具,可跳过此步。 3、点击STC-ISP下载软件中的“下载/编程”按钮 4、再次按下电源按钮,给目标芯片上电(上电指示灯为亮的状态) 5、开始ISP下载 注意:目前有发现使用USB线供电进行ISP下载时,由于USB线太细,在USB线上的压降过大,导致ISP 下载时供电不足,所以请在使用USB线供电进行ISP下载时,务必使用USB加强线。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 -7- STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 TSSOP20 2 3 4 5 6 7 选型顾问: 13922805190 管脚说明 2.1.3 1 技术支持: 19864585985 名称 类型 说明 P1.2 I/O 标准 IO 口 SS I/O SPI 从机选择 T2 I PWM2P I/O PWMB 捕获输入和脉冲输出正极 P1.3 I/O 标准 IO 口 MOSI I/O SPI 主机输出从机输入 T2CLKO O 定时器 2 时钟分频输出 PWM2N I/O PWMB 的捕获输入和脉冲输出负极 P1.4 I/O 标准 IO 口 MISO I/O SPI 主机输入从机输出 SDA I/O I2C 接口的数据线 PWM3P I/O PWM3 捕获输入和脉冲输出正极 P1.5 I/O 标准 IO 口 SCLK I/O SPI 的时钟脚 SCL I/O I2C 的时钟线 PWM3N I/O PWM3 的捕获输入和脉冲输出负极 P1.6 I/O 标准 IO 口 RxD_3 I PWM4P I/O MCLKO_2 O 主时钟分频输出 XTALO O 外部晶振的输出脚 P1.7 I/O TxD_3 O PWM4N I/O PWM4 的捕获输入和脉冲输出负极 PWM5_2 I/O PWM5 捕获输入和脉冲输出正极 XTALI I P5.4 I/O NRST I 复位引脚(低电平复位) MCLKO O 主时钟分频输出 PWM6_2 I/O PWM6 捕获输入和脉冲输出正极 Vcc Vcc 电源脚 AVcc Vcc ADC 电源 ADC_VRef+ I Gnd Gnd 地线 AGnd Gnd ADC 地线 QFN20 19 18 17 1 2 3 4 8 5 9 6 10 7 深圳国芯人工智能有限公司 定时器 2 外部时钟输入 串口 1 的接收脚 PWM4 捕获输入和脉冲输出正极 标准 IO 口 串口 1 的发送脚 外部晶振/外部时钟的输入脚 标准 IO 口 ADC 外部参考电压源输入脚,要求不高时可直接 接 MCU 的 VCC 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 -8- STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 TSSOP20 11 12 13 14 15 16 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P3.0 I/O ADC8 I ADC 模拟输入通道 8 RxD I 串口 1 的接收脚 INT4 I 外部中断 4 P3.1 I/O 标准 IO 口 ADC9 I ADC 模拟输入通道 9 TxD O 串口 1 的发送脚 P3.2 I/O ADC10 I ADC 模拟输入通道 10 INT0 I 外部中断 0 SCLK_4 I/O SPI 的时钟脚 SCL_4 I/O I2C 的时钟线 PWMETI I PWM 外部触发输入脚 PWMETI2 I PWM 外部触发输入脚 2 P3.3 I/O ADC11 I ADC 模拟输入通道 11 INT1 I 外部中断 1 MISO_4 I/O SPI 主机输入从机输出 SDA_4 I/O I2C 的数据线 PWM4N_4 I/O PWM4 的捕获输入和脉冲输出负极 PWM7_2 I/O PWM7 捕获输入和脉冲输出正极 P3.4 I/O 标准 IO 口 ADC12 I ADC 模拟输入通道 12 T0 I 定时器 0 外部时钟输入 T1CLKO O 定时器 1 时钟分频输出 MOSI_4 I/O SPI 主机输出从机输入 PWM4P_4 I/O PWM4 捕获输入和脉冲输出正极 PWM8_2 I/O PWM8 捕获输入和脉冲输出正极 CMPO O 比较器输出 P3.5 I/O 标准 IO 口 ADC13 I ADC 模拟输入通道 13 T1 I 定时器 1 外部时钟输入 T0CLKO O 定时器 0 时钟分频输出 SS_4 I/O PWMFLT I PWMA 的外部异常检测脚 PWMFLT2 I PWMB 的外部异常检测脚 QFN20 8 9 10 11 12 13 深圳国芯人工智能有限公司 标准 IO 口 标准 IO 口 标准 IO 口 SPI 从机选择 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 -9- STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 TSSOP20 17 18 19 20 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P3.6 I/O ADC14 I ADC 模拟输入通道 14 INT2 I 外部中断 2 RxD_2 I 串口 1 的接收脚 CMP- I 比较器负极输入 P3.7 I/O 标准 IO 口 INT3 I 外部中断 3 TxD_2 O 串口 1 的发送脚 CMP+ I 比较器正极输入 P1.0 I/O ADC0 I ADC 模拟输入通道 0 RxD2 O 串口 2 的接收脚 PWM1P I/O PWMA 的捕获输入和脉冲输出正极 P1.1 I/O 标准 IO 口 ADC1 I ADC 模拟输入通道 1 TxD2 O 串口 2 的发送脚 PWM1N I/O QFN20 14 15 16 20 深圳国芯人工智能有限公司 标准 IO 口 标准 IO 口 PWMA 的捕获输入和脉冲输出负极 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 10 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 2.2 STC8H1K28-36I-LQFP32/QFN32 系列 2.2.1  特性及价格 选型价格(不需要外部晶振、不需要外部复位,10 位 ADC,12 通道) 传 统 16 路 比 高 较 速 器 ( 可 当 掉 ( 电 路 定 唤 路 时 醒 器 专 , 用 互 定 可 可 补 时 当 作 外 对 器 部 称 路 掉 死 电 区 检 控 使 测 制 用 ) ) 有 2 有 有 5 8 有 10 位 有 有 有 4级 有 是 有 是 是 是 是 ¥2.4 ¥2.4 STC8H1K24 1.9-5.5 24K 256 1K 2 4K 29 有 2 有 有 5 8 有 10 位 有 有 有 4级 有 是 有 是 是 是 是 ¥2.5 ¥2.5 STC8H1K28 1.9-5.5 28K 256 1K 2 IAP 29 有 2 有 有 5 8 有 10 位 有 有 有 4级 有 是 有 是 是 是 是 ¥2.6 ¥2.6 STC8H1K33 1.9-5.5 33K 256 1K 2 IAP 29 有 2 有 有 5 8 有 10 位 有 有 有 4级 有 是 有 是 是 - - 36MHz A/D PWM 8 D/A  SPI  超高速 8051 内核(1T),比传统 8051 约快 12 倍以上  指令代码完全兼容传统 8051  19 个中断源,4 级中断优先级  支持在线仿真 支 持 支 软 本 持 件 身 就 可 在 线 下 直 仿 载 接 真 下 载 √ 主 力 产 品 供 货 信 息 现 货 √ 工作电压 1.9V~5.5V 工作温度   可 设 置 下 次 更 新 程 序 需 口 令 内核   I/O SRAM  DPTR 10 8051 RAM V  程 序 加 密 后 传 输 ( 防 拦 截 ) QFN32 29 ) 并 可 掉 电 唤 醒 可 对 外 输 出 时 钟 以 及 下 复 可 位 调 ) 追 频 LQFP32 12K 字 字 节 节 字 节 1 2 万 次 口 最 万 多 可 次 数 量 增 可 字 减 节 并 可 掉 电 唤 外 醒 部 管 脚 也 可 掉 电 唤 醒 ) PWM 1K ) 强 大 的 双 I2 C 1.9-5.5 16K 256 单 片 机 型 号 , 内 部 大 容 量 扩 展 T0/T1/T2/T3/T4 STC8H1K16 工 作 电 压 ( 程 序 存 储 器 , 内 部 传 统 EEPROM 10 串 口 并 可 掉 电 唤 醒 USB 看 门 狗 复 位 定 时 器 内 部 高 精 准 时 钟 ( RS485 ADC 8 内 部 低 压 检 测 中 断 并 可 掉 电 唤 醒 内 部 高 可 靠 复 位 ( 可 选 复 位 门 槛 电 压 ) INT0/INT1/INT2/INT3/INT4 xdata Flash idata 中 断 ( 价 格 及 封 装 12 / I/O 定 时 器 计 数 位 器 高 ( 级 -40℃~85℃(芯片为-40℃~125℃制程,超温度范围应用请参考电气特性章节说明) Flash 存储器  最大 33K 字节 FLASH 程序存储器(ROM),用于存储用户代码  支持用户配置 EEPROM 大小,512 字节单页擦除,擦写次数可达 10 万次以上  支持在系统编程方式(ISP)更新用户应用程序,无需专用编程器  支持单芯片仿真,无需专用仿真器,理论断点个数无限制 SRAM  128 字节内部直接访问 RAM(DATA,C 语言程序中使用 data 关键字进行声明)  128 字节内部间接访问 RAM(IDATA,C 语言程序中使用 idata 关键字进行声明)  1024 字节内部扩展 RAM(内部 XDATA,C 语言程序中使用 xdata 关键字进行声明) 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 11 - STC8H 系列技术手册  官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 时钟控制  内部高精度 IRC(4MHz~38MHz,ISP 编程时选择或手动输入,还可以用户软件分频到较低的频率工作, 如 100KHz)  误差±0.3%(常温下 25℃)  -1.35%~+1.30%温漂(全温度范围,-40℃~85℃)  -0.76%~+0.98%温漂(温度范围,-20℃~65℃)  内部 32KHz 低速 IRC(误差较大)  外部晶振(4MHz~38MHz)和外部时钟 用户可自由选择上面的 3 种时钟源  复位  硬件复位  上电复位。(在芯片未使能低压复位功能时有效)  复位脚复位。出厂时 P5.4 默认为 I/O 口,ISP 下载时可将 P5.4 管脚设置为复位脚(注意:当设置 P5.4 管脚为复位脚时,复位电平为低电平)   看门狗溢出复位  低压检测复位,提供 4 级低压检测电压:2.0V、2.4V、2.7V、3.0V。 软件复位   软件方式写复位触发寄存器 中断  提供 19 个中断源:INT0(支持上升沿和下降沿中断)、INT1(支持上升沿和下降沿中断)、INT2(只支 持下降沿中断)、INT3(只支持下降沿中断)、INT4(只支持下降沿中断)、定时器 0、定时器 1、定时 器 2、定时器 3、定时器 4、串口 1、串口 2、ADC 模数转换、LVD 低压检测、SPI、I2C、比较器、PWMA、 PWMB  提供 4 级中断优先级  时钟停振模式下可以唤醒的中断:INT0(P3.2)、INT1(P3.3)、INT2(P3.6)、INT3(P3.7)、INT4(P3.0)、T0(P3.4)、 T1(P3.5)、T2(P1.2)、T3(P0.4)、T4(P0.6)、RXD(P3.0/P3.6/P1.6)、RXD2(P1.0)、I2C_SDA(P1.4/P2.4/P3.3) 以及比较器中断、低压检测中断、掉电唤醒定时器唤醒。  数字外设  5 个 16 位定时器:定时器 0、定时器 1、定时器 2、定时器 3、定时器 4,其中定时器 0 的模式 3 具有 NMI (不可屏蔽中断)功能,定时器 0 和定时器 1 的模式 0 为 16 位自动重载模式  2 个高速串口:串口 1、串口 2,波特率时钟源最快可为 FOSC/4  8 路/2 组高级 PWM,可实现带死区的控制信号,并支持外部异常检测功能,另外还支持 16 位定时器、8 个外部中断、8 路外部捕获测量脉宽等功能   SPI:支持主机模式和从机模式以及主机/从机自动切换  I2C:支持主机模式和从机模式 模拟外设  超高速 ADC,支持 10 位高精度 12 通道(通道 0~通道 11)的模数转换,速度最快能达到 500K(每秒进 行 50 万次 ADC 转换)  ADC 的通道 15 用于测试内部 1.19V 参考信号源(芯片在出厂时,内部参考信号源已调整为 1.19V)  比较器,一组比较器(比较器的正端可选择 CMP+端口和所有的 ADC 输入端口,所以比较器可当作多路  DAC:8 路高级 PWM 定时器可当 8 路 DAC 使用 比较器进行分时复用)  GPIO 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 12 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190  最多可达 29 个 GPIO:P0.0~P0.3、P1.0~P1.7、P2.0~P2.7、P3.0~P3.7、P5.4  所有的 GPIO 均支持如下 4 种模式:准双向口模式、强推挽输出模式、开漏输出模式、高阻输入模式  除 P3.0 和 P3.1 外,其余所有 IO 口上电后的状态均为高阻输入状态,用户在使用 IO 口时必须先设置 IO 口模式。另外每个 I/O 均可独立使能内部 4K 上拉电阻  封装  LQFP32 、QFN32 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 13 - STC8H 系列技术手册 技术支持: 19864585985 选型顾问: 13922805190 管脚图,最小系统 P2.3/MOSI_2/PWM2N_2/PWM8 P2.2/SS_2/PWM2P_2/PWM7 P2.1/PWM1N_2/PWM6 P2.0/PWM1P_2/PWM5 P3.7/INT3/TxD_2/CMP+ P3.6/INT2/RxD_2/CMPP3.5/T1/T0CLKO/SS_4/PWMFLT/PWMFLT2 P3.4/T0/T1CLKO/MOSI_4/PWM4P_4/PWM8_2/CMPO 2.2.2 官方网站: www.STCMCUDATA.com 24 23 22 21 20 19 18 17 注意: 1、ADC的外部参考电源管脚ADC_VRef+, 一定不能浮空,必须接外部参考电 源或者直接连到Vcc 2、若不需要进行USB下载,芯片复位时 P3.0/P3.1/P3.2不可同时为低电平 25 26 27 28 29 30 31 32 LQFP32 QFN32 16 15 14 13 12 11 10 9 P3.3/INT1/MISO_4/I2CSDA_4/PWM4N_4/PWM7_2 P3.2/INT0/SCLK_4/I2CSCL_4/PWMETI/PWMETI2 P3.1/TxD P3.0/RxD/INT4 Gnd/AGnd MCU-VCC ADC_VRef+ Vcc/AVcc P5.4/NRST/MCLKO/PWM6_2 22u 0.1u RxD2/PWM1P/ADC0/P1.0 TxD2/PWM1N/ADC1/P1.1 T2/SS/PWM2P/ADC2/P1.2 T2CLKO/MOSI/PWM2N/ADC3/P1.3 I2CSDA/MISO/PWM3P/ADC4/P1.4 I2CSCL/SCLK/PWM3N/ADC5/P1.5 XTALO/MCLKO_2/RxD_3/PWM4P/ADC6/P1.6 XTALI/TxD_3/PWM5_2/PWM4N/ADC7/P1.7 1 2 3 4 5 6 7 8 PWM3P_2/I2CSDA_2/MISO_2/P2.4 PWM3N_2/I2CSCL_2/SCLK_2/P2.5 PWM4P_2/P2.6 PWM4N_2/P2.7 T3/PWM5_3/ADC8/P0.0 T3CLKO/PWM6_3/ADC9/P0.1 T4/PWM7_3/ADC10/P0.2 T4CLKO/PWM8_3//ADC11/P0.3 典型下载线路见下一页 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 14 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 MCU-VCC P3.0 P3.1 Gnd 连接 电脑/PC 通用USB转串口工具 (人民币30元) ISP下载步骤: 1、按照如图所示的连接方式将通用USB转串口工具和目标芯片连接 2、按下电源按钮,确定目标芯片处于停电状态(上电指示灯为灭的状态)。 注意:工具第一次上电时是不对外供电的,因此若是第一次上电使用此工具,可跳过此步。 3、点击STC-ISP下载软件中的“下载/编程”按钮 4、再次按下电源按钮,给目标芯片上电(上电指示灯为亮的状态) 5、开始ISP下载 注意:目前有发现使用USB线供电进行ISP下载时,由于USB线太细,在USB线上的压降过大,导致ISP 下载时供电不足,所以请在使用USB线供电进行ISP下载时,务必使用USB加强线。 注意: 1、除 P3.0 和 P3.1 外,其余所有 I/O 口上电后的状态均为高阻输入状态,用户在使用 I/O 口时 必须先设置 I/O 口模式 2、所有的 I/O 口均可以设置为准双向口模式、强推挽输出模式、开漏输出模式或者高阻输入 模式,另外每个 I/O 均可独立使能内部 4K 上拉电阻 3、当使能 P5.4 口为复位脚时,复位电平为低电平 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 15 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 管脚说明 2.2.3 编号 名称 类型 说明 P1.0 I/O RxD2 O 串口 2 的接收脚 ADC0 I ADC 模拟输入通道 0 PWM1P I/O PWMA 的捕获输入和脉冲输出正极 P1.1 I/O 标准 IO 口 TxD2 O 串口 2 的发送脚 ADC1 I ADC 模拟输入通道 1 PWM1N I/O PWMA 的捕获输入和脉冲输出负极 P1.2 I/O 标准 IO 口 ADC2 I SS I/O T2 I PWM2P I/O PWMB 捕获输入和脉冲输出正极 P1.3 I/O 标准 IO 口 ADC3 I ADC 模拟输入通道 3 MOSI I/O SPI 主机输出从机输入 T2CLKO O 定时器 2 时钟分频输出 PWM2N I/O PWMB 的捕获输入和脉冲输出负极 P1.4 I/O 标准 IO 口 ADC4 I ADC 模拟输入通道 4 MISO I/O SPI 主机输入从机输出 SDA I/O I2C 接口的数据线 PWM3P I/O PWM3 捕获输入和脉冲输出正极 P1.5 I/O 标准 IO 口 ADC5 I SCLK I/O SPI 的时钟脚 SCL I/O I2C 的时钟线 PWM3N I/O PWM3 的捕获输入和脉冲输出负极 LQFP32/QFN32 1 2 3 4 5 6 深圳国芯人工智能有限公司 标准 IO 口 ADC 模拟输入通道 2 SPI 从机选择 定时器 2 外部时钟输入 ADC 模拟输入通道 5 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 16 - STC8H 系列技术手册 编号 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P1.6 I/O ADC6 I ADC 模拟输入通道 6 RxD_3 I 串口 1 的接收脚 PWM4P I/O MCLKO_2 O 主时钟分频输出 XTALO O 外部晶振的输出脚 P1.7 I/O ADC7 I ADC 模拟输入通道 7 TxD_3 O 串口 1 的发送脚 PWM4N I/O PWM4 的捕获输入和脉冲输出负极 PWM5_2 I/O PWM5 捕获输入和脉冲输出正极 XTALI I P5.4 I/O NRST I 复位引脚(低电平复位) MCLKO O 主时钟分频输出 PWM6_2 I/O PWM6 捕获输入和脉冲输出正极 Vcc Vcc 电源脚 AVcc Vcc ADC 电源 ADC_VRef+ I Gnd Gnd 地线 AGnd Gnd ADC 地线 P3.0 I/O 标准 IO 口 RxD I 串口 1 的接收脚 INT4 I 外部中断 4 P3.1 I/O 标准 IO 口 TxD O P3.2 I/O 标准 IO 口 INT0 I 外部中断 0 SCLK_4 I/O SPI 的时钟脚 SCL_4 I/O I2C 的时钟线 PWMETI I PWM 外部触发输入脚 PWMETI2 I PWM 外部触发输入脚 2 P3.3 I/O 标准 IO 口 INT1 I 外部中断 1 MISO_4 I/O SPI 主机输入从机输出 SDA_4 I/O I2C 的数据线 PWM4N_4 I/O PWM4 的捕获输入和脉冲输出负极 PWM7_2 I/O PWM7 捕获输入和脉冲输出正极 LQFP32/QFN32 7 8 9 10 11 12 13 14 15 16 深圳国芯人工智能有限公司 标准 IO 口 PWM4 捕获输入和脉冲输出正极 标准 IO 口 外部晶振/外部时钟的输入脚 标准 IO 口 ADC 外部参考电压源输入脚,要求不高时可直接 接 MCU 的 VCC 串口 1 的发送脚 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 17 - STC8H 系列技术手册 编号 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P3.4 I/O T0 I 定时器 0 外部时钟输入 T1CLKO O 定时器 1 时钟分频输出 MOSI_4 I/O SPI 主机输出从机输入 PWM4P_4 I/O PWM4 捕获输入和脉冲输出正极 PWM8_2 I/O PWM8 捕获输入和脉冲输出正极 CMPO O 比较器输出 P3.5 I/O 标准 IO 口 T1 I 定时器 1 外部时钟输入 T0CLKO O 定时器 0 时钟分频输出 SS_4 I/O PWMFLT I PWMA 的外部异常检测脚 PWMFLT2 I PWMB 的外部异常检测脚 P3.6 I/O 标准 IO 口 INT2 I 外部中断 2 RxD_2 I 串口 1 的接收脚 CMP- I 比较器负极输入 P3.7 I/O 标准 IO 口 INT3 I 外部中断 3 TxD_2 O 串口 1 的发送脚 CMP+ I 比较器正极输入 P2.0 I/O 标准 IO 口 PWM1P_2 I/O PWMA 的捕获输入和脉冲输出正极 PWM5 I/O PWM5 捕获输入和脉冲输出正极 P2.1 I/O 标准 IO 口 PWM1N_2 I/O PWMA 的捕获输入和脉冲输出负极 PWM6 I/O PWM6 捕获输入和脉冲输出正极 P2.2 I/O 标准 IO 口 PWM2P_2 I/O PWMB 的捕获输入和脉冲输出正极 PWM7 I/O PWM7 捕获输入和脉冲输出正极 SS_2 I/O SPI 从机选择 P2.3 I/O 标准 IO 口 PWM2N_2 I/O PWMB 的捕获输入和脉冲输出负极 PWM8 I/O PWM8 捕获输入和脉冲输出正极 MOSI_2 I/O SPI 主机输出从机输入 LQFP32/QFN32 17 18 19 20 21 22 23 24 深圳国芯人工智能有限公司 标准 IO 口 SPI 从机选择 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 18 - STC8H 系列技术手册 编号 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P2.4 I/O 标准 IO 口 PWM3P_2 I/O PWM3 的捕获输入和脉冲输出正极 MISO_2 I/O SPI 主机输入从机输出 SDA_2 I/O I2C 的数据线 P2.5 I/O 标准 IO 口 PWM3N_2 I/O PWM3 的捕获输入和脉冲输出负极 SCLK_2 I/O SPI 的时钟脚 SCL_2 I/O I2C 的时钟线 P2.6 I/O 标准 IO 口 PWM4P_2 I/O PWM4 的捕获输入和脉冲输出正极 P2.7 I/O 标准 IO 口 PWM4N_2 I/O PWM4 的捕获输入和脉冲输出负极 P0.0 I/O 标准 IO 口 ADC8 I ADC 模拟输入通道 8 T3 I 定时器 3 外部时钟输入 PWM5_3 I/O PWM5 捕获输入和脉冲输出正极 P0.1 I/O 标准 IO 口 ADC9 I ADC 模拟输入通道 9 T3CLKO O 定时器 3 时钟分频输出 PWM6_3 I/O PWM6 捕获输入和脉冲输出正极 P0.2 I/O 标准 IO 口 ADC10 I ADC 模拟输入通道 10 T4 I 定时器 4 外部时钟输入 PWM7_3 I/O PWM7 捕获输入和脉冲输出正极 P0.3 I/O 标准 IO 口 ADC11 I ADC 模拟输入通道 11 T4CLKO O 定时器 4 时钟分频输出 PWM8_3 I/O LQFP32/QFN32 25 26 27 28 29 30 31 32 深圳国芯人工智能有限公司 PWM8 捕获输入和脉冲输出正极 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 19 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 2.3 STC8H3K64S2-45I-LQFP48/32,QFN48/32,TSSOP20 系列 特性及价格(有 16 位硬件乘除法器 MDU16,准 16 位单片机) 2.3.1  选型价格(不需要外部晶振、不需要外部复位,12 位 ADC,12 通道) 传 统 1 ADC 8 PWM 看 门 狗 复 位 定 时 器 内 部 高 精 准 时 钟 ( 可 对 外 输 出 时 钟 以 及 下 复 可 位 调 ) 追 频 程 序 加 密 后 传 输 ( 防 拦 截 ) 可 设 置 下 次 更 新 程 序 需 口 令 支 持 支 软 本 持 件 身 就 可 在 线 下 直 仿 载 接 真 下 载 8 有 12 位 有 有 有 4级 有 是 有 是 是 是 是 ¥3.6 STC8H3K48S2 1.9-5.5 48K 256 3K 2 16K 43 有 有 2 有 有 有 5 8 有 12 位 有 有 有 4级 有 是 有 是 是 是 是 ¥3.7 √ ¥3.6 √ ¥2.6 STC8H3K60S2 1.9-5.5 60K 256 3K 2 4K 43 有 有 2 有 有 有 5 8 有 12 位 有 有 有 4级 有 是 有 是 是 是 是 STC8H3K64S2 1.9-5.5 64K 256 3K 2 IAP 43 有 有 2 有 有 有 5 8 有 12 位 有 有 有 4级 有 是 有 是 是 是 是 ¥3.8 √ ¥3.7 √ ¥2.7  超高速 8051 内核(1T),比传统 8051 约快 12 倍以上  指令代码完全兼容传统 8051  19 个中断源,4 级中断优先级  支持在线仿真  √ √ √ √ 1.9V~5.5V 工作温度 -40℃~85℃(芯片为-40℃~125℃制程,超温度范围应用请参考电气特性章节说明) Flash 存储器  最大 64K 字节 FLASH 程序存储器(ROM),用于存储用户代码  支持用户配置 EEPROM 大小,512 字节单页擦除,擦写次数可达 10 万次以上  支持在系统编程方式(ISP)更新用户应用程序,无需专用编程器  支持单芯片仿真,无需专用仿真器,理论断点个数无限制 SRAM  128 字节内部直接访问 RAM(DATA,C 语言程序中使用 data 关键字进行声明)  128 字节内部间接访问 RAM(IDATA,C 语言程序中使用 idata 关键字进行声明) 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 供 货 信 息 ¥2.5 工作电压   ¥3.5 内核   D/A  8  √ QFN32 5 TSSOP20 有 LQFP32 有 QFN48 有 LQFP48 2 外 部 管 脚 也 可 掉 电 唤 醒 ) 45MHz 有 位 乘 除 法 器 PWM 有 16 43 字 字 节 节 字 节 DPTR 32K 万 次 SRAM 2 10 3K ) 8051 RAM STC8H3K32S2 1.9-5.5 32K 256 V USB 内 部 低 压 检 测 中 断 并 可 掉 电 唤 醒 内 部 高 可 靠 复 位 ( 可 选 复 位 门 槛 电 压 ) RS485 A/D 硬 件 价 格 及 封 装 12 路 比 高 较 速 器 ( 可 掉 ( 当 电 路 定 唤 路 时 醒 器 专 , 用 互 定 可 可 补 时 当 作 外 对 器 部 称 路 掉 死 电 区 检 控 使 测 制 用 ) ) 16 并 可 掉 电 唤 醒 T0/T1/T2/T3/T4 并 可 掉 电 唤 醒 MDU16 I2 C 口 均 支 持 中 断 并 可 掉 电 ) 唤 并 醒 可 掉 电 唤 醒 串 口 并 可 掉 电 唤 醒 SPI I/O I/O 口 最 多 万 数 可 次 量 增 可 字 减 节 INT0/INT1/INT2/INT3/INT4 强 大 的 双 EEPROM 10 , 内 部 大 容 量 扩 展 / I/O 中 断 所 ( 有 的 xdata Flash 工 作 电 压 ( idata 单 片 机 型 号 程 序 存 储 器 , 内 部 传 统 定 时 器 计 数 位 器 高 ( 级 - 20 - 现 货 STC8H 系列技术手册   官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 3072 字节内部扩展 RAM(内部 XDATA,C 语言程序中使用 xdata 关键字进行声明) 时钟控制  内部高精度 IRC(4MHz~45MHz,ISP 编程时选择或手动输入,还可以用户软件分频到较低的频率工作, 如 100KHz)  误差±0.3%(常温下 25℃)  -1.35%~+1.30%温漂(全温度范围,-40℃~85℃)  -0.76%~+0.98%温漂(温度范围,-20℃~65℃)  注意:本系列的内部 IRC,32M~37M 可能是盲区,请不要选择这个频率范例的频率  内部 32KHz 低速 IRC(误差较大)  外部晶振(4MHz~45MHz)和外部时钟 用户可自由选择上面的 3 种时钟源  关于 STC8H3K64S2 系列 B 版产品内部高速 IRC 的重要说明  由于制造原因,部分芯片的内部高速 IRC 在 34MHz~36MHz 可能存在盲区,建议不要将工作频率 设定在此区域  内部高速 IRC 低温的温漂较高温时要大一些,低频率段的温漂比高频率段要大一些。一般的, 20MHz~40MHz 的工作频率,在高温 85℃的温漂可控制在 0.8%以内  复位  硬件复位  上电复位。(在芯片未使能低压复位功能时有效)  复位脚复位。出厂时 P5.4 默认为 I/O 口,ISP 下载时可将 P5.4 管脚设置为复位脚(注意:当设置 P5.4 管脚为复位脚时,复位电平为低电平)   看门狗溢出复位  低压检测复位,提供 4 级低压检测电压:2.0V、2.4V、2.7V、3.0V。 软件复位   软件方式写复位触发寄存器 中断  提供 19 个中断源:INT0(支持上升沿和下降沿中断)、INT1(支持上升沿和下降沿中断)、INT2(只支 持下降沿中断)、INT3(只支持下降沿中断)、INT4(只支持下降沿中断)、定时器 0、定时器 1、定时 器 2、定时器 3、定时器 4、串口 1、串口 2、ADC 模数转换、LVD 低压检测、SPI、I2C、比较器、PWMA、 PWMB  提供 4 级中断优先级  时钟停振模式下可以唤醒的中断:INT0(P3.2)、INT1(P3.3)、INT2(P3.6)、INT3(P3.7)、INT4(P3.0)、T0(P3.4)、 T1(P3.5)、T2(P1.2)、T3(P0.4)、T4(P0.6)、RXD(P3.0/P3.6/P1.6/P4.3)、RXD2(P1.0/P4.6)、 I2C_SDA(P1.4/P2.4/P3.3)以及比较器中断、低压检测中断、掉电唤醒定时器唤醒。  数字外设  5 个 16 位定时器:定时器 0、定时器 1、定时器 2、定时器 3、定时器 4,其中定时器 0 的模式 3 具有 NMI (不可屏蔽中断)功能,定时器 0 和定时器 1 的模式 0 为 16 位自动重载模式  2 个高速串口:串口 1、串口 2,波特率时钟源最快可为 FOSC/4  8 路/2 组高级 PWM,可实现带死区的控制信号,并支持外部异常检测功能,另外还支持 16 位定时器、8 个外部中断、8 路外部捕获测量脉宽等功能  SPI:支持主机模式和从机模式以及主机/从机自动切换  I2C:支持主机模式和从机模式  MDU16:硬件 16 位乘除法器(支持 32 位除以 16 位、16 位除以 16 位、16 位乘 16 位、数据移位以及数 据规格化等运算) 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 21 - STC8H 系列技术手册  官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 I/O 口中断:所有的 I/O 均支持中断,每组 I/O 中断有独立的中断入口地址,所有的 I/O 中断可支持 4 种 中断模式:高电平中断、低电平中断、上升沿中断、下降沿中断 (注意:STC8H3K64S2 系列 A 版芯片的 I/O 口中断不能进行掉电唤醒,B 版芯片的 I/O 口中断可以进行 掉电唤醒,但只有一级中断优先级。B 版芯片的 I/O 口中断经测试发现有问题,暂请不要使用)  模拟外设  超高速 ADC,支持 12 位高精度 12 通道(通道 0~通道 2、通道 6~通道 14,无 P1.3/P1.4/P1.5 端口, 所以少了通道 3~5)的模数转换,速度最快能达到 800K(每秒进行 80 万次 ADC 转换)  ADC 的通道 15 用于测试内部 1.19V 参考信号源(芯片在出厂时,内部参考信号源已调整为 1.19V)  比较器,一组比较器(比较器的正端可选择 CMP+端口和所有的 ADC 输入端口,所以比较器可当作多路 比较器进行分时复用)   DAC:8 路高级 PWM 定时器可当 8 路 DAC 使用 GPIO  最多可达 43 个 GPIO:P0.0~P0.7、P1.0~ P1.2、P1.6~ P1.7、P2.0~P2.7、P3.0~P3.7、P4.0~P4.7、P5.0~P5.5  所有的 GPIO 均支持如下 4 种模式:准双向口模式、强推挽输出模式、开漏输出模式、高阻输入模式  除 P3.0 和 P3.1 外,其余所有 IO 口上电后的状态均为高阻输入状态,用户在使用 IO 口时必须先设置 IO 口模式。另外每个 I/O 均可独立使能内部 4K 上拉电阻  封装  LQFP48 、QFN48 、LQFP32 、QFN32 、TSSOP20 (LQFP32、QFN32、TSSOP2 暂无样品,后续会有,若有需要请提前订货) 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 22 - STC8H 系列技术手册 技术支持: 19864585985 选型顾问: 13922805190 管脚图,最小系统 P2.3/A11/PWM2N_2/PWM8/MOSI_2 P2.2/A10/PWM2P_2/PWM7/SS_2 P2.1/A9/PWM1N_2/PWM6 P2.0/A8/PWM1P_2/PWM5 P4.4/RD/TxD_4 P4.3/RxD_4/SCLK_3 P4.2/WR P4.1/MISO_3/CMPO_2/PWMETI_2 P3.7/INT3/TxD_2/CMP+ P3.6/INT2/RxD_2/CMPP3.5/T1/T0CLKO/SS_4/PWMFLT P5.1 2.3.2 官方网站: www.STCMCUDATA.com 36 35 34 33 32 31 30 29 28 27 26 25 注意: 1、ADC的外部参考电源管脚ADC_VRef+, 一定不能浮空,必须接外部参考电 源或者直接连到Vcc 2、若不需要进行USB下载,芯片复位时 P3.0/P3.1/P3.2不可同时为低电平 37 38 39 40 41 42 43 44 45 46 47 48 LQFP48 QFN48 24 23 22 21 20 19 18 17 16 15 14 13 P5.0 P3.4/T0/T1CLKO/MOSI_4/PWM4P_4/PWM8_2/CMPO P3.3/INT1/MISO_4/SDA_4/PWM4N_4/PWM7_2 P3.2/INT0/SCLK_4/SCL_4//PWMETI/PWMETI2 P3.1/TxD P3.0/RxD/INT4 P4.0/MOSI_3 Gnd MCU-VCC P5.5 Vcc P5.4/NRST/MCLKO/SS_3/PWM6_2 22u 0.1u AVcc P5.3 T3CLKO/ADC13/AD5/P0.5 PWMETI2_2/T4/ADC14/AD6/P0.6 T4CLKO/AD7/P0.7 RxD2/PWM1P/ADC0/P1.0 TxD2/PWM1N/ADC1/P1.1 TxD2_2/P4.7 T2/SS/PWM2P/ADC2/P1.2 XTALO/MCLKO_2/RxD_3/PWM4P/ADC6/P1.6 XTALI/PWM5_2/TxD_3/PWM4N/ADC7/P1.7 AGnd ADC_VRef+ 1 2 3 4 5 6 7 8 9 10 11 12 MISO_2/SDA_2/PWM3P_2/A12/P2.4 SCLK_2/SCL_2/PWM3N_2/A13/P2.5 PWM4P_2/A14/P2.6 PWM4N_2/A15/P2.7 ALE/P4.5 RxD2_2/P4.6 T3_2/PWM5_3/ADC8/AD0/P0.0 T3CLKO_2/PWM6_3/ADC9/AD1/P0.1 T4_2/PWM7_3/ADC10/AD2/P0.2 T4CLKO_2/PWM8_3/ADC11/AD3/P0.3 T3/ADC12/AD4/P0.4 P5.2 典型下载线路见下一页 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 23 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 MCU-VCC P3.0 P3.1 Gnd 连接 电脑/PC 通用USB转串口工具 (人民币30元) ISP下载步骤: 1、按照如图所示的连接方式将通用USB转串口工具和目标芯片连接 2、按下电源按钮,确定目标芯片处于停电状态(上电指示灯为灭的状态)。 注意:工具第一次上电时是不对外供电的,因此若是第一次上电使用此工具,可跳过此步。 3、点击STC-ISP下载软件中的“下载/编程”按钮 4、再次按下电源按钮,给目标芯片上电(上电指示灯为亮的状态) 5、开始ISP下载 注意:目前有发现使用USB线供电进行ISP下载时,由于USB线太细,在USB线上的压降过大,导致ISP 下载时供电不足,所以请在使用USB线供电进行ISP下载时,务必使用USB加强线。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 24 - 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 P2.3/PWM2N_2/PWM8/MOSI_2 P2.2/PWM2P_2/PWM7/SS_2 P2.1/PWM1N_2/PWM6 P2.0/PWM1P_2/PWM5 P3.7/INT3/TxD_2/CMP+ P3.6/INT2/RxD_2/CMPP3.5/T1/T0CLKO/SS_4/PWMFLT/PWMFLT2 P3.4/T0/T1CLKO/MOSI_4/PWM4P_4/PWM8_2/CMPO STC8H 系列技术手册 选型顾问: 13922805190 24 23 22 21 20 19 18 17 注意: 1、ADC的外部参考电源管脚ADC_VRef+, 一定不能浮空,必须接外部参考电 源或者直接连到Vcc 2、若不需要进行USB下载,芯片复位时 P3.0/P3.1/P3.2不可同时为低电平 25 26 27 28 29 30 31 32 LQFP32 QFN32 16 15 14 13 12 11 10 9 P3.3/INT1/MISO_4/I2CSDA_4/PWM4N_4/PWM7_2 P3.2/INT0/SCLK_4/I2CSCL_4/PWMETI/PWMETI2 P3.1/TxD P3.0/RxD/INT4 Gnd MCU-VCC P5.5 Vcc P5.4/NRST/MCLKO/SS_3/PWM6_2 22u 0.1u RxD2/PWM1P/ADC0/P1.0 TxD2/PWM1N/ADC1/P1.1 T2/SS/PWM2P/ADC2/P1.2 XTALO/MCLKO_2/RxD_3/PWM4P/ADC6/P1.6 XTALI/PWM5_2/TxD_3/PWM4N/ADC7/P1.7 AGnd ADC_Vref+ AVcc 1 2 3 4 5 6 7 8 SDA_2/MISO_2/PWM3P_2/P2.4 SCL_2/SCLK_2/PWM3N_2/P2.5 PWM4P_2/P2.6 PWM4N_2/P2.7 T3_2/PWM5_3/ADC8/P0.0 T3CLKO_2/PWM6_3/ADC9/P0.1 T4_2/PWM7_3/ADC10/P0.2 T4CLKO_2/PWM8_3/ADC11/P0.3 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 25 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 1 2 3 4 5 6 7 8 9 10 TSSOP20 PWM1P/RxD2/ADC0/P1.0 XTALO/MCLKO_2/RxD_3/PWM4P/ADC6/P1.6 XTALI/TxD_3/PWM5_2/PWM4N/ADC7/P1.7 AGnd ADC_Vref+ AVcc MCLKO/NRST/SS_3/PWM6_2/P5.4 Vcc MCU-VCC P5.5 22u 0.1u Gnd 技术支持: 19864585985 20 19 18 17 16 15 14 13 12 11 选型顾问: 13922805190 P1.1/ADC1/TxD2/PWM1N P1.2/ADC2/PWM2P/SS/T2 P3.7/INT3/TxD_2/CMP+ P3.6/INT2/RxD_2/CMPP3.5/T1/T0CLKO/SS_4/PWMFLT/PWMFLT2 P3.4/T0/T1CLKO/MOSI_4/PWM4P_4/PWM8_2/CMPO P3.3/INT1/MISO_4/I2CSDA_4/PWM4N_4/PWM7_2 P3.2/INT0/SCLK_4/I2CSCL_4/PWMETI/PWMETI2 P3.1/TxD P3.0/RxD/INT4 注意: 1、ADC的外部参考电源管脚ADC_VRef+,一定不能浮空, 必须接外部参考电源或者直接连到Vcc 2、若不需要进行USB下载,芯片复位时P3.0/P3.1/P3.2 不可同时为低电平 MCU-VCC P3.0 P3.1 Gnd 连接 电脑/PC 通用USB转串口工具 (人民币30元) ISP下载步骤: 1、按照如图所示的连接方式将通用USB转串口工具和目标芯片连接 2、按下电源按钮,确定目标芯片处于停电状态(上电指示灯为灭的状态)。 注意:工具第一次上电时是不对外供电的,因此若是第一次上电使用此工具,可跳过此步。 3、点击STC-ISP下载软件中的“下载/编程”按钮 4、再次按下电源按钮,给目标芯片上电(上电指示灯为亮的状态) 5、开始ISP下载 注意:目前有发现使用USB线供电进行ISP下载时,由于USB线太细,在USB线上的压降过大,导致ISP 下载时供电不足,所以请在使用USB线供电进行ISP下载时,务必使用USB加强线。 深圳国芯人工智能有限公司 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 PDIP40 T3_2/PWM5_3/ADC8/AD0/P0.0 T3CLKO_2/PWM6_3/ADC9/AD1/P0.1 T4_2/PWM7_3/ADC10/AD2/P0.2 T4CLKO_2/PWM8_3/ADC11/AD3/P0.3 T3/ADC12/AD4/P0.4 T3CLKO/ADC13/AD5/P0.5 PWMETI2_2/T4/ADC14/AD6/P0.6 T4CLKO/AD7/P0.7 RxD2/PWM1P/ADC0/P1.0 TxD2/PWM1N/ADC1/P1.1 T2/SS/PWM2P/ADC2/P1.2 XTALO/MCLKO_2/RxD_3/PWM4P/ADC6/P1.6 XTALI/PWM5_2/TxD_3/PWM4N/ADC7/P1.7 AGnd ADC_VRef+ AVcc PWM6_2/SS_3/MCLKO/RSTP5.4 Vcc P5.5 Gnd 40 39 38 37 36 35 34 33 32 31 30 29 28 27 26 25 24 23 22 21 国内分销商电话: 0513-5501 2928/2929/2966 P4.5/ALE P2.7/A15/PWM4N_2 P2.6/A14/PWM4P_2 P2.5/A13/PWM3N_2/SCL_2/SCLK_2 P2.4/A12/PWM3P_2/SDA_2/MISO_2 P2.3/A11/PWM2N_2/PWM8/MOSI_2 P2.2/A10/PWM2P_2/PWM7/SS_2 P2.1/A9/PWM1N_2/PWM6 P2.0/A8/PWM1P_2/PWM5 P4.4/RD/TxD_4 P4.2/WR P4.1/MISO_3/CMPO_2/PWMETI_2 P3.7/INT3/TxD_2/CMP+ P3.6/INT2/RxD_2/CMPP3.5/T1/T0CLKO/SS_4/PWMFLT/PWMFLT2 P3.4/T0/T1CLKO/MOSI_4/PWM4P_4/PWM8_2/CMPO P3.3/INT1/MISO_4/SDA_4/PWM4N_4/PWM7_2 P3.2/INT0/SCLK_4/SCL_4/PWMETI/PWMETI2 P3.1/TxD P3.0/RxD/INT4 传真: 0513-5501 2926/2956/2947 - 26 - STC8H 系列技术手册 2.3.3 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 管脚说明 编号 LQFP48 LQFP32 QFN48 QFN32 名称 类型 说明 P5.3 I/O 标准 IO 口 P0.5 I/O 标准 IO 口 AD5 I 地址总线 ADC13 I ADC 模拟输入通道 13 T3CLKO O 定时器 3 时钟分频输出 P0.6 I/O 标准 IO 口 AD6 I 地址总线 ADC14 I ADC 模拟输入通道 14 T4 I 定时器 4 外部时钟输入 PWMETI2_2 I PWM 外部触发输入脚 2 P0.7 I/O 标准 IO 口 AD7 I 地址总线 T4CLKO O 定时器 4 时钟分频输出 P1.0 I/O ADC0 I PWM1P I/O RxD2 I P1.1 I/O ADC1 I PWM1N I/O TxD2 I P4.7 I/O TxD2_2 I P1.2 I/O ADC2 I PWM2P I/O SS I SPI 的从机选择脚(主机为输出) T2 I 定时器 2 外部时钟输入 TSSOP20 1 2 3 4 5 6 1 2 1 20 7 8 3 深圳国芯人工智能有限公司 19 国内分销商电话: 0513-5501 2928/2929/2966 标准 IO 口 ADC 模拟输入通道 0 PWMA 的捕获输入和脉冲输出正极 串口 2 的接收脚 标准 IO 口 ADC 模拟输入通道 1 PWMA 的捕获输入和脉冲输出负极 串口 2 的发送脚 标准 IO 口 串口 2 的发送脚 标准 IO 口 ADC 模拟输入通道 PWMB 的捕获输入和脉冲输出正极 传真: 0513-5501 2926/2956/2947 - 27 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 LQFP48 LQFP32 QFN48 QFN32 技术支持: 19864585985 选型顾问: 13922805190 名称 类 型 P1.6 I/O ADC6 I ADC 模拟输入通道 6 RxD_3 I 串口 1 的接收脚 PWM4P I/O MCLKO_2 O 主时钟分频输出 XTALO O 外部晶振的输出脚 P1.7 I/O ADC7 I ADC 模拟输入通道 7 TxD_3 O 串口 1 的发送脚 PWM4N I/O PWM4 的捕获输入和脉冲输出负极 PWM5_2 I/O PWM5 的捕获输入和脉冲输出 XTALI I 说明 TSSOP20 9 10 4 5 2 3 标准 IO 口 PWM4 的捕获输入和脉冲输出正极 标准 IO 口 外部晶振/外部时钟的输入脚 ADC 地线 11 6 4 AGnd Gnd 12 7 5 ADC_VRef+ I 13 8 6 AVcc Vcc ADC 电源脚 P5.4 I/O 标准 IO 口 NRST I 复位引脚(低电平复位) MCLKO O 主时钟分频输出 SS_3 I SPI 的从机选择脚(主机为输出) PWM6_2 I/O PWM6 的捕获输入和脉冲输出 14 9 7 ADC 外部参考电压源输入脚,要求不高时可直接 接 MCU 的 VCC 15 10 8 Vcc Vcc 电源脚 16 11 9 P5.5 I/O 标准 IO 口 17 12 10 Gnd Gnd 地线 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 28 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 编号 名称 类型 P4.0 I/O 标准 IO 口 MOSI_3 I/O SPI 主机输出从机输入 P3.0 I/O 标准 IO 口 RxD I 串口 1 的接收脚 INT4 I 外部中断 4 P3.1 I/O 标准 IO 口 TxD O P3.2 I/O 标准 IO 口 INT0 I 外部中断 0 SCLK_4 I/O SPI 的时钟脚 SCL_4 I/O I2C 的时钟线 PWMETI I PWM 外部触发输入脚 PWMETI2 I PWM 外部触发输入脚 2 P3.3 I/O 标准 IO 口 INT1 I 外部中断 1 MISO_4 I/O SPI 主机输入从机输出 SDA_4 I/O I2C 接口的数据线 PWM4N_4 I/O PWM4 的捕获输入和脉冲输出负极 PWM7_2 I/O PWM7 的捕获输入和脉冲输出 P3.4 I/O 标准 IO 口 T0 I 定时器 0 外部时钟输入 T1CLKO O 定时器 1 时钟分频输出 MOSI_4 I/O SPI 主机输出从机输入 PWM4P_4 I/O PWM4 的捕获输入和脉冲输出正极 PWM8_2 I/O PWM8 的捕获输入和脉冲输出 CMPO O 比较器输出 24 P5.0 I/O 标准 IO 口 25 P5.1 I/O 标准 IO 口 LQFP48 LQFP32 说明 TSSOP20 QFN48 QFN32 18 19 20 21 22 23 13 14 15 16 17 深圳国芯人工智能有限公司 11 12 13 14 15 国内分销商电话: 0513-5501 2928/2929/2966 串口 1 的发送脚 传真: 0513-5501 2926/2956/2947 - 29 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 编号 LQFP48 LQFP32 名称 类型 说明 P3.5 I/O T1 I 定时器 1 外部时钟输入 T0CLKO O 定时器 0 时钟分频输出 SS_4 I SPI 的从机选择脚(主机为输出) PWMFLT I 增强 PWM 的外部异常检测脚 P3.6 I/O 标准 IO 口 INT2 I 外部中断 2 RxD_2 I 串口 1 的接收脚 CMP- I 比较器负极输入 P3.7 I/O 标准 IO 口 INT3 I 外部中断 3 TxD_2 O 串口 1 的发送脚 CMP+ I 比较器正极输入 P4.1 I/O 标准 IO 口 MISO_3 I/O SPI 主机输入从机输出 CMPO_2 O 比较器输出 PWMETI_2 I PWM 外部触发输入脚 P4.2 I/O WR O P4.3 I/O RxD_4 I SCLK_3 I/O SPI 的时钟脚 P4.4 I/O 标准 IO 口 RD O 外部总线的读信号线 TxD_4 O 串口 1 的发送脚 P2.0 I/O 标准 IO 口 A8 I 地址总线 PWM1P_2 I/O PWMA 的捕获输入和脉冲输出正极 PWM5 I/O PWM5 的捕获输入和脉冲输出 TSSOP20 QFN48 26 27 28 QFN32 18 19 20 29 30 31 32 33 21 深圳国芯人工智能有限公司 16 17 18 国内分销商电话: 0513-5501 2928/2929/2966 标准 IO 口 标准 IO 口 外部总线的写信号线 标准 IO 口 串口 1 的接收脚 传真: 0513-5501 2926/2956/2947 - 30 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 编号 LQFP48 LQFP32 名称 类型 说明 P2.1 I/O 标准 IO 口 A9 I 地址总线 PWM1N_2 I/O PWMA 的捕获输入和脉冲输出负极 PWM6 I/O PWM6 的捕获输入和脉冲输出 P2.2 I/O 标准 IO 口 A10 I 地址总线 SS_2 I SPI 的从机选择脚(主机为输出) PWM2P_2 I/O PWMB 的捕获输入和脉冲输出正极 PWM7 I/O PWM7 的捕获输入和脉冲输出 P2.3 I/O 标准 IO 口 A11 I 地址总线 MOSI_2 I/O SPI 主机输出从机输入 PWM2N_2 I/O PWMB 的捕获输入和脉冲输出负极 PWM8 I/O PWM8 的捕获输入和脉冲输出 P2.4 I/O 标准 IO 口 A12 I 地址总线 MISO_2 I/O SPI 主机输入从机输出 SDA_2 I/O I2C 接口的数据线 PWM3P_2 I/O PWM3 的捕获输入和脉冲输出正极 P2.5 I/O 标准 IO 口 A13 I 地址总线 SCLK_2 I/O SPI 的时钟脚 SCL_2 I/O I2C 的时钟线 PWM3N_2 I/O PWM3 的捕获输入和脉冲输出负极 P2.6 I/O 标准 IO 口 A14 I 地址总线 PWM4P_2 I/O PWM4 的捕获输入和脉冲输出正极 P2.7 I/O 标准 IO 口 A15 I 地址总线 PWM4N_2 I/O PWM4 的捕获输入和脉冲输出负极 P4.5 I/O 标准 IO 口 ALE O TSSOP20 QFN48 34 35 36 37 38 39 40 QFN32 22 23 24 25 26 27 28 41 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 地址锁存信号 传真: 0513-5501 2926/2956/2947 - 31 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 编号 LQFP48 LQFP32 名称 类型 说明 P4.6 I/O RxD2_2 I P0.0 I/O 标准 IO 口 AD0 I 地址总线 ADC8 I ADC 模拟输入通道 8 PWM5_3 I/O T3_2 I P0.1 I/O 标准 IO 口 AD1 I 地址总线 ADC9 I ADC 模拟输入通道 9 PWM6_3 I/O T3CLKO_2 O P0.2 I/O 标准 IO 口 AD2 I 地址总线 ADC10 I ADC 模拟输入通道 10 PWM7_3 I/O T4_2 I P0.3 I/O 标准 IO 口 AD3 I 地址总线 ADC11 I ADC 模拟输入通道 11 PWM8_3 I/O T4CLKO_2 O P0.4 I/O 标准 IO 口 AD4 I 地址总线 ADC12 I ADC 模拟输入通道 12 T3 I 定时器 3 外部时钟输入 P5.2 I/O TSSOP20 QFN48 QFN32 42 43 44 45 46 29 30 31 32 47 48 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 标准 IO 口 串口 2 的接收脚 PWM5 的捕获输入和脉冲输出 定时器 3 外部时钟输入 PWM6 的捕获输入和脉冲输出 定时器 3 时钟分频输出 PWM7 的捕获输入和脉冲输出 定时器 4 外部时钟输入 PWM8 的捕获输入和脉冲输出 定时器 4 时钟分频输出 标准 IO 口 传真: 0513-5501 2926/2956/2947 - 32 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 2.4 STC8H3K64S4-45I-LQFP48/32,QFN48/32,TSSOP20 系列 特性及价格(有 16 位硬件乘除法器 MDU16,准 16 位单片机) 2.4.1  选型价格(不需要外部晶振、不需要外部复位,12 位 ADC,12 通道) 传 统 1 ADC 8 支 持 支 软 本 持 件 身 就 可 在 线 下 直 仿 载 接 真 下 载 4 有 有 有 5 8 有 12 位 有 有 有 4级 有 是 有 是 是 是 是 √ √ √ √ STC8H3K48S4 1.9-5.5 48K 256 3K 2 16K 43 有 有 4 有 有 有 5 8 有 12 位 有 有 有 4级 有 是 有 是 是 是 是 ¥4 √ ¥4 √ STC8H3K60S4 1.9-5.5 60K 256 3K 2 4K 43 有 有 4 有 有 有 5 8 有 12 位 有 有 有 4级 有 是 有 是 是 是 是 √ √ √ √ STC8H3K64S4 1.9-5.5 64K 256 3K 2 IAP 43 有 有 4 有 有 有 5 8 有 12 位 有 有 有 4级 有 是 有 是 是 是 是 ¥4 ¥4 ¥4 ¥4  超高速 8051 内核(1T),比传统 8051 约快 12 倍以上  指令代码完全兼容传统 8051  21 个中断源,4 级中断优先级  支持在线仿真 工作电压  1.9V~5.5V 工作温度   供 货 信 息 内核   D/A  8  外 部 管 脚 也 可 掉 电 唤 醒 ) 45MHz 有 位 乘 除 法 器 PWM 有 字 字 节 节 字 节 16 43 SRAM 32K 万 次 DPTR 2 10 3K ) 8051 RAM STC8H3K32S4 1.9-5.5 32K 256 V QFN32 可 设 置 下 次 更 新 程 序 需 口 令 LQFP32 程 序 加 密 后 传 输 ( 防 拦 截 ) QFN48 可 对 外 输 出 时 钟 以 及 下 复 可 位 调 ) 追 频 LQFP48 看 门 狗 复 位 定 时 器 内 部 高 精 准 时 钟 ( USB 内 部 低 压 检 测 中 断 并 可 掉 电 唤 醒 内 部 高 可 靠 复 位 ( 可 选 复 位 门 槛 电 压 ) RS485 A/D 硬 件 PWM 并 可 掉 电 唤 醒 价 格 及 封 装 12 路 比 高 较 速 器 ( 可 当 掉 ( 电 路 定 唤 路 时 醒 器 专 , 用 互 定 可 可 补 时 当 作 外 对 器 部 称 路 掉 死 电 区 检 控 使 测 制 用 ) ) 16 I2 C 并 可 掉 电 唤 醒 T0/T1/T2/T3/T4 串 口 并 可 掉 电 唤 醒 MDU16 口 均 支 持 中 断 并 可 掉 电 ) 唤 并 醒 可 掉 电 唤 醒 SPI I/O I/O 口 最 万 多 可 次 数 量 增 可 字 减 节 INT0/INT1/INT2/INT3/INT4 强 大 的 双 EEPROM 10 , 内 部 大 容 量 扩 展 / I/O 中 断 所 ( 有 的 xdata Flash 单 片 机 型 号 idata 工 作 电 压 ( 程 序 存 储 器 , 内 部 传 统 定 时 器 计 数 位 器 高 ( 级 -40℃~85℃(如何需要工作在更宽的温度范围,请使用外部时钟或者使用较低的工作频率) Flash 存储器  最大 64K 字节 FLASH 程序存储器(ROM),用于存储用户代码  支持用户配置 EEPROM 大小,512 字节单页擦除,擦写次数可达 10 万次以上  支持在系统编程方式(ISP)更新用户应用程序,无需专用编程器  支持单芯片仿真,无需专用仿真器,理论断点个数无限制 SRAM  128 字节内部直接访问 RAM(DATA,C 语言程序中使用 data 关键字进行声明)  128 字节内部间接访问 RAM(IDATA,C 语言程序中使用 idata 关键字进行声明)  3072 字节内部扩展 RAM(内部 XDATA,C 语言程序中使用 xdata 关键字进行声明) 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 33 - 现 货 STC8H 系列技术手册  官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 时钟控制  内部高精度 IRC(4MHz~45MHz,ISP 编程时选择或手动输入,还可以用户软件分频到较低的频率工作, 如 100KHz)  误差±0.3%(常温下 25℃)  -1.35%~+1.30%温漂(全温度范围,-40℃~85℃)  -0.76%~+0.98%温漂(温度范围,-20℃~65℃)  注意:本系列的内部 IRC,32M~37M 可能是盲区,请不要选择这个频率范例的频率  内部 32KHz 低速 IRC(误差较大)  外部晶振(4MHz~45MHz)和外部时钟 用户可自由选择上面的 3 种时钟源  关于 STC8H3K64S4 系列 B 版产品内部高速 IRC 的重要说明  由于制造原因,部分芯片的内部高速 IRC 在 34MHz~36MHz 可能存在盲区,建议不要将工作频率 设定在此区域  内部高速 IRC 低温的温漂较高温时要大一些,低频率段的温漂比高频率段要大一些。一般的, 20MHz~40MHz 的工作频率,在高温 85℃的温漂可控制在 0.8%以内  复位  硬件复位  上电复位。(在芯片未使能低压复位功能时有效)  复位脚复位。出厂时 P5.4 默认为 I/O 口,ISP 下载时可将 P5.4 管脚设置为复位脚(注意:当设置 P5.4 管脚为复位脚时,复位电平为低电平)   看门狗溢出复位  低压检测复位,提供 4 级低压检测电压:2.0V、2.4V、2.7V、3.0V。 软件复位   软件方式写复位触发寄存器 中断  提供 21 个中断源:INT0(支持上升沿和下降沿中断)、INT1(支持上升沿和下降沿中断)、INT2(只支 持下降沿中断)、INT3(只支持下降沿中断)、INT4(只支持下降沿中断)、定时器 0、定时器 1、定时 器 2、定时器 3、定时器 4、串口 1、串口 2、串口 3、串口 4、ADC 模数转换、LVD 低压检测、SPI、I2C、 比较器、PWMA、PWMB  提供 4 级中断优先级  时钟停振模式下可以唤醒的中断:INT0(P3.2)、INT1(P3.3)、INT2(P3.6)、INT3(P3.7)、INT4(P3.0)、T0(P3.4)、 T1(P3.5)、T2(P1.2)、T3(P0.4)、T4(P0.6)、RXD(P3.0/P3.6/P1.6/P4.3)、RXD2(P1.0/P4.6)、RXD3(P0.0/P5.0)、 RXD4(P0.2/P5.2)、I2C_SDA(P1.4/P2.4/P3.3)以及比较器中断、低压检测中断、掉电唤醒定时器唤醒。  数字外设  5 个 16 位定时器:定时器 0、定时器 1、定时器 2、定时器 3、定时器 4,其中定时器 0 的模式 3 具有 NMI (不可屏蔽中断)功能,定时器 0 和定时器 1 的模式 0 为 16 位自动重载模式  4 个高速串口:串口 1、串口 2、串口 3、串口 4,波特率时钟源最快可为 FOSC/4  8 路/2 组高级 PWM,可实现带死区的控制信号,并支持外部异常检测功能,另外还支持 16 位定时器、8 个外部中断、8 路外部捕获测量脉宽等功能  SPI:支持主机模式和从机模式以及主机/从机自动切换  I2C:支持主机模式和从机模式  MDU16:硬件 16 位乘除法器(支持 32 位除以 16 位、16 位除以 16 位、16 位乘 16 位、数据移位以及数 据规格化等运算)  I/O 口中断:所有的 I/O 均支持中断,每组 I/O 中断有独立的中断入口地址,所有的 I/O 中断可支持 4 种 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 34 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 中断模式:高电平中断、低电平中断、上升沿中断、下降沿中断 (注意:STC8H3K64S4 系列 A 版芯片的 I/O 口中断不能进行掉电唤醒,B 版芯片的 I/O 口中断可以进行 掉电唤醒,但只有一级中断优先级。B 版芯片的 I/O 口中断经测试发现有问题,暂请不要使用)  模拟外设  超高速 ADC,支持 12 位高精度 12 通道(通道 0~通道 2、通道 6~通道 14,无 P1.3/P1.4/P1.5 端口, 所以少了通道 3~5)的模数转换,速度最快能达到 800K(每秒进行 80 万次 ADC 转换)  ADC 的通道 15 用于测试内部 1.19V 参考信号源(芯片在出厂时,内部参考信号源已调整为 1.19V)  比较器,一组比较器(比较器的正端可选择 CMP+端口和所有的 ADC 输入端口,所以比较器可当作多路 比较器进行分时复用)   DAC:8 路高级 PWM 定时器可当 8 路 DAC 使用 GPIO  最多可达 43 个 GPIO:P0.0~P0.7、P1.0~ P1.2、P1.6~ P1.7、P2.0~P2.7、P3.0~P3.7、P4.0~P4.7、P5.0~P5.5  所有的 GPIO 均支持如下 4 种模式:准双向口模式、强推挽输出模式、开漏输出模式、高阻输入模式  除 P3.0 和 P3.1 外,其余所有 IO 口上电后的状态均为高阻输入状态,用户在使用 IO 口时必须先设置 IO 口模式。另外每个 I/O 均可独立使能内部 4K 上拉电阻  封装  LQFP48 、QFN48 、LQFP32 、QFN32 (LQFP32、 QFN32、暂无样品,后续会有,若有需要请提前订货) 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 35 - STC8H 系列技术手册 技术支持: 19864585985 选型顾问: 13922805190 管脚图,最小系统 P2.3/A11/PWM2N_2/PWM8/MOSI_2 P2.2/A10/PWM2P_2/PWM7/SS_2 P2.1/A9/PWM1N_2/PWM6 P2.0/A8/PWM1P_2/PWM5 P4.4/RD/TxD_4 P4.3/RxD_4/SCLK_3 P4.2/WR P4.1/MISO_3/CMPO_2/PWMETI_2 P3.7/INT3/TxD_2/CMP+ P3.6/INT2/RxD_2/CMPP3.5/T1/T0CLKO/SS_4/PWMFLT P5.1/TxD3_2 2.4.2 官方网站: www.STCMCUDATA.com 36 35 34 33 32 31 30 29 28 27 26 25 注意: 1、ADC的外部参考电源管脚ADC_VRef+, 一定不能浮空,必须接外部参考电 源或者直接连到Vcc 2、若不需要进行USB下载,芯片复位时 P3.0/P3.1/P3.2不可同时为低电平 37 38 39 40 41 42 43 44 45 46 47 48 LQFP48 QFN48 24 23 22 21 20 19 18 17 16 15 14 13 P5.0/RxD3_2 P3.4/T0/T1CLKO/MOSI_4/PWM4P_4/PWM8_2/CMPO P3.3/INT1/MISO_4/SDA_4/PWM4N_4/PWM7_2 P3.2/INT0/SCLK_4/SCL_4//PWMETI/PWMETI2 P3.1/TxD P3.0/RxD/INT4 P4.0/MOSI_3 Gnd MCU-VCC P5.5 Vcc P5.4/NRST/MCLKO/SS_3/PWM6_2 22u 0.1u AVcc TxD4_2/P5.3 T3CLKO/ADC13/AD5/P0.5 PWMETI2_2/T4/ADC14/AD6/P0.6 T4CLKO/AD7/P0.7 RxD2/PWM1P/ADC0/P1.0 TxD2/PWM1N/ADC1/P1.1 TxD2_2/P4.7 T2/SS/PWM2P/ADC2/P1.2 XTALO/MCLKO_2/RxD_3/PWM4P/ADC6/P1.6 XTALI/PWM5_2/TxD_3/PWM4N/ADC7/P1.7 AGnd ADC_VRef+ 1 2 3 4 5 6 7 8 9 10 11 12 MISO_2/SDA_2/PWM3P_2/A12/P2.4 SCLK_2/SCL_2/PWM3N_2/A13/P2.5 PWM4P_2/A14/P2.6 PWM4N_2/A15/P2.7 ALE/P4.5 RxD2_2/P4.6 T3_2/PWM5_3/RxD3/ADC8/AD0/P0.0 T3CLKO_2/PWM6_3/TxD3/ADC9/AD1/P0.1 T4_2/PWM7_3/RxD4/ADC10/AD2/P0.2 T4CLKO_2/PWM8_3/TxD4/ADC11/AD3/P0.3 T3/ADC12/AD4/P0.4 RxD4_2/P5.2 典型下载线路见下一页 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 36 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 MCU-VCC P3.0 P3.1 Gnd 连接 电脑/PC 通用USB转串口工具 (人民币30元) ISP下载步骤: 1、按照如图所示的连接方式将通用USB转串口工具和目标芯片连接 2、按下电源按钮,确定目标芯片处于停电状态(上电指示灯为灭的状态)。 注意:工具第一次上电时是不对外供电的,因此若是第一次上电使用此工具,可跳过此步。 3、点击STC-ISP下载软件中的“下载/编程”按钮 4、再次按下电源按钮,给目标芯片上电(上电指示灯为亮的状态) 5、开始ISP下载 注意:目前有发现使用USB线供电进行ISP下载时,由于USB线太细,在USB线上的压降过大,导致ISP 下载时供电不足,所以请在使用USB线供电进行ISP下载时,务必使用USB加强线。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 37 - 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 P2.3/PWM2N_2/PWM8/MOSI_2 P2.2/PWM2P_2/PWM7/SS_2 P2.1/PWM1N_2/PWM6 P2.0/PWM1P_2/PWM5 P3.7/INT3/TxD_2/CMP+ P3.6/INT2/RxD_2/CMPP3.5/T1/T0CLKO/SS_4/PWMFLT/PWMFLT2 P3.4/T0/T1CLKO/MOSI_4/PWM4P_4/PWM8_2/CMPO STC8H 系列技术手册 选型顾问: 13922805190 24 23 22 21 20 19 18 17 注意: 1、ADC的外部参考电源管脚ADC_VRef+, 一定不能浮空,必须接外部参考电 源或者直接连到Vcc 2、若不需要进行USB下载,芯片复位时 P3.0/P3.1/P3.2不可同时为低电平 25 26 27 28 29 30 31 32 LQFP32 QFN32 16 15 14 13 12 11 10 9 P3.3/INT1/MISO_4/I2CSDA_4/PWM4N_4/PWM7_2 P3.2/INT0/SCLK_4/I2CSCL_4/PWMETI/PWMETI2 P3.1/TxD P3.0/RxD/INT4 Gnd MCU-VCC P5.5 Vcc P5.4/NRST/MCLKO/SS_3/PWM6_2 22u 0.1u RxD2/PWM1P/ADC0/P1.0 TxD2/PWM1N/ADC1/P1.1 T2/SS/PWM2P/ADC2/P1.2 XTALO/MCLKO_2/RxD_3/PWM4P/ADC6/P1.6 XTALI/PWM5_2/TxD_3/PWM4N/ADC7/P1.7 AGnd ADC_Vref+ AVcc 1 2 3 4 5 6 7 8 SDA_2/MISO_2/PWM3P_2/P2.4 SCL_2/SCLK_2/PWM3N_2/P2.5 PWM4P_2/P2.6 PWM4N_2/P2.7 T3_2/PWM5_3/RxD3/ADC8/P0.0 T3CLKO_2/PWM6_3/TxD3/ADC9/P0.1 T4_2/PWM7_3/RxD4/ADC10/P0.2 T4CLKO_2/PWM8_3/TxD4/ADC11/P0.3 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 38 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 深圳国芯人工智能有限公司 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 PDIP40 T3_2/PWM5_3/RxD3/ADC8/AD0/P0.0 T3CLKO_2/PWM6_3/TxD3/ADC9/AD1/P0.1 T4_2/PWM7_3/RxD4/ADC10/AD2/P0.2 T4CLKO_2/PWM8_3/TxD4/ADC11/AD3/P0.3 T3/ADC12/AD4/P0.4 T3CLKO/ADC13/AD5/P0.5 PWMETI2_2/T4/ADC14/AD6/P0.6 T4CLKO/AD7/P0.7 RxD2/PWM1P/ADC0/P1.0 TxD2/PWM1N/ADC1/P1.1 T2/SS/PWM2P/ADC2/P1.2 XTALO/MCLKO_2/RxD_3/PWM4P/ADC6/P1.6 XTALI/PWM5_2/TxD_3/PWM4N/ADC7/P1.7 AGnd ADC_VRef+ AVcc PWM6_2/SS_3/MCLKO/NRSTP5.4 Vcc P5.5 Gnd 技术支持: 19864585985 40 39 38 37 36 35 34 33 32 31 30 29 28 27 26 25 24 23 22 21 国内分销商电话: 0513-5501 2928/2929/2966 选型顾问: 13922805190 P4.5/ALE P2.7/A15/PWM4N_2 P2.6/A14/PWM4P_2 P2.5/A13/PWM3N_2/SCL_2/SCLK_2 P2.4/A12/PWM3P_2/SDA_2/MISO_2 P2.3/A11/PWM2N_2/PWM8/MOSI_2 P2.2/A10/PWM2P_2/PWM7/SS_2 P2.1/A9/PWM1N_2/PWM6 P2.0/A8/PWM1P_2/PWM5 P4.4/RD/TxD_4 P4.2/WR P4.1/MISO_3/CMPO_2/PWMETI_2 P3.7/INT3/TxD_2/CMP+ P3.6/INT2/RxD_2/CMPP3.5/T1/T0CLKO/SS_4/PWMFLT/PWMFLT2 P3.4/T0/T1CLKO/MOSI_4/PWM4P_4/PWM8_2/CMPO P3.3/INT1/MISO_4/SDA_4/PWM4N_4/PWM7_2 P3.2/INT0/SCLK_4/SCL_4/PWMETI/PWMETI2 P3.1/TxD P3.0/RxD/INT4 传真: 0513-5501 2926/2956/2947 - 39 - STC8H 系列技术手册 2.4.3 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 管脚说明 编号 LQFP48 LQFP32 QFN48 QFN32 1 2 3 4 5 6 1 2 7 8 3 深圳国芯人工智能有限公司 名称 类型 说明 P5.3 I/O TxD4_2 O P0.5 I/O 标准 IO 口 AD5 I 地址总线 ADC13 I ADC 模拟输入通道 13 T3CLKO O 定时器 3 时钟分频输出 P0.6 I/O 标准 IO 口 AD6 I 地址总线 ADC14 I ADC 模拟输入通道 14 T4 I 定时器 4 外部时钟输入 PWMETI2_2 I PWM 外部触发输入脚 2 P0.7 I/O 标准 IO 口 AD7 I 地址总线 T4CLKO O 定时器 4 时钟分频输出 P1.0 I/O ADC0 I PWM1P I/O RxD2 I P1.1 I/O ADC1 I PWM1N I/O TxD2 I P4.7 I/O TxD2_2 I P1.2 I/O ADC2 I PWM2P I/O SS I SPI 的从机选择脚(主机为输出) T2 I 定时器 2 外部时钟输入 标准 IO 口 串口 4 的发送脚 标准 IO 口 ADC 模拟输入通道 0 PWMA 的捕获输入和脉冲输出正极 串口 2 的接收脚 标准 IO 口 ADC 模拟输入通道 1 PWMA 的捕获输入和脉冲输出负极 串口 2 的发送脚 标准 IO 口 串口 2 的发送脚 标准 IO 口 ADC 模拟输入通道 PWMB 的捕获输入和脉冲输出正极 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 40 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 编号 LQFP48 LQFP32 QFN48 QFN32 9 10 4 5 名称 类型 说明 P1.6 I/O ADC6 I ADC 模拟输入通道 6 RxD_3 I 串口 1 的接收脚 PWM4P I/O MCLKO_2 O 主时钟分频输出 XTALO O 外部晶振的输出脚 P1.7 I/O ADC7 I ADC 模拟输入通道 7 TxD_3 O 串口 1 的发送脚 PWM4N I/O PWM4 的捕获输入和脉冲输出负极 PWM5_2 I/O PWM5 的捕获输入和脉冲输出 XTALI I 标准 IO 口 PWM4 的捕获输入和脉冲输出正极 标准 IO 口 外部晶振/外部时钟的输入脚 ADC 地线 11 6 AGnd Gnd 12 7 ADC_VRef+ I 13 8 AVcc Vcc ADC 电源脚 P5.4 I/O 标准 IO 口 NRST I 复位引脚(低电平复位) MCLKO O 主时钟分频输出 SS_3 I SPI 的从机选择脚(主机为输出) PWM6_2 I/O PWM6 的捕获输入和脉冲输出 14 9 ADC 外部参考电压源输入脚,要求不高时可直接接 MCU 的 VCC 15 10 Vcc Vcc 电源脚 16 11 P5.5 I/O 标准 IO 口 17 12 Gnd Gnd 地线 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 41 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 编号 LQFP48 LQFP32 QFN48 QFN32 18 19 20 21 22 23 13 14 15 16 17 24 25 深圳国芯人工智能有限公司 名称 类型 说明 P4.0 I/O 标准 IO 口 MOSI_3 I/O SPI 主机输出从机输入 P3.0 I/O 标准 IO 口 RxD I 串口 1 的接收脚 INT4 I 外部中断 4 P3.1 I/O 标准 IO 口 TxD O P3.2 I/O 标准 IO 口 INT0 I 外部中断 0 SCLK_4 I/O SPI 的时钟脚 SCL_4 I/O I2C 的时钟线 PWMETI I PWM 外部触发输入脚 PWMETI2 I PWM 外部触发输入脚 2 P3.3 I/O 标准 IO 口 INT1 I 外部中断 1 MISO_4 I/O SPI 主机输入从机输出 SDA_4 I/O I2C 接口的数据线 PWM4N_4 I/O PWM4 的捕获输入和脉冲输出负极 PWM7_2 I/O PWM7 的捕获输入和脉冲输出 P3.4 I/O 标准 IO 口 T0 I 定时器 0 外部时钟输入 T1CLKO O 定时器 1 时钟分频输出 MOSI_4 I/O SPI 主机输出从机输入 PWM4P_4 I/O PWM4 的捕获输入和脉冲输出正极 PWM8_2 I/O PWM8 的捕获输入和脉冲输出 CMPO O 比较器输出 P5.0 I/O 标准 IO 口 RxD3_2 I P5.1 I/O TxD3_2 O 串口 1 的发送脚 串口 3 的接收脚 标准 IO 口 串口 3 的发送脚 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 42 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 编号 LQFP48 LQFP32 QFN48 QFN32 26 27 28 18 19 20 29 30 31 32 33 21 深圳国芯人工智能有限公司 名称 类型 说明 P3.5 I/O T1 I 定时器 1 外部时钟输入 T0CLKO O 定时器 0 时钟分频输出 SS_4 I SPI 的从机选择脚(主机为输出) PWMFLT I 增强 PWM 的外部异常检测脚 P3.6 I/O 标准 IO 口 INT2 I 外部中断 2 RxD_2 I 串口 1 的接收脚 CMP- I 比较器负极输入 P3.7 I/O 标准 IO 口 INT3 I 外部中断 3 TxD_2 O 串口 1 的发送脚 CMP+ I 比较器正极输入 P4.1 I/O 标准 IO 口 MISO_3 I/O SPI 主机输入从机输出 CMPO_2 O 比较器输出 PWMETI_2 I PWM 外部触发输入脚 P4.2 I/O WR O P4.3 I/O RxD_4 I SCLK_3 I/O SPI 的时钟脚 P4.4 I/O 标准 IO 口 RD O 外部总线的读信号线 TxD_4 O 串口 1 的发送脚 P2.0 I/O 标准 IO 口 A8 I 地址总线 PWM1P_2 I/O PWMA 的捕获输入和脉冲输出正极 PWM5 I/O PWM5 的捕获输入和脉冲输出 标准 IO 口 标准 IO 口 外部总线的写信号线 标准 IO 口 串口 1 的接收脚 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 43 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 编号 LQFP48 LQFP32 QFN48 QFN32 34 35 36 37 38 39 40 22 23 24 25 26 27 28 41 深圳国芯人工智能有限公司 名称 类型 说明 P2.1 I/O 标准 IO 口 A9 I 地址总线 PWM1N_2 I/O PWMA 的捕获输入和脉冲输出负极 PWM6 I/O PWM6 的捕获输入和脉冲输出 P2.2 I/O 标准 IO 口 A10 I 地址总线 SS_2 I SPI 的从机选择脚(主机为输出) PWM2P_2 I/O PWMB 的捕获输入和脉冲输出正极 PWM7 I/O PWM7 的捕获输入和脉冲输出 P2.3 I/O 标准 IO 口 A11 I 地址总线 MOSI_2 I/O SPI 主机输出从机输入 PWM2N_2 I/O PWMB 的捕获输入和脉冲输出负极 PWM8 I/O PWM8 的捕获输入和脉冲输出 P2.4 I/O 标准 IO 口 A12 I 地址总线 MISO_2 I/O SPI 主机输入从机输出 SDA_2 I/O I2C 接口的数据线 PWM3P_2 I/O PWM3 的捕获输入和脉冲输出正极 P2.5 I/O 标准 IO 口 A13 I 地址总线 SCLK_2 I/O SPI 的时钟脚 SCL_2 I/O I2C 的时钟线 PWM3N_2 I/O PWM3 的捕获输入和脉冲输出负极 P2.6 I/O 标准 IO 口 A14 I 地址总线 PWM4P_2 I/O PWM4 的捕获输入和脉冲输出正极 P2.7 I/O 标准 IO 口 A15 I 地址总线 PWM4N_2 I/O PWM4 的捕获输入和脉冲输出负极 P4.5 I/O 标准 IO 口 ALE O 地址锁存信号 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 44 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 编号 LQFP48 LQFP32 QFN48 QFN32 42 43 44 45 46 29 30 31 32 47 48 深圳国芯人工智能有限公司 名称 类型 说明 P4.6 I/O RxD2_2 I P0.0 I/O 标准 IO 口 AD0 I 地址总线 ADC8 I ADC 模拟输入通道 8 RxD3 I 串口 3 的接收脚 PWM5_3 I/O T3_2 I P0.1 I/O 标准 IO 口 AD1 I 地址总线 ADC9 I ADC 模拟输入通道 9 TxD3 O 串口 3 的发送脚 PWM6_3 I/O T3CLKO_2 O P0.2 I/O 标准 IO 口 AD2 I 地址总线 ADC10 I ADC 模拟输入通道 10 RxD4 I 串口 4 的接收脚 PWM7_3 I/O T4_2 I P0.3 I/O 标准 IO 口 AD3 I 地址总线 ADC11 I ADC 模拟输入通道 11 TxD4 O 串口 4 的发送脚 PWM8_3 I/O T4CLKO_2 O P0.4 I/O 标准 IO 口 AD4 I 地址总线 ADC12 I ADC 模拟输入通道 12 T3 I 定时器 3 外部时钟输入 P5.2 I/O RxD4_2 I 标准 IO 口 串口 2 的接收脚 PWM5 的捕获输入和脉冲输出 定时器 3 外部时钟输入 PWM6 的捕获输入和脉冲输出 定时器 3 时钟输入 PWM7 的捕获输入和脉冲输出 定时器 4 外部时钟输入 PWM8 的捕获输入和脉冲输出 定时器 4 时钟输入 标准 IO 口 串口 4 的接收脚 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 45 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 2.5 STC8H8K64U-45I-LQFP64/48,QFN64/48(USB 系列) 特性及价格(有 16 位硬件乘除法器 MDU16,准 16 位单片机) 2.5.1  选型价格(不需要外部晶振、不需要外部复位,12 位 ADC,15 通道) DMA 15 16 1 程 序 加 密 后 传 输 ( 防 拦 截 ) LQFP64 QFN64 LQFP48 60 有 有 有 有 4 有 有 有 有 5 8 有 12 位 有 有 有 4级 有 是 有 是 是 是 是 √ √ √ STC8H8K48U 1.9-5.5 48K 256 8K 2 16K 60 有 有 有 有 4 有 有 有 有 5 8 有 12 位 有 有 有 4级 有 是 有 是 是 是 是 ¥5 √ ¥5 STC8H8K60U 1.9-5.5 60K 256 8K 2 4K 60 有 有 有 有 4 有 有 有 有 5 8 有 12 位 有 有 有 4级 有 是 有 是 是 是 是 √ √ √ STC8H8K64U 1.9-5.5 64K 256 8K 2 IAP 60 有 有 有 有 4 有 有 有 有 5 8 有 12 位 有 有 有 4级 有 是 有 是 是 是 是 ¥5 √ ¥5  D/A  超高速 8051 内核(1T),比传统 8051 约快 12 倍以上  指令代码完全兼容传统 8051  22 个中断源,4 级中断优先级  支持在线仿真 工作电压 1.9V~5.5V 工作温度   8 内核   位 )  16  ) 位 和 外 部 管 脚 也 可 掉 电 唤 醒 ) PWM 32K USB 2 字 字 节 节 字 节 (8 8K 万 次 SRAM 1.9-5.5 32K 256 10 STC8H8K32U V 位 乘 除 法 器 ) -40℃~85℃(芯片为-40℃~125℃制程,超温度范围应用请参考电气特性章节说明) Flash 存储器  最大 64K 字节 FLASH 程序存储器(ROM),用于存储用户代码  支持用户配置 EEPROM 大小,512 字节单页擦除,擦写次数可达 10 万次以上  支持在系统编程方式(ISP)更新用户应用程序,无需专用编程器  支持单芯片仿真,无需专用仿真器,理论断点个数无限制 SRAM  128 字节内部直接访问 RAM(DATA,C 语言程序中使用 data 关键字进行声明)  128 字节内部间接访问 RAM(IDATA,C 语言程序中使用 idata 关键字进行声明) 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 46 - QFN48 可 对 外 输 出 时 钟 以 及 下 复 可 位 调 ) 追 频 支 持 硬 可 件 设 置 支 全 本 下 持 速 身 次 就 更 可 新 在 直 程 接 线 序 下 下 仿 载 需 载 真 口 并 令 可 仿 真 USB 看 门 狗 复 位 定 时 器 内 部 高 精 准 时 钟 ( RS485 内 部 低 压 检 测 中 断 并 可 掉 电 唤 醒 内 部 高 可 靠 复 位 ( 可 选 复 位 门 槛 电 压 ) 45MHz A/D ADC 8 PWM 定 时 器 互 补 对 称 死 区 控 制 比 较 路 器 高 ( 速 可 当 掉 电 唤 ( 路 醒 专 路 , 用 可 定 作 时 器 可 外 当 部 掉 路 电 检 测 ) 使 用 ) 价 格 及 封 装 DMA T0/T1/T2/T3/T4 硬 件 16 串 全 口 速 并 并 可 可 掉 掉 电 电 唤 唤 醒 醒 并 可 掉 电 唤 醒 ( 暂 无 MDU16 I2 C LCM 实 时 模 时 块 钟 驱 动 DMA SPI 接 口 RTC 口 均 支 持 中 断 并 可 掉 电 ) 唤 并 醒 可 掉 电 唤 醒 DMA I/O INT0/INT1/INT2/INT3/INT4 口 最 多 万 数 可 次 量 增 可 字 减 节 定 时 器 计 数 位 器 高 ( 级 / I/O I/O 强 大 的 双 DPTR 8051 RAM , 内 部 大 容 量 扩 展 EEPROM 10 idata , 内 部 传 统 xdata Flash 工 作 电 压 ( 单 片 机 型 号 程 序 存 储 器 中 断 所 ( 有 的 DMA 8080/6800 传 统 供 货 信 息 供 货 中 STC8H 系列技术手册  官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190  8192 字节内部扩展 RAM(内部 XDATA,C 语言程序中使用 xdata 关键字进行声明)  1280 字节 USB 数据 RAM 时钟控制  内部高精度 IRC(4MHz~45MHz,ISP 编程时选择或手动输入,还可以用户软件分频到较低的频率工作, 如 100KHz)  误差±0.3%(常温下 25℃)  -1.35%~+1.30%温漂(全温度范围,-40℃~85℃)  -0.76%~+0.98%温漂(温度范围,-20℃~65℃)  内部 32KHz 低速 IRC(误差较大)  外部晶振(4MHz~45MHz)和外部时钟 用户可自由选择上面的 3 种时钟源  复位  硬件复位  上电复位,实测电压值为 1.69V~1.82V。(在芯片未使能低压复位功能时有效) 上电复位电压由一个上限电压和一个下限电压组成的电压范围,当工作电压从 5V/3.3V 向下掉到上 电复位的下限门槛电压时,芯片处于复位状态;当电压从 0V 上升到上电复位的上限门槛电压时, 芯片解除复位状态。  复位脚复位,出厂时 P5.4 默认为 I/O 口,ISP 下载时可将 P5.4 管脚设置为复位脚(注意:当设置 P5.4 管脚为复位脚时,复位电平为低电平)  看门狗溢出复位  低压检测复位,提供 4 级低压检测电压:1.9V、2.3V、2.8V、3.7V。 每级低压检测电压都是由一个上限电压和一个下限电压组成的电压范围,当工作电压从 5V/3.3V 向 下掉到低压检测的下限门槛电压时,低压检测生效;当电压从 0V 上升到低压检测的上限门槛电压 时,低压检测生效。  软件复位   软件方式写复位触发寄存器 中断  提供 22 个中断源:INT0(支持上升沿和下降沿中断)、INT1(支持上升沿和下降沿中断)、INT2(只支 持下降沿中断)、INT3(只支持下降沿中断)、INT4(只支持下降沿中断)、定时器 0、定时器 1、定时 器 2、定时器 3、定时器 4、串口 1、串口 2、串口 3、串口 4、ADC 模数转换、LVD 低压检测、SPI、I2C、 比较器、PWMA、PWMB、USB  提供 4 级中断优先级  时钟停振模式下可以唤醒的中断:INT0(P3.2)、INT1(P3.3)、INT2(P3.6)、INT3(P3.7)、INT4(P3.0)、T0(P3.4)、 T1(P3.5)、T2(P1.2)、T3(P0.4)、T4(P0.6)、RXD(P3.0/P3.6/P1.6/P4.3)、RXD2(P1.0/P4.6)、RXD3(P0.0/P5.0)、 RXD4(P0.2/P5.2)、I2C_SDA(P1.4/P2.4/P3.3)以及比较器中断、低压检测中断、掉电唤醒定时器唤醒。  数字外设  5 个 16 位定时器:定时器 0、定时器 1、定时器 2、定时器 3、定时器 4,其中定时器 0 的模式 3 具有 NMI (不可屏蔽中断)功能,定时器 0 和定时器 1 的模式 0 为 16 位自动重载模式  4 个高速串口:串口 1、串口 2、串口 3、串口 4,波特率时钟源最快可为 FOSC/4  8 路/2 组高级 PWM,可实现带死区的控制信号,并支持外部异常检测功能,另外还支持 16 位定时器、8  SPI:支持主机模式和从机模式以及主机/从机自动切换  I2C:支持主机模式和从机模式  MDU16:硬件 16 位乘除法器(支持 32 位除以 16 位、16 位除以 16 位、16 位乘 16 位、数据移位以及数 个外部中断、8 路外部捕获测量脉宽等功能 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 47 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 据规格化等运算)  USB:USB2.0/USB1.1 兼容全速 USB,6 个双向端点,支持 4 种端点传输模式(控制传输、中断传输、批  RTC:支持年、月、日、时、分、秒、次秒(1/128 秒),并支持时钟中断和一组闹钟(注:A 版芯片无 量传输和同步传输),每个端点拥有 64 字节的缓冲区 此功能)  I/O 口中断:所有的 I/O 均支持中断,每组 I/O 中断有独立的中断入口地址,所有的 I/O 中断可支持 4 种 中断模式:高电平中断、低电平中断、上升沿中断、下降沿中断。提供 4 级中断优先级并支持掉电唤醒功 能。(注:A 版芯片无此功能)  DMA : 支 持 Memory-To-Memory 、 SPI 、 UART1TX/UART1RX 、 UART2TX/UART2RX 、 UART3TX/UART3RX、UART4TX/UART4RX、ADC(自动计算多次 ADC 结果的平均值)、LCM(注: A 版芯片无此功能)  LCM(TFT 彩屏)驱动:支持 8080 和 6800 接口,支持 8 位和 16 位数据宽度(注:A 版芯片无此功能)  8 位 8080 总线:8 为数据线(TD0~TD7),读信号(TRD),写信号(TWR),RS 线(TRS)  16 为 8080 总线:16 为数据线(TD0~TD15),读信号(TRD),写信号(TWR),RS 线(TRS)  8 为 6800 总线:8 为数据线(TD0~TD7),使能信号(TE),读写信号(TRW),RS 线(TRS)  16 位 6800 总线:16 为数据线(TD0~TD15),使能信号(TE),读写信号(TRW),RS 线(TRS)  注意:如果使用 8 位数据线控制 TFT 屏,一般需要 TD0~D7,TRD/TWR/TRS,11 根数据及控制线, 外加 2 个普通 I/O 控制片选及复位(很多 TFT 彩屏的片选及复位厂商已进行了自动处理,并不需要 软件控制)  模拟外设  超高速 ADC,支持 12 位高精度 15 通道(通道 0~通道 14)的模数转换,速度最快能达到 800K(每秒进 行 80 万次 ADC 转换)  ADC 的通道 15 用于测试内部 1.19V 参考信号源(芯片在出厂时,内部参考信号源已调整为 1.19V)  比较器,一组比较器(A 版芯片:比较器的正端可选择 CMP+和所有的 ADC 输入端口,比较器的负端可 选择 CMP-和内部 1.19V 的参考源;B 版芯片:比较器的正端可选择 CMP+、CMP+_2、CMP+_3 和所有 的 ADC 输入端口,比较器的负端可选择 CMP-端口和内部 1.19V 的参考源。所以比较器可当作多路比较 器进行分时复用)   DAC:8 路高级 PWM 定时器可当 8 路 DAC 使用 GPIO  最多可达 61 个 GPIO:P0.0~P0.7、P1.0~ P1.7(无 P1.2)、P2.0~P2.7、P3.0~P3.7、P4.0~P4.7、P5.0~P5.4、 P6.0~P6.7、P7.0~P7.7  所有的 GPIO 均支持如下 4 种模式:准双向口模式、强推挽输出模式、开漏输出模式、高阻输入模式  除 P3.0 和 P3.1 外,其余所有 IO 口上电后的状态均为高阻输入状态,用户在使用 IO 口时必须先设置 IO 口模式。另外每个 I/O 均可独立使能内部 4K 上拉电阻  封装  LQFP64 、 QFN64 、 LQFP48 、 QFN48 、 LQFP32(暂无)、TSSOP20(暂无)  特别说明  B 版芯片有 CHIPID 功能(A 版无 CHIPID 功能)  B 版芯片有所有的 I/O 口中断功能,有 4 级中断优先级,可掉电唤醒(A 版芯片所有的 I/O 口中断功能)  B 版芯片的比较器为 4P+2N 版本,A 版芯片为 2P+2N 版本 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 48 - STC8H 系列技术手册 技术支持: 19864585985 选型顾问: 13922805190 管脚图,最小系统 STC8H8K64U的A版芯片的比较器为2P2N版本: 比较器正极 比较器负极 CMP+ (P3.7) CMP- (VREF) CMP+_2 (ADCIN) CMP-_2 (P3.6) STC8H8K64U的B版芯片的比较器为4P2N版本: 比较器正极 比较器负极 CMP+ (P3.7) CMP- (P3.6) CMP+_2 (P5.0) CMP-_2 (VREF) CMP+_3 (P5.1) CMP+_4 (ADCIN) 48 47 46 45 44 43 42 41 40 39 38 37 36 35 34 33 P2.3/A11/PWM2N_2/PWM8/MOSI_2 P2.2/A10/PWM2P_2/PWM7/SS_2 P2.1/A9/PWM1N_2/PWM6 P2.0/A8/PWM1P_2/PWM5 P4.4/RD/TxD_4 P4.3/RxD_4/SCLK_3 P4.2/WR P4.1/MISO_3/CMPO_2/PWMETI_2 P7.3/PWMETI_3 P7.2 P7.1 P7.0 P3.7/INT3/TxD_2/CMP+ P3.6/INT2/RxD_2/CMPP3.5/T1/T0CLKO/SS_4/PWMFLT/PWMFLT2 P5.1/TxD3_2/CMP+_3 2.5.2 官方网站: www.STCMCUDATA.com 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 LQFP64 QFN64 32 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 P5.0/RxD3_2/CMP+_2 P3.4/T0/T1CLKO/MOSI_4/PWM4P_4/PWM8_2/CMPO P3.3/INT1/MISO_4/SDA_4/PWM4N_4/PWM7_2 P3.2/INT0/SCLK_4/SCL_4/PWMETI/PWMETI2 P3.1/TxD/D+ P3.0/RxD/D-/INT4 MCU-VCC P6.7/PWM4N_3 P6.6/PWM4P_3 P6.5/PWM3N_3 22u 0.1u P6.4/PWM3P_3 P4.0/MOSI_3 Gnd/AGnd ADC_VRef+ Vcc/AVcc P5.4/NRST/MCLKO/SS/SS_3/PWM2P/PWM6_2/ADC2/T2 UCap 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 MISO_2/SDA_2/PWM3P_2/A12/P2.4 SCLK_2/SCL_2/PWM3N_2/A13/P2.5 PWM4P_2/A14/P2.6 PWM4N_2/A15/P2.7 PWM5_4/P7.4 PWM6_4/P7.5 PWM7_4/P7.6 PWM8_4/P7.7 ALE/P4.5 RxD2_2/P4.6 T3_2/PWM5_3/RxD3/ADC8/AD0/P0.0 T3CLKO_2/PWM6_3/TxD3/ADC9/AD1/P0.1 T4_2/PWM7_3/RxD4/ADC10/AD2/P0.2 T4CLKO_2/PWM8_3/TxD4/ADC11/AD3/P0.3 T3/ADC12/AD4/P0.4 RxD4_2/P5.2 TxD4_2/P5.3 T3CLKO/ADC13/AD5/P0.5 PWMETI2_2/T4/ADC14/AD6/P0.6 T4CLKO/AD7/P0.7 PWM1P_3/P6.0 PWM1N_3/P6.1 PWM2P_3/P6.2 PWM2N_3/P6.3 RxD2/PWM1P/ADC0/P1.0 TxD2/PWM1N/ADC1/P1.1 TxD2_2/P4.7 SDA/MISO/PWM3P/ADC4/P1.4 SCL/SCLK/PWM3N/ADC5/P1.5 XTALO/MCLKO_2/RxD_3/PWM4P/ADC6/P1.6 XTALI/PWM5_2/TxD_3/PWM4N/ADC7/P1.7 T2CLKO/MOSI/PWM2N/ADC3/P1.3 0.1u 注意: 1、ADC的外部参考电源管脚ADC_VRef+, 一定不能浮空,必须接外部参考电 源或者直接连到Vcc 2、若不需要进行USB下载,芯片复位时 P3.0/P3.1/P3.2不可同时为低电平 典型下载线路见下一页 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 49 - 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P5.2 P0.4 P0.3 P0.2 P0.1 P0.0 P4.6 P4.5 P2.7 P2.6 P2.5 P2.4 48 47 46 45 44 43 42 41 40 39 38 37 STC8H 系列技术手册 0.1u UCap P5.4 Vcc VRef Gnd P4.0 P3.0/DP3.1/D+ P3.2 P3.3 P3.4 P5.0 系统电源 (可从USB取电) STC8H8K64U P2.3 P2.2 P2.1 P2.0 P4.4 P4.3 P4.2 P4.1 P3.7 P3.6 P3.5 P5.1 USB+5V 1 2 DD+ 3 Vcc 4 5 10K USB-Micro P5.3 P0.5 P0.6 P0.7 P1.0 P1.1 P4.7 P1.4 P1.5 P1.6 P1.7 P1.3 如需要直接连接USB进行下载, 请务必在PCB上预留此线路。 按住此按钮然后连接USB,可进 行ISP下载,若连接USB时没有按住 此按钮,则不会进入ISP,而是直 接运行用户代码 注意:使用USB直接下载不能调 节内部IRC的频率,但可在下载时 选择内部预置的16个频率。只有 硬件USB下载才有此功能,模拟 USB下载无此功能 36 35 34 33 32 31 30 29 28 27 26 25 13 14 15 16 17 18 19 20 21 22 23 24 1 2 3 4 5 6 7 8 9 10 11 12 Vin 300R Power On Vcc 47u 22R C? 22R C? 系统时钟10MHz 104(0.1uF) 103(0.01uF) MCU-VCC P3.0 P3.1 Gnd 连接 电脑/PC 通用USB转串口工具 (人民币30元) ISP下载步骤: 1、按照如图所示的连接方式将通用USB转串口工具和目标芯片连接 2、按下电源按钮,确定目标芯片处于停电状态(上电指示灯为灭的状态)。 注意:工具第一次上电时是不对外供电的,因此若是第一次上电使用此工具,可跳过此步。 3、点击STC-ISP下载软件中的“下载/编程”按钮 4、再次按下电源按钮,给目标芯片上电(上电指示灯为亮的状态) 5、开始ISP下载 注意:目前有发现使用USB线供电进行ISP下载时,由于USB线太细,在USB线上的压降过大,导致ISP 下载时供电不足,所以请在使用USB线供电进行ISP下载时,务必使用USB加强线。 当用户使用硬件 USB 对 STC8H8K64U 系列进行 ISP 下载时不能调节内部 IRC 的频率,但用户可用选择 内部预置的 16 个频率(分别是 5.5296M、6M、11.0592M、12M、18.432M、20M、22.1184M、24M、 27M、30M、33.1776M、35M、36.864M、40M、44.2368M 和 48M)。下载时用户只能从频率下拉列表 中进行选择其中之一,而不能手动输入其他频率。 (使用串口下载则可用输入 4M~48M 之间的任意频率)。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 50 - 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 P2.3/A11/PWM2N_2/PWM8/MOSI_2 P2.2/A10/PWM2P_2/PWM7/SS_2 P2.1/A9/PWM1N_2/PWM6 P2.0/A8/PWM1P_2/PWM5 P4.4/RD/TxD_4 P4.3/RxD_4/SCLK_3 P4.2/WR P4.1/MISO_3/CMPO_2/PWMETI_2 P3.7/INT3/TxD_2/CMP+ P3.6/INT2/RxD_2/CMPP3.5/T1/T0CLKO/SS_4/PWMFLT/PWMFLT2 P5.1/TxD3_2/CMP+_3 STC8H 系列技术手册 选型顾问: 13922805190 36 35 34 33 32 31 30 29 28 27 26 25 注意: 1、ADC的外部参考电源管脚ADC_VRef+, 一定不能浮空,必须接外部参考电 源或者直接连到Vcc 2、若不需要进行USB下载,芯片复位时 P3.0/P3.1/P3.2不可同时为低电平 37 38 39 40 41 42 43 44 45 46 47 48 24 23 22 21 20 19 18 17 16 15 14 13 LQFP48 QFN48 P5.0/RxD3_2/CMP+_2 P3.4/T0/T1CLKO/MOSI_4/PWM4P_4/PWM8_2/CMPO P3.3/INT1/MISO_4/SDA_4/PWM4N_4/PWM7_2 P3.2/INT0/SCLK_4/SCL_4/PWMETI/PWMETI2 MCU-VCC P3.1/TxD/D+ 22u 0.1u P3.0/RxD/D-/INT4 P4.0/MOSI_3 Gnd/AGnd ADC_VRef+ Vcc/AVcc P5.4/NRST/MCLKO/SS/SS_3/PWM2P/PWM6_2/ADC2/T2 UCap 1 2 3 4 5 6 7 8 9 10 11 12 MISO_2/SDA_2/PWM3P_2/A12/P2.4 SCLK_2/SCL_2/PWM3N_2/A13/P2.5 PWM4P_2/A14/P2.6 PWM4N_2/A15/P2.7 ALE/P4.5 RxD2_2/P4.6 T3_2/PWM5_3/RxD3/ADC8/AD0/P0.0 T3CLKO_2/PWM6_3/TxD3/ADC9/AD1/P0.1 T4_2/PWM7_3/RxD4/ADC10/AD2/P0.2 T4CLKO_2/PWM8_3/TxD4/ADC11/AD3/P0.3 T3/ADC12/AD4/P0.4 RxD4_2/P5.2 TxD4_2/P5.3 T3CLKO/ADC13/AD5/P0.5 PWMETI2_2/T4/ADC14/AD6/P0.6 T4CLKO/AD7/P0.7 RxD2/PWM1P/ADC0/P1.0 TxD2/PWM1N/ADC1/P1.1 TxD2_2/P4.7 SDA/MISO/PWM3P/ADC4/P1.4 SCL/SCLK/PWM3N/ADC5/P1.5 XTALO/MCLKO_2/RxD_3/PWM4P/ADC6/P1.6 XTALI/PWM5_2/TxD_3/PWM4N/ADC7/P1.7 T2CLKO/MOSI/PWM2N/ADC3/P1.3 0.1u 深圳国芯人工智能有限公司 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 PDIP40 T3_2/PWM5_3/RxD3/ADC8/AD0/P0.0 T3CLKO_2/PWM6_3/TxD3/ADC9/AD1/P0.1 T4_2/PWM7_3/RxD4/ADC10/AD2/P0.2 T4CLKO_2/PWM8_3/TxD4/ADC11/AD3/P0.3 T3/ADC12/AD4/P0.4 T3CLKO/ADC13/AD5/P0.5 PWMETI2_2/T4/ADC14/AD6/P0.6 T4CLKO/AD7/P0.7 RxD2/PWM1P/ADC0/P1.0 TxD2/PWM1N/ADC1/P1.1 SDA/MISO/PWM3P/ADC4/P1.4 SCL/SCLK/PWM3N/ADC5/P1.5 XTALO/MCLKO_2/RxD_3/PWM4P/ADC6/P1.6 XTALI/PWM5_2/TxD_3/PWM4N/ADC7/P1.7 T2CLKO/MOSI/PWM2N/ADC3/P1.3 UCap T2/ADC2/PWM6_2/PWM2P/SS_3/SS/MCLKO/NRSTP5.4 0.1u Vcc/AVcc ADC_VRef+ Gnd/AGnd 40 39 38 37 36 35 34 33 32 31 30 29 28 27 26 25 24 23 22 21 国内分销商电话: 0513-5501 2928/2929/2966 P4.5/ALE P2.7/A15/PWM4N_2 P2.6/A14/PWM4P_2 P2.5/A13/PWM3N_2/SCL_2/SCLK_2 P2.4/A12/PWM3P_2/SDA_2/MISO_2 P2.3/A11/PWM2N_2/PWM8/MOSI_2 P2.2/A10/PWM2P_2/PWM7/SS_2 P2.1/A9/PWM1N_2/PWM6 P2.0/A8/PWM1P_2/PWM5 P4.4/RD/TxD_4 P4.2/WR P4.1/MISO_3/CMPO_2/PWMETI_2 P3.7/INT3/TxD_2/CMP+ P3.6/INT2/RxD_2/CMPP3.5/T1/T0CLKO/SS_4/PWMFLT/PWMFLT2 P3.4/T0/T1CLKO/MOSI_4/PWM4P_4/PWM8_2/CMPO P3.3/INT1/MISO_4/SDA_4/PWM4N_4/PWM7_2 P3.2/INT0/SCLK_4/SCL_4/PWMETI/PWMETI2 P3.1/TxD/D+ P3.0/RxD/D-/INT4 传真: 0513-5501 2926/2956/2947 - 51 - 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 P2.3/A11/PWM2N_2/PWM8/MOSI_2 P2.2/A10/PWM2P_2/PWM7/SS_2 P2.1/A9/PWM1N_2/PWM6 P2.0/A8/PWM1P_2/PWM5 P3.7/INT3/TxD_2/CMP+ P3.6/INT2/RxD_2/CMPP3.5/T1/T0CLKO/SS_4/PWMFLT/PWMFLT2 P3.4/T0/T1CLKO/MOSI_4/PWM4P_4/PWM8_2/CMPO STC8H 系列技术手册 选型顾问: 13922805190 24 23 22 21 20 19 18 17 注意: 1、ADC的外部参考电源管脚ADC_VRef+, 一定不能浮空,必须接外部参考电 源或者直接连到Vcc 2、若不需要进行USB下载,芯片复位时 P3.0/P3.1/P3.2不可同时为低电平 MISO_2/SDA_2/PWM3P_2/A12/P2.4 SCLK_2/SCL_2/PWM3N_2/A13/P2.5 PWM4P_2/A14/P2.6 PWM4N_2/A15/P2.7 T3_2/PWM5_3/RxD3/ADC8/AD0/P0.0 T3CLKO_2/PWM6_3/TxD3/ADC9/AD1/P0.1 T4_2/PWM7_3/RxD4/ADC10/AD2/P0.2 T4CLKO_2/PWM8_3/TxD4/ADC11/AD3/P0.3 25 26 27 28 29 30 31 32 1 2 3 4 5 6 7 8 LQFP32 QFN32 16 15 14 13 12 11 10 9 P3.3/INT1/MISO_4/I2CSDA_4/PWM4N_4/PWM7_2 P3.2/INT0/SCLK_4/I2CSCL_4/PWMETI/PWMETI2 P3.1/TxD/D+ P3.0/RxD/D-/INT4 Gnd/AGnd ADC_VRef+ Vcc/AVcc P5.4/NRST/MCLKO/SS/SS_3/PWM2P/PWM6_2/ADC2/T2 RxD2/PWM1P/ADC0/P1.0 TxD2/PWM1N/ADC1/P1.1 SDA/MISO/PWM3P/ADC4/P1.4 SCL/SCLK/PWM3N/ADC5/P1.5 XTALO/MCLKO_2/RxD_3/PWM4P/ADC6/P1.6 XTALI/PWM5_2/TxD_3/PWM4N/ADC7/P1.7 T2CLKO/MOSI/PWM2N/ADC3/P1.3 UCap MCU-VCC 1 2 3 4 5 6 7 8 9 10 TSSOP20 SDA/MISO/PWM3P/ADC4/P1.4 SCL/SCLK/PWM3N/ADC5/P1.5 XTALO/MCLKO_2/RxD_3/PWM4P/ADC6/P1.6 XTALI/PWM5_2/TxD_3/PWM4N/ADC7/P1.7 T2CLKO/MOSI/PWM2N/ADC3/P1.3 UCap DC2/PWM6_2/PWM2P/SS_3/SS/MCLKO/NRST/PWM6_2/P5.4 Vcc/AVcc MCU-VCC 22u 0.1u ADC_VRef+ Gnd/AGnd 20 19 18 17 16 15 14 13 12 11 22u 0.1u 0.1u P1.0/ADC0/RxD2/PWM1P P1.1/ADC1/TxD2/PWM1N P3.7/INT3/TxD_2/CMP+ P3.6/INT2/RxD_2/CMPP3.5/T1/T0CLKO/SS_4/PWMFLT/PWMFLT2 P3.4/T0/T1CLKO/MOSI_4/PWM4P_4/PWM8_2/CMPO P3.3/INT1/MISO_4/I2CSDA_4/PWM4N_4/PWM7_2 P3.2/INT0/SCLK_4/I2CSCL_4/PWMETI/PWMETI2 P3.1/TxD/D+ P3.0/RxD/D-/INT4 注意: 1、ADC的外部参考电源管脚ADC_VRef+,一定不能浮空, 必须接外部参考电源或者直接连到Vcc 2、若不需要进行USB下载,芯片复位时P3.0/P3.1/P3.2 不可同时为低电平 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 52 - STC8H 系列技术手册 2.5.3 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 管脚说明 编号 LQFP64/QFN64 LQFP48/QFN48 1 1 2 3 4 2 3 4 5 6 7 8 9 10 11 12 深圳国芯人工智能有限公司 5 6 7 8 名称 类型 说明 P5.3 I/O TxD4_2 O P0.5 I/O 标准 IO 口 AD5 I 地址总线 ADC13 I ADC 模拟输入通道 13 T3CLKO O 定时器 3 时钟分频输出 P0.6 I/O 标准 IO 口 AD6 I 地址总线 ADC14 I ADC 模拟输入通道 14 T4 I 定时器 4 外部时钟输入 PWMETI2_2 I PWM 外部触发输入脚 2 P0.7 I/O 标准 IO 口 AD7 I 地址总线 T4CLKO O 定时器 4 时钟分频输出 P6.0 I/O 标准 IO 口 PWM1P_3 I/O PWMA 的捕获输入和脉冲输出正极 P6.1 I/O 标准 IO 口 PWM1N_3 I/O PWMA 的捕获输入和脉冲输出负极 P6.2 I/O 标准 IO 口 PWM2P_3 I/O PWMB 的捕获输入和脉冲输出正极 P6.3 I/O 标准 IO 口 PWM2N_3 I/O PWMB 的捕获输入和脉冲输出负极 P1.0 I/O 标准 IO 口 ADC0 I PWM1P I/O RxD2 I P1.1 I/O ADC1 I PWM1N I/O TxD2 I P4.7 I/O TxD2_2 I P1.4 I/O ADC4 I PWM3P I/O PWM3 的捕获输入和脉冲输出正极 MISO I/O SPI 主机输入从机输出 SDA I/O I2C 接口的数据线 标准 IO 口 串口 4 的发送脚 ADC 模拟输入通道 0 PWMA 的捕获输入和脉冲输出正极 串口 2 的接收脚 标准 IO 口 ADC 模拟输入通道 1 PWMA 的捕获输入和脉冲输出负极 串口 2 的发送脚 标准 IO 口 串口 2 的发送脚 标准 IO 口 ADC 模拟输入通道 4 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 53 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 LQFP64/QFN64 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P1.5 I/O ADC5 I PWM3N I/O PWM3 的捕获输入和脉冲输出负极 SCLK I/O SPI 的时钟脚 SCL I/O I2C 的时钟线 P1.6 I/O 标准 IO 口 ADC6 I ADC 模拟输入通道 6 RxD_3 I 串口 1 的接收脚 PWM4P I/O MCLKO_2 O 主时钟分频输出 XTALO O 外部晶振的输出脚 P1.7 I/O ADC7 I ADC 模拟输入通道 7 TxD_3 O 串口 1 的发送脚 PWM4N I/O PWM4 的捕获输入和脉冲输出负极 PWM5_2 I/O PWM5 的捕获输入和脉冲输出 XTALI I P1.3 I/O ADC3 I ADC 模拟输入通道 3 MOSI I/O SPI 主机输出从机输入 PWM2N I/O PWMB 的捕获输入和脉冲输出负极 T2CLKO O 定时器 2 时钟分频输出 UCAP I USB 内核电源稳压脚 P5.4 I/O NRST I 复位引脚(低电平复位) MCLKO O 主时钟分频输出 SS_3 I SPI 的从机选择脚(主机为输出) SS I SPI 的从机选择脚(主机为输出) PWM2P I/O PWMB 的捕获输入和脉冲输出正极 PWM6_2 I/O PWM6 的捕获输入和脉冲输出 T2 I 定时器 2 外部时钟输入 ADC2 I ADC 模拟输入通道 2 Vcc Vcc 电源脚 AVcc Vcc ADC 电源脚 ADC_VRef+ I Gnd Gnd 地线 AGnd Gnd ADC 地线 P4.0 I/O 标准 IO 口 MOSI_3 I/O SPI 主机输出从机输入 LQFP48/QFN48 13 14 15 16 17 18 9 10 11 12 13 14 19 15 20 16 21 17 22 18 深圳国芯人工智能有限公司 标准 IO 口 ADC 模拟输入通道 5 PWM4 的捕获输入和脉冲输出正极 标准 IO 口 外部晶振/外部时钟的输入脚 标准 IO 口 标准 IO 口 ADC 外部参考电压源输入脚,要求不高时 可直接接 MCU 的 VCC 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 54 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 LQFP64/QFN64 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P6.4 I/O 标准 IO 口 PWM3P_3 I/O PWM3 的捕获输入和脉冲输出正极 P6.5 I/O 标准 IO 口 PWM3N_3 I/O PWM3 的捕获输入和脉冲输出负极 P6.6 I/O 标准 IO 口 PWM4P_3 I/O PWM4 的捕获输入和脉冲输出正极 P6.7 I/O 标准 IO 口 PWM4N_3 I/O PWM4 的捕获输入和脉冲输出负极 P3.0 I/O 标准 IO 口 D- I/O USB 数据口 RxD I 串口 1 的接收脚 INT4 I 外部中断 4 P3.1 I/O 标准 IO 口 D+ I/O USB 数据口 TxD O P3.2 I/O 标准 IO 口 INT0 I 外部中断 0 SCLK_4 I/O SPI 的时钟脚 SCL_4 I/O I2C 的时钟线 PWMETI I PWM 外部触发输入脚 PWMETI2 I PWM 外部触发输入脚 2 P3.3 I/O 标准 IO 口 INT1 I 外部中断 1 MISO_4 I/O SPI 主机输入从机输出 SDA_4 I/O I2C 接口的数据线 PWM4N_4 I/O PWM4 的捕获输入和脉冲输出负极 PWM7_2 I/O PWM7 的捕获输入和脉冲输出 P3.4 I/O 标准 IO 口 T0 I 定时器 0 外部时钟输入 T1CLKO O 定时器 1 时钟分频输出 MOSI_4 I/O SPI 主机输出从机输入 PWM4P_4 I/O PWM4 的捕获输入和脉冲输出正极 PWM8_2 I/O PWM8 的捕获输入和脉冲输出 CMPO O 比较器输出 P5.0 I/O 标准 IO 口 RxD3_2 I 串口 3 的接收脚 CMP+_2 I 比较器正极输入 P5.1 I/O TxD3_2 O 串口 3 的发送脚 CMP+_3 I 比较器正极输入 LQFP48/QFN48 23 24 25 26 27 28 29 30 31 32 33 深圳国芯人工智能有限公司 19 20 21 22 23 24 25 串口 1 的发送脚 标准 IO 口 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 55 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 P3.5 I/O T1 I 定时器 1 外部时钟输入 T0CLKO O 定时器 0 时钟分频输出 SS_4 I SPI 的从机选择脚(主机为输出) PWMFLT I 增强 PWMA 的外部异常检测脚 PWMFLT2 I 增强 PWMB 的外部异常检测脚 P3.6 I/O 标准 IO 口 INT2 I 外部中断 2 RxD_2 I 串口 1 的接收脚 CMP- I 比较器负极输入 P3.7 I/O 标准 IO 口 INT3 I 外部中断 3 TxD_2 O 串口 1 的发送脚 CMP+ I 比较器正极输入 37 P7.0 I/O 标准 IO 口 38 P7.1 I/O 标准 IO 口 39 P7.2 I/O 标准 IO 口 P7.3 I/O 标准 IO 口 PWMETI_3 I P4.1 I/O 标准 IO 口 MISO_3 I/O SPI 主机输入从机输出 CMPO_2 O 比较器输出 PWMETI_3 I PWM 外部触发输入脚 P4.2 I/O WR O P4.3 I/O RxD_4 I SCLK_3 I/O SPI 的时钟脚 P4.4 I/O 标准 IO 口 RD O 外部总线的读信号线 TxD_4 O 串口 1 的发送脚 P2.0 I/O 标准 IO 口 A8 I 地址总线 PWM1P_2 I/O PWMA 的捕获输入和脉冲输出正极 PWM5 I/O PWM5 的捕获输入和脉冲输出 LQFP64/QFN64 说明 LQFP48/QFN48 34 35 36 26 27 28 40 41 42 43 44 45 深圳国芯人工智能有限公司 29 30 31 32 33 标准 IO 口 PWM 外部触发输入脚 标准 IO 口 外部总线的写信号线 标准 IO 口 串口 1 的接收脚 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 56 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 LQFP64/QFN64 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P2.1 I/O 标准 IO 口 A9 I 地址总线 PWM1N_2 I/O PWMA 的捕获输入和脉冲输出负极 PWM6 I/O PWM6 的捕获输入和脉冲输出 P2.2 I/O 标准 IO 口 A10 I 地址总线 SS_2 I SPI 的从机选择脚(主机为输出) PWM2P_2 I/O PWMB 的捕获输入和脉冲输出正极 PWM7 I/O PWM7 的捕获输入和脉冲输出 P2.3 I/O 标准 IO 口 A11 I 地址总线 MOSI_2 I/O SPI 主机输出从机输入 PWM2N_2 I/O PWMB 的捕获输入和脉冲输出负极 PWM8 I/O PWM8 的捕获输入和脉冲输出 P2.4 I/O 标准 IO 口 A12 I 地址总线 MISO_2 I/O SPI 主机输入从机输出 SDA_2 I/O I2C 接口的数据线 PWM3P_2 I/O PWM3 的捕获输入和脉冲输出正极 P2.5 I/O 标准 IO 口 A13 I 地址总线 SCLK_2 I/O SPI 的时钟脚 SCL_2 I/O I2C 的时钟线 PWM3N_2 I/O PWM3 的捕获输入和脉冲输出负极 P2.6 I/O 标准 IO 口 A14 I 地址总线 PWM4P_2 I/O PWM4 的捕获输入和脉冲输出正极 P2.7 I/O 标准 IO 口 A15 I 地址总线 PWM4N_2 I/O PWM4 的捕获输入和脉冲输出负极 P7.4 I/O 标准 IO 口 PWM5_4 I/O PWM5 的捕获输入和脉冲输出 P7.5 I/O 标准 IO 口 PWM6_4 I/O PWM6 的捕获输入和脉冲输出 P7.6 I/O 标准 IO 口 PWM7_4 I/O PWM7 的捕获输入和脉冲输出 LQFP48/QFN48 46 47 48 49 50 51 52 53 54 55 深圳国芯人工智能有限公司 34 35 36 37 38 39 40 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 57 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 LQFP64/QFN64 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P7.7 I/O 标准 IO 口 PWM8_4 I/O PWM8 的捕获输入和脉冲输出 P4.5 I/O 标准 IO 口 ALE O P4.6 I/O RxD2_2 I P0.0 I/O 标准 IO 口 AD0 I 地址总线 ADC8 I ADC 模拟输入通道 8 RxD3 I 串口 3 的接收脚 PWM5_3 I/O T3_2 I P0.1 I/O 标准 IO 口 AD1 I 地址总线 ADC9 I ADC 模拟输入通道 9 TxD3 O 串口 3 的发送脚 PWM6_3 I/O T3CLKO_2 O P0.2 I/O 标准 IO 口 AD2 I 地址总线 ADC10 I ADC 模拟输入通道 10 RxD4 I 串口 4 的接收脚 PWM7_3 I/O T4_2 I P0.3 I/O 标准 IO 口 AD3 I 地址总线 ADC11 I ADC 模拟输入通道 11 TxD4 O 串口 4 的发送脚 PWM8_3 I/O T4CLKO_2 O P0.4 I/O 标准 IO 口 AD4 I 地址总线 ADC12 I ADC 模拟输入通道 12 T3 I 定时器 3 外部时钟输入 P5.2 I/O RxD4_2 I LQFP48/QFN48 56 57 41 58 42 59 60 61 62 63 64 深圳国芯人工智能有限公司 43 44 45 46 47 48 国内分销商电话: 0513-5501 2928/2929/2966 地址锁存信号 标准 IO 口 串口 2 的接收脚 PWM5 的捕获输入和脉冲输出 定时器 3 外部时钟输入 PWM6 的捕获输入和脉冲输出 定时器 3 时钟分频输出 PWM7 的捕获输入和脉冲输出 定时器 4 外部时钟输入 PWM8 的捕获输入和脉冲输出 定时器 4 时钟分频输出 标准 IO 口 串口 4 的接收脚 传真: 0513-5501 2926/2956/2947 - 58 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 2.6 STC8H4K64TLR-45I-LQFP48/QFN48/LQFP32/TSSOP20 (触摸按键/LED/RTC 系列) 2.6.1  特性及价格(有 16 位硬件乘除法器 MDU16,准 16 位单片机) 选型价格(不需要外部晶振、不需要外部复位,12 位 ADC,15 通道) 16 1 内 部 高 精 准 时 钟 ( 支 持 支 软 本 持 件 身 就 可 在 线 下 直 仿 载 接 真 下 载 4 有 有 有 有 有 有 有 5 8 有 12 位 有 有 有 4级 有 是 有 是 是 是 是 STC8H4K48TLR 1.9-5.5 48K 256 4K 2 16K 44 有 有 4 有 有 有 有 有 有 有 5 8 有 12 位 有 有 有 4级 有 是 有 是 是 是 是 ¥3.5 STC8H4K64TLR 1.9-5.5 64K 256 4K 2 IAP 44 有 有 4 有 有 有 有 有 有 有 5 8 有 12 位 有 有 有 4级 有 是 有 是 是 是 是 ¥3.5 8 (8 D/A 16 ) 位 LQFP48 有 ) 位 和 外 部 管 脚 也 可 掉 电 唤 醒 ) PWM 有 16 44 LCM 32K SRAM 2 字 字 节 节 字 节 DPTR 4K 万 次 8051 RAM 1.9-5.5 32K 256 10 STC8H4K32TLR V 位 乘 除 法 器 ) √ STC8H4K64TLR-45I-LQFP48:送样中,4 月批量供货; STC8H4K64TLR-45I-LQFP32:4 月送样并批量供货; STC8H4K64TLR-45I-TSSOP20:4 月送样并批量供货   内核  超高速 8051 内核(1T),比传统 8051 约快 12 倍以上  指令代码完全兼容传统 8051  41 个中断源,4 级中断优先级  支持在线仿真 工作电压   工作温度    1.9V~5.5V -40℃~85℃(芯片为-40℃~125℃制程,超温度范围应用请参考电气特性章节说明) Flash 存储器  最大 64K 字节 FLASH 程序存储器(ROM),用于存储用户代码  支持用户配置 EEPROM 大小,512 字节单页擦除,擦写次数可达 10 万次以上  支持在系统编程方式(ISP)更新用户应用程序,无需专用编程器  支持单芯片仿真,无需专用仿真器,理论断点个数无限制 SRAM 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 59 - 供 货 信 息 LQFP32 可 设 置 下 次 更 新 程 序 需 口 令 TSSOP20 程 序 加 密 后 传 输 ( 防 拦 截 ) USB 可 对 外 输 出 时 钟 以 及 下 复 可 位 调 ) 追 频 QFN48 看 门 狗 复 位 定 时 器 内 部 高 可 靠 复 位 ( 可 选 复 位 门 槛 电 压 ) RS485 A/D ADC 8 PWM 定 时 器 互 补 对 称 死 区 控 制 掉 电 唤 醒 专 用 定 时 器 价 格 及 封 装 DMA 硬 件 T0/T1/T2/T3/T4 MDU16 I2 C RTC 并 可 掉 电 唤 醒 ( 暂 无 比 较 路 器 高 ( 内 速 可 部 当 低 压 路 检 ( 测 中 路 , 断 可 并 作 可 可 外 掉 当 部 电 掉 唤 路 电 醒 检 测 ) 使 用 ) 45MHz DMA 15 触 摸 实 并 驱 按 时 可 键 动 时 模 掉 钟 电 块 驱 唤 动 醒 接 口 LED 口 均 支 持 中 断 并 可 掉 电 ) 唤 并 醒 可 掉 电 唤 醒 DMA SPI I/O 口 最 万 多 可 次 数 量 增 可 字 减 节 INT0/INT1/INT2/INT3/INT4 强 大 的 双 I/O , 内 部 大 容 量 扩 展 EEPROM 10 idata , 内 部 传 统 xdata Flash 工 作 电 压 ( 单 片 机 型 号 程 序 存 储 器 中 断 所 ( 有 的 定 时 器 计 数 位 器 高 ( 级 / DMA 8080/6800 串 口 并 可 掉 电 唤 醒 I/O DMA 传 统 √ √ 部分 √ 已供 货中 ¥3.5 ¥3 √ STC8H 系列技术手册  官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190  128 字节内部直接访问 RAM(DATA,C 语言程序中使用 data 关键字进行声明)  128 字节内部间接访问 RAM(IDATA,C 语言程序中使用 idata 关键字进行声明)  4096 字节内部扩展 RAM(内部 XDATA,C 语言程序中使用 xdata 关键字进行声明) 时钟控制  内部高精度 IRC(4MHz~45MHz,ISP 编程时选择或手动输入,还可以用户软件分频到较低的频率工作, 如 100KHz)  误差±0.3%(常温下 25℃)  -1.35%~+1.30%温漂(全温度范围,-40℃~85℃)  -0.76%~+0.98%温漂(温度范围,-20℃~65℃)  内部 32KHz 低速 IRC(误差较大)  外部晶振(4MHz~45MHz)和外部时钟 用户可自由选择上面的 3 种时钟源  复位  硬件复位  上电复位,实测电压值为 1.69V~1.82V。(在芯片未使能低压复位功能时有效) 上电复位电压由一个上限电压和一个下限电压组成的电压范围,当工作电压从 5V/3.3V 向下掉到上 电复位的下限门槛电压时,芯片处于复位状态;当电压从 0V 上升到上电复位的上限门槛电压时, 芯片解除复位状态。  复位脚复位,出厂时 P5.4 默认为 I/O 口,ISP 下载时可将 P5.4 管脚设置为复位脚(注意:当设置 P5.4 管脚为复位脚时,复位电平为低电平)  看门狗溢出复位  低压检测复位,提供 4 级低压检测电压:1.9V、2.3V、2.8V、3.7V。 每级低压检测电压都是由一个上限电压和一个下限电压组成的电压范围,当工作电压从 5V/3.3V 向 下掉到低压检测的下限门槛电压时,低压检测生效;当电压从 0V 上升到低压检测的上限门槛电压 时,低压检测生效。  软件复位   软件方式写复位触发寄存器 中断  提供 41 个中断源:INT0(支持上升沿和下降沿中断)、INT1(支持上升沿和下降沿中断)、INT2(只支 持下降沿中断)、INT3(只支持下降沿中断)、INT4(只支持下降沿中断)、定时器 0、定时器 1、定时 器 2、定时器 3、定时器 4、串口 1、串口 2、串口 3、串口 4、ADC 模数转换、LVD 低压检测、SPI、I2C、 比较器、PWMA、PWMB、RTC、TKS、P1 口中断、P2 口中断、P3 口中断、P4 口中断、P5 口中断、LCM 驱动中断、串口 1 的 DMA 接收和发送中断、串口 2 的 DMA 接收和发送中断、串口 3 的 DMA 接收和发 送中断、串口 4 的 DMA 接收和发送中断、SPI 的 DMA 中断、ADC 的 DMA 中断、LCM 驱动的 DMA 中 断以及存储器到存储器的 DMA 中断。  提供 4 级中断优先级  时钟停振模式下可以唤醒的中断:INT0(P3.2)、INT1(P3.3)、INT2(P3.6)、INT3(P3.7)、INT4(P3.0)、T0(P3.4)、 T1(P3.5)、T2(P1.2)、T3(P0.4)、T4(P0.6)、RXD(P3.0/P3.6/P1.6/P4.3)、RXD2(P1.0/P4.6)、RXD3(P0.0/P5.0)、 RXD4(P0.2/P5.2)、I2C_SDA(P1.4/P2.4/P3.3)、SPI_SS(P5.4/P2.2/P3.5)以及比较器中断、低压检测中断、掉 电唤醒定时器唤醒以及所有端口的 I/O 中断。  数字外设  5 个 16 位定时器:定时器 0、定时器 1、定时器 2、定时器 3、定时器 4,其中定时器 0 的模式 3 具有 NMI (不可屏蔽中断)功能,定时器 0 和定时器 1 的模式 0 为 16 位自动重载模式  4 个高速串口:串口 1、串口 2、串口 3、串口 4,波特率时钟源最快可为 FOSC/4 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 60 - STC8H 系列技术手册  官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 8 路/2 组高级 PWM,可实现带死区的控制信号,并支持外部异常检测功能,另外还支持 16 位定时器、8 个外部中断、8 路外部捕获测量脉宽等功能  SPI:支持主机模式和从机模式以及主机/从机自动切换  I2C:支持主机模式和从机模式  MDU16:硬件 16 位乘除法器(支持 32 位除以 16 位、16 位除以 16 位、16 位乘 16 位、数据移位以及数 据规格化等运算)  RTC:支持年、月、日、时、分、秒、次秒(1/128 秒),并支持时钟中断和一组闹钟  I/O 口中断:所有的 I/O 均支持中断,每组 I/O 中断有独立的中断入口地址,所有的 I/O 中断可支持 4 种 中断模式:高电平中断、低电平中断、上升沿中断、下降沿中断。提供 4 级中断优先级并支持掉电唤醒功 能。  DMA : 支 持 Memory-To-Memory 、 SPI 、 UART1TX/UART1RX 、 UART2TX/UART2RX 、 UART3TX/UART3RX、UART4TX/UART4RX、ADC(自动计算多次 ADC 结果的平均值)、LCM  LCM(TFT 彩屏)驱动:支持 8080 和 6800 接口,支持 8 位和 16 位数据宽度  8 位 8080 总线:8 为数据线(TD0~TD7),读信号(TRD),写信号(TWR),RS 线(TRS)  16 为 8080 总线:16 为数据线(TD0~TD15),读信号(TRD),写信号(TWR),RS 线(TRS)  8 为 6800 总线:8 为数据线(TD0~TD7),使能信号(TE),读写信号(TRW),RS 线(TRS)  16 位 6800 总线:16 为数据线(TD0~TD15),使能信号(TE),读写信号(TRW),RS 线(TRS)  注意:如果使用 8 位数据线控制 TFT 屏,一般需要 TD0~D7,TRD/TWR/TRS,11 根数据及控制线, 外加 2 个普通 I/O 控制片选及复位(很多 TFT 彩屏的片选及复位厂商已进行了自动处理,并不需要 软件控制)  模拟外设  超高速 ADC,支持 12 位高精度 15 通道(通道 0~通道 14)的模数转换,速度最快能达到 800K(每秒进  ADC 的通道 15 用于测试内部 1.19V 参考信号源(芯片在出厂时,内部参考信号源已调整为 1.19V)  比较器,一组比较器(比较器的正端可选择 CMP+端口和所有的 ADC 输入端口,所以比较器可当作多路 行 80 万次 ADC 转换) 比较器进行分时复用)  触摸按键:最多支持 16 个触摸按键;每个触摸按键能够独立使能;内部参考电压 4 级可调;灵活的充放 电时间设置以及内部工作频率设置;支持低功耗触摸唤醒  LED 驱动:最多可驱动 256(8*16*2)个 LED;可支持共阴模式、共阳模式以及共阴/共阳模式;支持 8 级灰度调节(亮度调节)   DAC:8 路高级 PWM 定时器可当 8 路 DAC 使用 GPIO  最多可达 44 个 GPIO:P0.0~P0.7、P1.0~ P1.7(无 P1.2)、P2.0~P2.7、P3.0~P3.7、P4.0~P4.7、P5.0~P5.4  所有的 GPIO 均支持如下 4 种模式:准双向口模式、强推挽输出模式、开漏输出模式、高阻输入模式  除 P3.0 和 P3.1 外,其余所有 IO 口上电后的状态均为高阻输入状态,用户在使用 IO 口时必须先设置 IO 口模式。另外每个 I/O 均可独立使能内部 4K 上拉电阻  封装   LQFP48 、QFN48 特别说明  本系列芯片有 CHIPID 功能  本系列芯片有所有的 I/O 口中断功能,有 4 级中断优先级,可掉电唤醒  本系列芯片的比较器为 4P+2N 版本 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 61 - STC8H 系列技术手册 技术支持: 19864585985 选型顾问: 13922805190 管脚图,最小系统 P2.3/A11/PWM2N_2/PWM8/MOSI_2/COM3 P2.2/A10/PWM2P_2/PWM7/SS_2/COM2 P2.1/A9/PWM1N_2/PWM6/COM1 P2.0/A8/PWM1P_2/PWM5/COM0 P4.4/RD/TxD_4/SEG4 P4.3/RxD_4/SCLK_3/SEG3 P4.2/WR/SEG2 P4.1/MISO_3/CMPO_2/PWMETI_2/SEG1 P3.7/INT3/TxD_2/CMP+/SEG15 P3.6/INT2/RxD_2/CMP-/SEG14 P3.5/T1/T0CLKO/SS_4/PWMFLT/PWMFLT2/SEG13 P5.1/TxD3_2/TK9 2.6.2 官方网站: www.STCMCUDATA.com 36 35 34 33 32 31 30 29 28 27 26 25 注意: 1、ADC的外部参考电源管脚ADC_VRef+, 一定不能浮空,必须接外部参考电 源或者直接连到Vcc 2、若不需要进行USB下载,芯片复位时 P3.0/P3.1/P3.2不可同时为低电平 37 38 39 40 41 42 43 44 45 46 47 48 LQFP48 QFN48 24 23 22 21 20 19 18 17 16 15 14 13 P5.0/RxD3_2/TK8 P3.4/T0/T1CLKO/MOSI_4/PWM4P_4/PWM8_2/CMPO/SEG12 P3.3/INT1/MISO_4/SDA_4/PWM4N_4/PWM7_2 P3.2/INT0/SCLK_4/SCL_4/PWMETI/PWMETI2 MCU-VCC P3.1/TxD 22u 0.1u P3.0/RxD/INT4 P4.0/MOSI_3/SEG0 Gnd/AGnd ADC_VRef+ Vcc/AVcc P5.4/NRST/MCLKO/SS/SS_3/PWM2P/PWM6_2/ADC2/T2/TK2/ADC_ETR TCap 1 2 3 4 5 6 7 8 9 10 11 12 COM4/MISO_2/SDA_2/PWM3P_2/A12/P2.4 COM5/SCLK_2/SCL_2/PWM3N_2/A13/P2.5 COM6/PWM4P_2/A14/P2.6 COM7/PWM4N_2/A15/P2.7 SEG5/ALE/P4.5 SEG6/RxD2_2/P4.6 SEG8/TK12/T3_2/PWM5_3/RxD3/ADC8/AD0/P0.0 SEG9/TK13/T3CLKO_2/PWM6_3/TxD3/ADC9/AD1/P0.1 SEG10/TK14/T4_2/PWM7_3/RxD4/ADC10/AD2/P0.2 SEG11/TK15/T4CLKO_2/PWM8_3/TxD4/ADC11/AD3/P0.3 T3/ADC12/AD4/P0.4 TK10/RxD4_2/P5.2 TK11/TxD4_2/P5.3 T3CLKO/ADC13/AD5/P0.5 PWMETI2_2/T4/ADC14/AD6/P0.6 T4CLKO/AD7/P0.7 TK0/RxD2/PWM1P/ADC0/P1.0 TK1/TxD2/PWM1N/ADC1/P1.1 SEG7/TxD2_2/P4.7 TK4/SDA/MISO/PWM3P/ADC4/P1.4 TK5/SCL/SCLK/PWM3N/ADC5/P1.5 TK6/XTALO/MCLKO_2/RxD_3/PWM4P/ADC6/P1.6 Tk7/XTALI/PWM5_2/TxD_3/PWM4N/ADC7/P1.7 TK3/T2CLKO/MOSI/PWM2N/ADC3/P1.3 0.01u 典型下载线路见下一页 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 62 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 MCU-VCC P3.0 P3.1 Gnd 连接 电脑/PC 通用USB转串口工具 (人民币30元) ISP下载步骤: 1、按照如图所示的连接方式将通用USB转串口工具和目标芯片连接 2、按下电源按钮,确定目标芯片处于停电状态(上电指示灯为灭的状态)。 注意:工具第一次上电时是不对外供电的,因此若是第一次上电使用此工具,可跳过此步。 3、点击STC-ISP下载软件中的“下载/编程”按钮 4、再次按下电源按钮,给目标芯片上电(上电指示灯为亮的状态) 5、开始ISP下载 注意:目前有发现使用USB线供电进行ISP下载时,由于USB线太细,在USB线上的压降过大,导致ISP 下载时供电不足,所以请在使用USB线供电进行ISP下载时,务必使用USB加强线。 注意: 1、除 P3.0 和 P3.1 外,其余所有 I/O 口上电后的状态均为高阻输入状态,用户在使用 I/O 口时 必须先设置 I/O 口模式 2、所有的 I/O 口均可以设置为准双向口模式、强推挽输出模式、开漏输出模式或者高阻输入 模式,另外每个 I/O 均可独立使能内部 4K 上拉电阻 3、当使能 P5.4 口为复位脚时,复位电平为低电平 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 63 - 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 P2.3/A11/PWM2N_2/PWM8/MOSI_2/COM3 P2.2/A10/PWM2P_2/PWM7/SS_2/COM2 P2.1/A9/PWM1N_2/PWM6/COM1 P2.0/A8/PWM1P_2/PWM5/COM0 P3.7/INT3/TxD_2/CMP+/SEG15 P3.6/INT2/RxD_2/CMP-/SEG14 P3.5/T1/T0CLKO/SS_4/PWMFLT/PWMFLT2/SEG13 P3.4/T0/T1CLKO/MOSI_4/PWM4P_4/PWM8_2/CMPO/SEG12 STC8H 系列技术手册 选型顾问: 13922805190 24 23 22 21 20 19 18 17 注意: 1、ADC的外部参考电源管脚ADC_VRef+, 一定不能浮空,必须接外部参考电 源或者直接连到Vcc 2、若不需要进行USB下载,芯片复位时 P3.0/P3.1/P3.2不可同时为低电平 LQFP32 QFN32 1 2 3 4 5 6 7 8 25 26 27 28 29 30 31 32 TK0/RxD2/PWM1P/ADC0/P1.0 TK1/TxD2/PWM1N/ADC1/P1.1 TK4/SDA/MISO/PWM3P/ADC4/P1.4 TK5/SCL/SCLK/PWM3N/ADC5/P1.5 TK6/XTALO/MCLKO_2/RxD_3/PWM4P/ADC6/P1.6 Tk7/XTALI/PWM5_2/TxD_3/PWM4N/ADC7/P1.7 TK3/T2CLKO/MOSI/PWM2N/ADC3/P1.3 TCap COM4/MISO_2/SDA_2/PWM3P_2/A12/P2.4 COM5/SCLK_2/SCL_2/PWM3N_2/A13/P2.5 COM6/PWM4P_2/A14/P2.6 COM7/PWM4N_2/A15/P2.7 SEG8/TK12/T3_2/PWM5_3/RxD3/ADC8/AD0/P0.0 SEG9/TK13/T3CLKO_2/PWM6_3/TxD3/ADC9/AD1/P0.1 SEG10/TK14/T4_2/PWM7_3/RxD4/ADC10/AD2/P0.2 SEG11/TK15/T4CLKO_2/PWM8_3/TxD4/ADC11/AD3/P0.3 深圳国芯人工智能有限公司 16 15 14 13 12 11 10 9 P3.3/INT1/MISO_4/SDA_4/PWM4N_4/PWM7_2 P3.2/INT0/SCLK_4/SCL_4/PWMETI/PWMETI2 P3.1/TxD P3.0/RxD/INT4 Gnd/AGnd ADC_VRef+ Vcc/AVcc P5.4/NRST/MCLKO/SS/SS_3/PWM2P/PWM6_2/ADC2/T2/TK2/ADC_ETR MCU-VCC 22u 0.1u 0.01u 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 64 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 1 2 3 4 5 6 7 8 9 10 TSSOP20 TK4/SDA/MISO/PWM3P/ADC4/P1.4 TK5/SCL/SCLK/PWM3N/ADC5/P1.5 TK6/XTALO/MCLKO_2/RxD_3/PWM4P/ADC6/P1.6 Tk7/XTALI/PWM5_2/TxD_3/PWM4N/ADC7/P1.7 TK3/T2CLKO/MOSI/PWM2N/ADC3/P1.3 TCap ADC_ETR/TK2/T2/ADC2/PWM6_2/PWM2P/SS_3/SS/MCLKO/NRST/P5.4 MCU-VCC Vcc/AVcc 22u 0.1u ADC_VRef+ Gnd/AGnd 技术支持: 19864585985 20 19 18 17 16 15 14 13 12 11 选型顾问: 13922805190 P1.1/ADC1/TxD2/PWM1N/TK1 P1.0/ADC0/RxD2/PWM1P/TK0 P3.7/INT3/TxD_2/CMP+/SEG15 P3.6/INT2/RxD_2/CMP-/SEG14 P3.5/T1/T0CLKO/SS_4/PWMFLT/PWMFLT2/SEG13 P3.4/T0/T1CLKO/MOSI_4/PWM4P_2/PWM8_2/CMPO/SEG12 P3.3/INT1/MISO_4/SDA_4/PWM4N_2/PWM7_2 P3.2/INT0/SCLK_4/SCL_4/PWMETI/PWMETI2 P3.1/TxD P3.0/RxD/INT4 注意: 1、ADC的外部参考电源管脚ADC_VRef+,一定不能浮空, 必须接外部参考电源或者直接连到Vcc 2、若不需要进行USB下载,芯片复位时P3.0/P3.1/P3.2 不可同时为低电平 MCU-VCC P3.0 P3.1 Gnd 连接 电脑/PC 通用USB转串口工具 (人民币30元) ISP下载步骤: 1、按照如图所示的连接方式将通用USB转串口工具和目标芯片连接 2、按下电源按钮,确定目标芯片处于停电状态(上电指示灯为灭的状态)。 注意:工具第一次上电时是不对外供电的,因此若是第一次上电使用此工具,可跳过此步。 3、点击STC-ISP下载软件中的“下载/编程”按钮 4、再次按下电源按钮,给目标芯片上电(上电指示灯为亮的状态) 5、开始ISP下载 注意:目前有发现使用USB线供电进行ISP下载时,由于USB线太细,在USB线上的压降过大,导致ISP 下载时供电不足,所以请在使用USB线供电进行ISP下载时,务必使用USB加强线。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 65 - STC8H 系列技术手册 技术支持: 19864585985 选型顾问: 13922805190 RTC 参考线路图(无 VBAT 管脚) P5.2 P0.4 P0.3 P0.2 P0.1 P0.0 P4.6 P4.5 P2.7 P2.6 P2.5 P2.4 48 47 46 45 44 43 42 41 40 39 38 37 2.6.3 官方网站: www.STCMCUDATA.com P1.6/XTALO 32.768KHz P5.3 P0.5 P0.6 P0.7 P1.0 P1.1 P4.7 P1.4 P1.5 P1.6 P1.7 P1.3 36 35 34 33 32 31 30 29 28 27 26 25 P2.3 P2.2 P2.1 P2.0 P4.4 P4.3 P4.2 P4.1 P3.7 P3.6 P3.5 P5.1 STC8H4K64TLR TCap P5.4 Vcc VRef Gnd P4.0 P3.0 P3.1 P3.2 P3.3 P3.4 P5.0 C1 20p~47p 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 P1.7/XTALI 20p~47p C2 1N5819 外部供电 Vcc 0.1u 1N5819 47u 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 0.1u 电池供电 传真: 0513-5501 2926/2956/2947 - 66 - STC8H 系列技术手册 2.6.4 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 管脚说明 编号 LQFP48/QFN48 名称 类型 说明 P5.3 I/O TxD4_2 O 串口 4 的发送脚 TK11 I 触摸按键 P0.5 I/O 标准 IO 口 AD5 I 地址总线 ADC13 I ADC 模拟输入通道 13 T3CLKO O 定时器 3 时钟分频输出 P0.6 I/O 标准 IO 口 AD6 I 地址总线 ADC14 I ADC 模拟输入通道 14 T4 I 定时器 4 外部时钟输入 PWMETI2_2 I PWM 外部触发输入脚 2 P0.7 I/O 标准 IO 口 AD7 I 地址总线 T4CLKO O 定时器 4 时钟分频输出 P1.0 I/O ADC0 I PWM1P I/O RxD2 I 串口 2 的接收脚 TK0 I 触摸按键 P1.1 I/O 标准 IO 口 ADC1 I PWM1N I/O TxD2 I 串口 2 的发送脚 TK1 I 触摸按键 P4.7 I/O 标准 IO 口 TxD2_2 I 串口 2 的发送脚 SEG7 O LED 驱动 P1.4 I/O 标准 IO 口 ADC4 I PWM3P I/O PWM3 的捕获输入和脉冲输出正极 MISO I/O SPI 主机输入从机输出 SDA I/O I2C 接口的数据线 TK4 I LQFP32/QFN32 1 2 3 4 5 6 1 2 7 8 深圳国芯人工智能有限公司 3 标准 IO 口 标准 IO 口 ADC 模拟输入通道 0 PWMA 的捕获输入和脉冲输出正极 ADC 模拟输入通道 1 PWMA 的捕获输入和脉冲输出负极 ADC 模拟输入通道 4 触摸按键 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 67 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 LQFP48/QFN48 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P1.5 I/O ADC5 I PWM3N I/O PWM3 的捕获输入和脉冲输出负极 SCLK I/O SPI 的时钟脚 SCL I/O I2C 的时钟线 TK5 I 触摸按键 P1.6 I/O 标准 IO 口 ADC6 I ADC 模拟输入通道 6 RxD_3 I 串口 1 的接收脚 PWM4P I/O MCLKO_2 O 主时钟分频输出 XTALO O 外部晶振的输出脚 TK6 I 触摸按键 P1.7 I/O 标准 IO 口 ADC7 I ADC 模拟输入通道 7 TxD_3 O 串口 1 的发送脚 PWM4N I/O PWM4 的捕获输入和脉冲输出负极 PWM5_2 I/O PWM5 的捕获输入和脉冲输出 XTALI I 外部晶振/外部时钟的输入脚 TK7 I 触摸按键 P1.3 I/O 标准 IO 口 ADC3 I ADC 模拟输入通道 3 MOSI I/O SPI 主机输出从机输入 PWM2N I/O PWMB 的捕获输入和脉冲输出负极 T2CLKO O 定时器 2 时钟分频输出 TK3 I 触摸按键 TCAP I 触摸按键充放电电容 P5.4 I/O NRST I 复位引脚(低电平复位) MCLKO O 主时钟分频输出 SS_3 I SPI 的从机选择脚(主机为输出) SS I SPI 的从机选择脚(主机为输出) PWM2P I/O PWMB 的捕获输入和脉冲输出正极 PWM6_2 I/O PWM6 的捕获输入和脉冲输出 T2 I 定时器 2 外部时钟输入 ADC2 I ADC 模拟输入通道 2 TK2 I 触摸按键 ADC_ETR I ADC 外部触发脚 LQFP32/QFN32 9 10 11 12 13 14 深圳国芯人工智能有限公司 4 5 6 7 8 9 标准 IO 口 ADC 模拟输入通道 5 PWM4 的捕获输入和脉冲输出正极 标准 IO 口 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 68 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 LQFP48/QFN48 LQFP32/QFN32 15 10 16 11 17 12 18 19 20 21 22 23 深圳国芯人工智能有限公司 13 14 15 16 17 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 Vcc Vcc 电源脚 AVcc Vcc ADC 电源脚 ADC_VRef+ I Gnd Gnd 地线 AGnd Gnd ADC 地线 P4.0 I/O 标准 IO 口 MOSI_3 I/O SPI 主机输出从机输入 SEG0 O LED 驱动 P3.0 I/O 标准 IO 口 RxD I 串口 1 的接收脚 INT4 I 外部中断 4 P3.1 I/O 标准 IO 口 TxD O P3.2 I/O 标准 IO 口 INT0 I 外部中断 0 SCLK_4 I/O SPI 的时钟脚 SCL_4 I/O I2C 的时钟线 PWMETI I PWM 外部触发输入脚 PWMETI2 I PWM 外部触发输入脚 2 P3.3 I/O 标准 IO 口 INT1 I 外部中断 1 MISO_4 I/O SPI 主机输入从机输出 SDA_4 I/O I2C 接口的数据线 PWM4N_4 I/O PWM4 的捕获输入和脉冲输出负极 PWM7_2 I/O PWM7 的捕获输入和脉冲输出 P3.4 I/O 标准 IO 口 T0 I 定时器 0 外部时钟输入 T1CLKO O 定时器 1 时钟分频输出 MOSI_4 I/O SPI 主机输出从机输入 PWM4P_4 I/O PWM4 的捕获输入和脉冲输出正极 PWM8_2 I/O PWM8 的捕获输入和脉冲输出 CMPO O 比较器输出 SEG12 O LED 驱动 ADC 外部参考电压源输入脚,要求不高时 可直接接 MCU 的 VCC 串口 1 的发送脚 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 69 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 LQFP48/QFN48 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P5.0 I/O RxD3_2 I 串口 3 的接收脚 TK8 I 触摸按键 P5.1 I/O 标准 IO 口 TxD3_2 O 串口 3 的发送脚 TK9 I 触摸按键 P3.5 I/O 标准 IO 口 T1 I 定时器 1 外部时钟输入 T0CLKO O 定时器 0 时钟分频输出 SS_4 I SPI 的从机选择脚(主机为输出) PWMFLT I 增强 PWMA 的外部异常检测脚 PWMFLT2 I 增强 PWMB 的外部异常检测脚 SEG13 O LED 驱动 P3.6 I/O 标准 IO 口 INT2 I 外部中断 2 RxD_2 I 串口 1 的接收脚 CMP- I 比较器负极输入 SEG14 O LED 驱动 P3.7 I/O 标准 IO 口 INT3 I 外部中断 3 TxD_2 O 串口 1 的发送脚 CMP+ I 比较器正极输入 SEG15 O LED 驱动 P4.1 I/O 标准 IO 口 MISO_3 I/O SPI 主机输入从机输出 CMPO_2 O 比较器输出 PWMETI_3 I PWM 外部触发输入脚 SEG1 O LED 驱动 P4.2 I/O 标准 IO 口 WR O 外部总线的写信号线 SEG2 O LED 驱动 P4.3 I/O 标准 IO 口 RxD_4 I SCLK_3 I/O SEG3 O LQFP32/QFN32 24 25 26 27 28 29 30 31 深圳国芯人工智能有限公司 18 19 20 标准 IO 口 串口 1 的接收脚 SPI 的时钟脚 LED 驱动 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 70 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 LQFP48/QFN48 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P4.4 I/O RD O 外部总线的读信号线 TxD_4 O 串口 1 的发送脚 SEG4 O LED 驱动 P2.0 I/O 标准 IO 口 A8 I 地址总线 PWM1P_2 I/O PWMA 的捕获输入和脉冲输出正极 PWM5 I/O PWM5 的捕获输入和脉冲输出 COM0 O LED 驱动 P2.1 I/O 标准 IO 口 A9 I 地址总线 PWM1N_2 I/O PWMA 的捕获输入和脉冲输出负极 PWM6 I/O PWM6 的捕获输入和脉冲输出 COM1 O LED 驱动 P2.2 I/O 标准 IO 口 A10 I 地址总线 SS_2 I SPI 的从机选择脚(主机为输出) PWM2P_2 I/O PWMB 的捕获输入和脉冲输出正极 PWM7 I/O PWM7 的捕获输入和脉冲输出 COM2 O LED 驱动 P2.3 I/O 标准 IO 口 A11 I 地址总线 MOSI_2 I/O SPI 主机输出从机输入 PWM2N_2 I/O PWMB 的捕获输入和脉冲输出负极 PWM8 I/O PWM8 的捕获输入和脉冲输出 COM3 O LQFP32/QFN32 32 33 34 35 36 深圳国芯人工智能有限公司 21 22 23 24 标准 IO 口 LED 驱动 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 71 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 LQFP48/QFN48 37 38 39 40 41 42 深圳国芯人工智能有限公司 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P2.4 I/O 标准 IO 口 A12 I 地址总线 MISO_2 I/O SPI 主机输入从机输出 SDA_2 I/O I2C 接口的数据线 PWM3P_2 I/O PWM3 的捕获输入和脉冲输出正极 COM4 O LED 驱动 P2.5 I/O 标准 IO 口 A13 I 地址总线 SCLK_2 I/O SPI 的时钟脚 SCL_2 I/O I2C 的时钟线 PWM3N_2 I/O PWM3 的捕获输入和脉冲输出负极 COM5 O LED 驱动 P2.6 I/O 标准 IO 口 A14 I 地址总线 PWM4P_2 I/O COM6 O LED 驱动 P2.7 I/O 标准 IO 口 A15 I 地址总线 PWM4N_2 I/O COM7 O LED 驱动 P4.5 I/O 标准 IO 口 ALE O 地址锁存信号 SEG5 O LED 驱动 P4.6 I/O 标准 IO 口 RxD2_2 I 串口 2 的接收脚 SEG6 O LED 驱动 LQFP32/QFN32 25 26 27 28 国内分销商电话: 0513-5501 2928/2929/2966 PWM4 的捕获输入和脉冲输出正极 PWM4 的捕获输入和脉冲输出负极 传真: 0513-5501 2926/2956/2947 - 72 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 LQFP48/QFN48 43 44 45 46 47 48 深圳国芯人工智能有限公司 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P0.0 I/O 标准 IO 口 AD0 I 地址总线 ADC8 I ADC 模拟输入通道 8 RxD3 I 串口 3 的接收脚 PWM5_3 I/O T3_2 I 定时器 3 外部时钟输入 TK12 I 触摸按键 SEG8 O LED 驱动 P0.1 I/O 标准 IO 口 AD1 I 地址总线 ADC9 I ADC 模拟输入通道 9 TxD3 O 串口 3 的发送脚 PWM6_3 I/O T3CLKO_2 O 定时器 3 时钟分频输出 TK13 I 触摸按键 SEG9 O LED 驱动 P0.2 I/O 标准 IO 口 AD2 I 地址总线 ADC10 I ADC 模拟输入通道 10 RxD4 I 串口 4 的接收脚 PWM7_3 I/O T4_2 I 定时器 4 外部时钟输入 TK14 I 触摸按键 SEG10 O LED 驱动 P0.3 I/O 标准 IO 口 AD3 I 地址总线 ADC11 I ADC 模拟输入通道 11 TxD4 O 串口 4 的发送脚 PWM8_3 I/O T4CLKO_2 O 定时器 4 时钟分频输出 TK15 I 触摸按键 SEG11 O LED 驱动 P0.4 I/O 标准 IO 口 AD4 I 地址总线 ADC12 I ADC 模拟输入通道 12 T3 I 定时器 3 外部时钟输入 P5.2 I/O RxD4_2 I LQFP32/QFN32 29 30 31 32 国内分销商电话: 0513-5501 2928/2929/2966 PWM5 的捕获输入和脉冲输出 PWM6 的捕获输入和脉冲输出 PWM7 的捕获输入和脉冲输出 PWM8 的捕获输入和脉冲输出 标准 IO 口 串口 4 的接收脚 传真: 0513-5501 2926/2956/2947 - 73 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 2.7 STC8H4K64TLCD-45I-LQFP64/QFN64/LQFP48/QFN48 (触摸按键/LCD/RTC 系列) 2.7.1  特性及价格(有 16 位硬件乘除法器 MDU16,准 16 位单片机) 选型价格(不需要外部晶振、不需要外部复位,12 位 ADC,15 通道) 1 支 持 支 软 本 持 件 身 就 可 在 线 下 直 仿 载 接 真 下 载 有 有 有 有 有 有 有 5 8 有 12 位 有 有 有 4级 有 是 有 是 是 是 是 √ STC8H4K48TLCD 1.9-5.5 48K 256 4K 2 16K 60 有 有 4 有 有 有 有 有 有 有 5 8 有 12 位 有 有 有 4级 有 是 有 是 是 是 是 √ STC8H4K64TLCD 1.9-5.5 64K 256 4K 2 IAP 60 有 有 4 有 有 有 有 有 有 有 5 8 有 12 位 有 有 有 4级 有 是 有 是 是 是 是 √ ) 内核  超高速 8051 内核(1T),比传统 8051 约快 12 倍以上  指令代码完全兼容传统 8051  43 个中断源,4 级中断优先级  支持在线仿真 工作电压    1.9V~5.5V 工作温度   D/A 16  8 (8  LQFP64 4 ) 外 部 管 脚 也 可 掉 电 唤 醒 ) PWM 有 16 有 4COM*40SEG 60 LCM 32K SRAM 2 字 字 节 节 字 节 DPTR 4K 10 1.9-5.5 32K 256 万 次 8051 RAM STC8H4K32TLCD V 位 乘 除 法 器 ) 并 可 掉 电 唤 醒 -40℃~85℃(芯片为-40℃~125℃制程,超温度范围应用请参考电气特性章节说明) Flash 存储器  最大 64K 字节 FLASH 程序存储器(ROM),用于存储用户代码  支持用户配置 EEPROM 大小,512 字节单页擦除,擦写次数可达 10 万次以上  支持在系统编程方式(ISP)更新用户应用程序,无需专用编程器  支持单芯片仿真,无需专用仿真器,理论断点个数无限制 SRAM  128 字节内部直接访问 RAM(DATA,C 语言程序中使用 data 关键字进行声明)  128 字节内部间接访问 RAM(IDATA,C 语言程序中使用 idata 关键字进行声明)  4096 字节内部扩展 RAM(内部 XDATA,C 语言程序中使用 xdata 关键字进行声明) 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 74 - QFN48 可 设 置 下 次 更 新 程 序 需 口 令 QFN64 程 序 加 密 后 传 输 ( 防 拦 截 ) USB 可 对 外 输 出 时 钟 以 及 下 复 可 位 调 ) 追 频 LQFP48 看 门 狗 复 位 定 时 器 RS485 A/D 定 时 器 互 补 对 称 死 区 控 制 ADC 8 PWM T0/T1/T2/T3/T4 硬 件 掉 电 唤 醒 专 用 定 时 器 内 部 高 精 准 时 钟 ( 内 部 高 可 靠 复 位 ( 可 选 复 位 门 槛 电 压 ) 45MHz DMA 15 16 并 可 掉 电 唤 醒 ( 暂 无 比 较 路 器 高 ( 内 速 可 部 当 低 压 路 检 ( 测 中 路 , 断 可 并 作 可 可 外 掉 当 部 电 掉 唤 路 电 醒 检 测 ) 使 用 ) 价 格 及 封 装 DMA RTC DMA SPI I/O 液 晶 口 接 驱 均 串 口 动 触 支 口 ( 摸 实 持 并 按 时 中 可 键 时 断 掉 模 钟 并 电 块 可 唤 驱 掉 醒 动 电 ) 唤 位 ) 并 醒 和 可 掉 位 电 唤 醒 MDU16 I2 C LCD DMA INT0/INT1/INT2/INT3/INT4 口 最 万 多 可 次 数 量 增 可 字 减 节 定 时 器 计 数 位 器 高 ( 级 / I/O 强 大 的 双 I/O , 内 部 大 容 量 扩 展 EEPROM 10 , 内 部 传 统 xdata Flash idata 工 作 电 压 ( 单 片 机 型 号 程 序 存 储 器 中 断 所 ( 有 的 DMA 8080/6800 传 统 供 货 信 息 送 样 中 STC8H 系列技术手册  官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 时钟控制  内部高精度 IRC(4MHz~45MHz,ISP 编程时选择或手动输入,还可以用户软件分频到较低的频率工作, 如 100KHz)  误差±0.3%(常温下 25℃)  -1.35%~+1.30%温漂(全温度范围,-40℃~85℃)  -0.76%~+0.98%温漂(温度范围,-20℃~65℃)  内部 32KHz 低速 IRC(误差较大)  外部晶振(4MHz~45MHz)和外部时钟 用户可自由选择上面的 3 种时钟源  复位  硬件复位  上电复位,实测电压值为 1.69V~1.82V。(在芯片未使能低压复位功能时有效) 上电复位电压由一个上限电压和一个下限电压组成的电压范围,当工作电压从 5V/3.3V 向下掉到上 电复位的下限门槛电压时,芯片处于复位状态;当电压从 0V 上升到上电复位的上限门槛电压时, 芯片解除复位状态。  复位脚复位,出厂时 P5.4 默认为 I/O 口,ISP 下载时可将 P5.4 管脚设置为复位脚(注意:当设置 P5.4 管脚为复位脚时,复位电平为低电平)  看门狗溢出复位  低压检测复位,提供 4 级低压检测电压:1.9V、2.3V、2.8V、3.7V。 每级低压检测电压都是由一个上限电压和一个下限电压组成的电压范围,当工作电压从 5V/3.3V 向 下掉到低压检测的下限门槛电压时,低压检测生效;当电压从 0V 上升到低压检测的上限门槛电压 时,低压检测生效。  软件复位   软件方式写复位触发寄存器 中断  提供 43 个中断源:INT0(支持上升沿和下降沿中断)、INT1(支持上升沿和下降沿中断)、INT2(只支 持下降沿中断)、INT3(只支持下降沿中断)、INT4(只支持下降沿中断)、定时器 0、定时器 1、定时 器 2、定时器 3、定时器 4、串口 1、串口 2、串口 3、串口 4、ADC 模数转换、LVD 低压检测、SPI、I2C、 比较器、PWMA、PWMB、RTC、TKS、P1 口中断、P2 口中断、P3 口中断、P4 口中断、P5 口中断、P6 口中断、P7 口中断、LCM 驱动中断、串口 1 的 DMA 接收和发送中断、串口 2 的 DMA 接收和发送中断、 串口 3 的 DMA 接收和发送中断、串口 4 的 DMA 接收和发送中断、SPI 的 DMA 中断、ADC 的 DMA 中 断、LCD 驱动的 DMA 中断以及存储器到存储器的 DMA 中断。  提供 4 级中断优先级  时钟停振模式下可以唤醒的中断:INT0(P3.2)、INT1(P3.3)、INT2(P3.6)、INT3(P3.7)、INT4(P3.0)、T0(P3.4)、 T1(P3.5)、T2(P1.2)、T3(P0.4)、T4(P0.6)、RXD(P3.0/P3.6/P1.6/P4.3)、RXD2(P1.0/P4.6)、RXD3(P0.0/P5.0)、 RXD4(P0.2/P5.2)、I2C_SDA(P1.4/P2.4/P3.3)、SPI_SS(P5.4/P2.2/P3.5)以及比较器中断、低压检测中断、掉 电唤醒定时器唤醒以及所有端口的 I/O 中断。  数字外设  5 个 16 位定时器:定时器 0、定时器 1、定时器 2、定时器 3、定时器 4,其中定时器 0 的模式 3 具有 NMI (不可屏蔽中断)功能,定时器 0 和定时器 1 的模式 0 为 16 位自动重载模式  4 个高速串口:串口 1、串口 2、串口 3、串口 4,波特率时钟源最快可为 FOSC/4  8 路/2 组高级 PWM,可实现带死区的控制信号,并支持外部异常检测功能,另外还支持 16 位定时器、8 个外部中断、8 路外部捕获测量脉宽等功能  SPI:支持主机模式和从机模式以及主机/从机自动切换 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 75 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190  I2C:支持主机模式和从机模式  MDU16:硬件 16 位乘除法器(支持 32 位除以 16 位、16 位除以 16 位、16 位乘 16 位、数据移位以及数  RTC:支持年、月、日、时、分、秒、次秒(1/128 秒),并支持时钟中断和一组闹钟  I/O 口中断:所有的 I/O 均支持中断,每组 I/O 中断有独立的中断入口地址,所有的 I/O 中断可支持 4 种 据规格化等运算) 中断模式:高电平中断、低电平中断、上升沿中断、下降沿中断。提供 4 级中断优先级并支持掉电唤醒功 能。  DMA : 支 持 Memory-To-Memory 、 SPI 、 UART1TX/UART1RX 、 UART2TX/UART2RX 、 UART3TX/UART3RX、UART4TX/UART4RX、ADC(自动计算多次 ADC 结果的平均值)、LCM  LCM(TFT 彩屏)驱动:支持 8080 和 6800 接口,支持 8 位和 16 位数据宽度  8 位 8080 总线:8 为数据线(TD0~TD7),读信号(TRD),写信号(TWR),RS 线(TRS)  16 为 8080 总线:16 为数据线(TD0~TD15),读信号(TRD),写信号(TWR),RS 线(TRS)  8 为 6800 总线:8 为数据线(TD0~TD7),使能信号(TE),读写信号(TRW),RS 线(TRS)  16 位 6800 总线:16 为数据线(TD0~TD15),使能信号(TE),读写信号(TRW),RS 线(TRS)  注意:如果使用 8 位数据线控制 TFT 屏,一般需要 TD0~D7,TRD/TWR/TRS,11 根数据及控制线, 外加 2 个普通 I/O 控制片选及复位(很多 TFT 彩屏的片选及复位厂商已进行了自动处理,并不需要 软件控制)   LCD 液晶驱动:最大支持 4COM*40SEG;支持 8 级灰度调节 模拟外设  超高速 ADC,支持 12 位高精度 15 通道(通道 0~通道 14)的模数转换,速度最快能达到 800K(每秒进 行 80 万次 ADC 转换)  ADC 的通道 15 用于测试内部 1.19V 参考信号源(芯片在出厂时,内部参考信号源已调整为 1.19V)  比较器,一组比较器(比较器的正端可选择 CMP+端口和所有的 ADC 输入端口,所以比较器可当作多路 比较器进行分时复用)  触摸按键:最多支持 16 个触摸按键;每个触摸按键能够独立使能;内部参考电压 4 级可调;灵活的充放 电时间设置以及内部工作频率设置;支持低功耗触摸唤醒   DAC:8 路高级 PWM 定时器可当 8 路 DAC 使用 GPIO  最多可达 60 个 GPIO:P0.0~P0.7、P1.0~ P1.7(无 P1.2)、P2.0~P2.7、P3.0~P3.7、P4.0~P4.7、P5.0~P5.4、 P6.0~P6.7、P7.0~P7.7  所有的 GPIO 均支持如下 4 种模式:准双向口模式、强推挽输出模式、开漏输出模式、高阻输入模式  除 P3.0 和 P3.1 外,其余所有 IO 口上电后的状态均为高阻输入状态,用户在使用 IO 口时必须先设置 IO 口模式。另外每个 I/O 均可独立使能内部 4K 上拉电阻  封装   LQFP64 、QFN64 、LQFP48 、QFN48 特别说明  本系列芯片有 CHIPID 功能  本系列芯片有所有的 I/O 口中断功能,有 4 级中断优先级,可掉电唤醒  本系列芯片的比较器为 4P+2N 版本 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 76 - STC8H 系列技术手册 技术支持: 19864585985 选型顾问: 13922805190 管脚图,最小系统 注意: 1、ADC的外部参考电源管脚ADC_VRef+, 一定不能浮空,必须接外部参考电 源或者直接连到Vcc 2、若不需要进行USB下载,芯片复位时 P3.0/P3.1/P3.2不可同时为低电平 48 47 46 45 44 43 42 41 40 39 38 37 36 35 34 33 P2.3/A11/PWM2N_2/PWM8/MOSI_2/SEG23 P2.2/A10/PWM2P_2/PWM7/SS_2/SEG24 P2.1/A9/PWM1N_2/PWM6/SEG25 P2.0/A8/PWM1P_2/PWM5/SEG26 P4.4/RD/TxD_4/SEG27 P4.3/RxD_4/SCLK_3/SEG28 P4.2/WR/SEG29 P4.1/MISO_3/CMPO_2/PWMETI_2/SEG30 P7.3/PWMETI_3/SEG32 P7.2/SEG33 P7.1/SEG34 P7.0/SEG35 P3.7/INT3/TxD_2/CMP+/SEG31 P3.6/INT2/RxD_2/CMP-/COM3 P3.5/T1/T0CLKO/SS_4/PWMFLT/PWMFLT2/COM2 P5.1/TxD3_2/CMP+_3/COM1/TK9 2.7.2 官方网站: www.STCMCUDATA.com 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 LQFP64 QFN64 32 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 P5.0/RxD3_2/CMP+_2/COM0/TK8 P3.4/T0/T1CLKO/MOSI_4/PWM4P_4/PWM8_2/CMPO P3.3/INT1/MISO_4/SDA_4/PWM4N_4/PWM7_2 P3.2/INT0/SCLK_4/SCL_4/PWMETI/PWMETI2 P3.1/TxD P3.0/RxD/INT4 MCU-VCC P6.7/PWM4N_3 P6.6/PWM4P_3 P6.5/PWM3N_3 22u 0.1u P6.4/PWM3P_3 P4.0/MOSI_3 Gnd/AGnd ADC_VRef+ Vcc/AVcc P5.4/NRST/MCLKO/SS/SS_3/PWM2P/PWM6_2/ADC2/T2/TK2/ADC_ETR TCap 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 SEG22/MISO_2/SDA_2/PWM3P_2/A12/P2.4 SEG21/SCLK_2/SCL_2/PWM3N_2/A13/P2.5 TK6/SEG20/PWM4P_2/A14/P2.6 TK7/SEG19/PWM4N_2/A15/P2.7 SEG3/PWM5_4/P7.4 SEG2/PWM6_4/P7.5 SEG1_2/PWM7_4/P7.6 SEG0_2/PWM8_4/P7.7 SEG18/ALE/P4.5 SEG17/RxD2_2/P4.6 TK12/SEG16/T3_2/PWM5_3/RxD3/ADC8/AD0/P0.0 TK13/SEG15/T3CLKO_2/PWM6_3/TxD3/ADC9/AD1/P0.1 TK14/SEG14/T4_2/PWM7_3/RxD4/ADC10/AD2/P0.2 TK15/SEG13/T4CLKO_2/PWM8_3/TxD4/ADC11/AD3/P0.3 SEG12/T3/ADC12/AD4/P0.4 TK10/SEG11/RxD4_2/P5.2 TK11/SEG10/TxD4_2/P5.3 SEG9/T3CLKO/ADC13/AD5/P0.5 SEG8/PWMETI2_2/T4/ADC14/AD6/P0.6 SEG7/T4CLKO/AD7/P0.7 SEG36/PWM1P_3/P6.0 SEG37/PWM1N_3/P6.1 SEG38/PWM2P_3/ADC6/P6.2 SEG39/PWM2N_3/ADC7/P6.3 TK0/SEG6/RxD2/PWM1P/ADC0/P1.0 TK1/SEG5/TxD2/PWM1N/ADC1/P1.1 SEG4/TxD2_2/P4.7 TK4/SEG1/SDA/MISO/PWM3P/ADC4/P1.4 TK5/SEG0/SCL/SCLK/PWM3N/ADC5/P1.5 XTALO/MCLKO_2/RxD_3/PWM4P/P1.6 XTALI/PWM5_2/TxD_3/PWM4N/P1.7 TK3/T2CLKO/MOSI/PWM2N/ADC3/P1.3 0.1u 典型下载线路见下一页 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 77 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 MCU-VCC P3.0 P3.1 Gnd 连接 电脑/PC 通用USB转串口工具 (人民币30元) ISP下载步骤: 1、按照如图所示的连接方式将通用USB转串口工具和目标芯片连接 2、按下电源按钮,确定目标芯片处于停电状态(上电指示灯为灭的状态)。 注意:工具第一次上电时是不对外供电的,因此若是第一次上电使用此工具,可跳过此步。 3、点击STC-ISP下载软件中的“下载/编程”按钮 4、再次按下电源按钮,给目标芯片上电(上电指示灯为亮的状态) 5、开始ISP下载 注意:目前有发现使用USB线供电进行ISP下载时,由于USB线太细,在USB线上的压降过大,导致ISP 下载时供电不足,所以请在使用USB线供电进行ISP下载时,务必使用USB加强线。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 78 - 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 P2.3/A11/PWM2N_2/PWM8/MOSI_2/SEG23 P2.2/A10/PWM2P_2/PWM7/SS_2/SEG24 P2.1/A9/PWM1N_2/PWM6/SEG25 P2.0/A8/PWM1P_2/PWM5/SEG26 P4.4/RD/TxD_4/SEG27 P4.3/RxD_4/SCLK_3/SEG28 P4.2/WR/SEG29 P4.1/MISO_3/CMPO_2/PWMETI_2/SEG30 P3.7/INT3/TxD_2/CMP+/SEG31 P3.6/INT2/RxD_2/CMP-/COM3 P3.5/T1/T0CLKO/SS_4/PWMFLT/PWMFLT2/COM2 P5.1/TxD3_2/CMP+_3/COM1/TK9 STC8H 系列技术手册 选型顾问: 13922805190 36 35 34 33 32 31 30 29 28 27 26 25 注意: 1、ADC的外部参考电源管脚ADC_VRef+, 一定不能浮空,必须接外部参考电 源或者直接连到Vcc 2、若不需要进行USB下载,芯片复位时 P3.0/P3.1/P3.2不可同时为低电平 37 38 39 40 41 42 43 44 45 46 47 48 LQFP48 QFN48 24 23 22 21 20 19 18 17 16 15 14 13 P5.0/RxD3_2/CMP+_2/COM0/TK8 P3.4/T0/T1CLKO/MOSI_4/PWM4P_4/PWM8_2/CMPO P3.3/INT1/MISO_4/SDA_4/PWM4N_4/PWM7_2 P3.2/INT0/SCLK_4/SCL_4/PWMETI/PWMETI2 MCU-VCC P3.1/TxD 22u 0.1u P3.0/RxD/INT4 P4.0/MOSI_3 Gnd/AGnd ADC_VRef+ Vcc/AVcc P5.4/NRST/MCLKO/SS/SS_3/PWM2P/PWM6_2/ADC2/T2/TK2/ADC_ETR TCap 1 2 3 4 5 6 7 8 9 10 11 12 SEG22/MISO_2/SDA_2/PWM3P_2/A12/P2.4 SEG21/SCLK_2/SCL_2/PWM3N_2/A13/P2.5 TK6/SEG20/PWM4P_2/A14/P2.6 TK7/SEG19/PWM4N_2/A15/P2.7 SEG18/ALE/P4.5 SEG17/RxD2_2/P4.6 TK12/SEG16/T3_2/PWM5_3/RxD3/ADC8/AD0/P0.0 TK13/SEG15T3CLKO_2/PWM6_3/TxD3/ADC9/AD1/P0.1 TK14/SEG14/T4_2/PWM7_3/RxD4/ADC10/AD2/P0.2 TK15/SEG13/T4CLKO_2/PWM8_3/TxD4/ADC11/AD3/P0.3 SEG12/T3/ADC12/AD4/P0.4 TK10/SEG11/RxD4_2/P5.2 TK11/SEG10/TxD4_2/P5.3 SEG9/T3CLKO/ADC13/AD5/P0.5 SEG8/PWMETI2_2/T4/ADC14/AD6/P0.6 SEG7/T4CLKO/AD7/P0.7 TK0/SEG6/RxD2/PWM1P/ADC0/P1.0 TK1/SEG5/TxD2/PWM1N/ADC1/P1.1 SEG4/TxD2_2/P4.7 TK4/SEG1/SDA/MISO/PWM3P/ADC4/P1.4 TK5/SEG0/SCL/SCLK/PWM3N/ADC5/P1.5 XTALO/MCLKO_2/RxD_3/PWM4P/P1.6 XTALI/PWM5_2/TxD_3/PWM4N/P1.7 TK3/T2CLKO/MOSI/PWM2N/ADC3/P1.3 0.1u 典型下载线路见下一页 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 79 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 MCU-VCC P3.0 P3.1 Gnd 连接 电脑/PC 通用USB转串口工具 (人民币30元) ISP下载步骤: 1、按照如图所示的连接方式将通用USB转串口工具和目标芯片连接 2、按下电源按钮,确定目标芯片处于停电状态(上电指示灯为灭的状态)。 注意:工具第一次上电时是不对外供电的,因此若是第一次上电使用此工具,可跳过此步。 3、点击STC-ISP下载软件中的“下载/编程”按钮 4、再次按下电源按钮,给目标芯片上电(上电指示灯为亮的状态) 5、开始ISP下载 注意:目前有发现使用USB线供电进行ISP下载时,由于USB线太细,在USB线上的压降过大,导致ISP 下载时供电不足,所以请在使用USB线供电进行ISP下载时,务必使用USB加强线。 注意: 1、除 P3.0 和 P3.1 外,其余所有 I/O 口上电后的状态均为高阻输入状态,用户在使用 I/O 口时 必须先设置 I/O 口模式 2、所有的 I/O 口均可以设置为准双向口模式、强推挽输出模式、开漏输出模式或者高阻输入 模式,另外每个 I/O 均可独立使能内部 4K 上拉电阻 3、当使能 P5.4 口为复位脚时,复位电平为低电平 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 80 - STC8H 系列技术手册 技术支持: 19864585985 选型顾问: 13922805190 RTC 参考线路图(无 VBAT 管脚) P5.2 P0.4 P0.3 P0.2 P0.1 P0.0 P4.6 P4.5 P2.7 P2.6 P2.5 P2.4 48 47 46 45 44 43 42 41 40 39 38 37 2.7.3 官方网站: www.STCMCUDATA.com P1.6/XTALO 32.768KHz P5.3 P0.5 P0.6 P0.7 P1.0 P1.1 P4.7 P1.4 P1.5 P1.6 P1.7 P1.3 36 35 34 33 32 31 30 29 28 27 26 25 P2.3 P2.2 P2.1 P2.0 P4.4 P4.3 P4.2 P4.1 P3.7 P3.6 P3.5 P5.1 STC8H4K64TLCD TCap P5.4 Vcc VRef Gnd P4.0 P3.0 P3.1 P3.2 P3.3 P3.4 P5.0 C1 20p~47p 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 P1.7/XTALI 20p~47p C2 1N5819 外部供电 Vcc 0.1u 1N5819 47u 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 0.1u 电池供电 传真: 0513-5501 2926/2956/2947 - 81 - 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P5.2 P0.4 P0.3 P0.2 P0.1 P0.0 P4.6 P4.5 P7.7 P7.6 P7.5 P7.4 P2.7 P2.6 P2.5 P2.4 64 63 62 61 60 59 58 57 56 55 54 53 52 51 50 49 STC8H 系列技术手册 P1.6/XTALO 32.768KHz P5.3 P0.5 P0.6 P0.7 P6.0 P6.1 P6.2 P6.3 P1.0 P1.1 P4.7 P1.4 P1.5 P1.6 P1.7 P1.3 P2.3 P2.2 P2.1 P2.0 P4.4 P4.3 P4.2 P4.1 P7.3 P7.2 P7.1 P7.0 P3.7 P3.6 P3.5 P5.1 STC8H4K64TLCD 48 47 46 45 44 43 42 41 40 39 38 37 36 35 34 33 TCap P5.4 Vcc VRef Gnd P4.0 P6.4 P6.5 P6.6 P6.7 P3.0 P3.1 P3.2 P3.3 P3.4 P5.0 C1 20p~47p 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 P1.7/XTALI 20p~47p C2 1N5819 外部供电 Vcc 0.1u 1N5819 47u 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 0.1u 电池供电 传真: 0513-5501 2926/2956/2947 - 82 - STC8H 系列技术手册 2.7.4 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 管脚说明 编号 LQFP64/QFN64 名称 类型 说明 P5.3 I/O TxD4_2 O 串口 4 的发送脚 SEG10 O LCD 驱动 SEG 线 TK11 I 触摸按键 P0.5 I/O 标准 IO 口 AD5 I 地址总线 ADC13 I ADC 模拟输入通道 13 T3CLKO O 定时器 3 时钟分频输出 SEG9 O LCD 驱动 SEG 线 P0.6 I/O 标准 IO 口 AD6 I 地址总线 ADC14 I ADC 模拟输入通道 14 T4 I 定时器 4 外部时钟输入 PWMETI2_2 I PWM 外部触发输入脚 2 SEG8 O LCD 驱动 SEG 线 P0.7 I/O 标准 IO 口 AD7 I 地址总线 T4CLKO O 定时器 4 时钟分频输出 SEG7 O LCD 驱动 SEG 线 P6.0 I/O 标准 IO 口 PWM1P_3 I/O PWMA 的捕获输入和脉冲输出正极 SEG36 O P6.1 I/O 标准 IO 口 PWM1N_3 I/O PWMA 的捕获输入和脉冲输出负极 SEG37 O P6.2 I/O ADC6 I PWM2P_3 I/O SEG38 O P6.3 I/O ADC7 I PWM2N_3 I/O SEG39 O LQFP48/QFN48 1 2 3 4 5 6 7 8 深圳国芯人工智能有限公司 1 2 3 4 标准 IO 口 LCD 驱动 SEG 线 LCD 驱动 SEG 线 标准 IO 口 ADC 模拟输入通道 6 PWMB 的捕获输入和脉冲输出正极 LCD 驱动 SEG 线 标准 IO 口 ADC 模拟输入通道 7 PWMB 的捕获输入和脉冲输出负极 LCD 驱动 SEG 线 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 83 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 LQFP64/QFN64 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P1.0 I/O ADC0 I PWM1P I/O RxD2 I 串口 2 的接收脚 SEG6 O LCD 驱动 SEG 线 TK0 I 触摸按键 P1.1 I/O 标准 IO 口 ADC1 I PWM1N I/O TxD2 I 串口 2 的发送脚 SEG5 O LCD 驱动 SEG 线 TK1 I 触摸按键 P4.7 I/O 标准 IO 口 TxD2_2 I 串口 2 的发送脚 SEG4 O LCD 驱动 SEG 线 P1.4 I/O ADC4 I PWM3P I/O PWM3 的捕获输入和脉冲输出正极 MISO I/O SPI 主机输入从机输出 SDA I/O I2C 接口的数据线 SEG1 O LCD 驱动 SEG 线 TK4 I 触摸按键 P1.5 I/O 标准 IO 口 ADC5 I PWM3N I/O PWM3 的捕获输入和脉冲输出负极 SCLK I/O SPI 的时钟脚 SCL I/O I2C 的时钟线 SEG0 O LCD 驱动 SEG 线 TK5 I 触摸按键 P1.6 I/O 标准 IO 口 RxD_3 I PWM4P I/O MCLKO_2 O 主时钟分频输出 XTALO O 外部晶振的输出脚 LQFP48/QFN48 9 10 11 12 13 14 深圳国芯人工智能有限公司 5 6 7 8 9 10 标准 IO 口 ADC 模拟输入通道 0 PWMA 的捕获输入和脉冲输出正极 ADC 模拟输入通道 1 PWMA 的捕获输入和脉冲输出负极 标准 IO 口 ADC 模拟输入通道 4 ADC 模拟输入通道 5 串口 1 的接收脚 PWM4 的捕获输入和脉冲输出正极 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 84 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 LQFP64/QFN64 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P1.7 I/O TxD_3 O PWM4N I/O PWM4 的捕获输入和脉冲输出负极 PWM5_2 I/O PWM5 的捕获输入和脉冲输出 XTALI I P1.3 I/O ADC3 I ADC 模拟输入通道 3 MOSI I/O SPI 主机输出从机输入 PWM2N I/O PWMB 的捕获输入和脉冲输出负极 T2CLKO O 定时器 2 时钟分频输出 TK3 I 触摸按键 TCAP I 触摸按键充放电电容 P5.4 I/O NRST I 复位引脚(低电平复位) MCLKO O 主时钟分频输出 SS_3 I SPI 的从机选择脚(主机为输出) SS I SPI 的从机选择脚(主机为输出) PWM2P I/O PWMB 的捕获输入和脉冲输出正极 PWM6_2 I/O PWM6 的捕获输入和脉冲输出 T2 I 定时器 2 外部时钟输入 ADC2 I ADC 模拟输入通道 2 TK2 I 触摸按键 ADC_ETR I ADC 外部触发脚 Vcc Vcc 电源脚 AVcc Vcc ADC 电源脚 ADC_VRef+ I Gnd Gnd 地线 AGnd Gnd ADC 地线 P4.0 I/O 标准 IO 口 MOSI_3 I/O SPI 主机输出从机输入 P6.4 I/O 标准 IO 口 PWM3P_3 I/O PWM3 的捕获输入和脉冲输出正极 P6.5 I/O 标准 IO 口 PWM3N_3 I/O PWM3 的捕获输入和脉冲输出负极 LQFP48/QFN48 15 16 17 18 11 12 13 14 19 15 20 16 21 17 22 18 23 24 深圳国芯人工智能有限公司 标准 IO 口 串口 1 的发送脚 外部晶振/外部时钟的输入脚 标准 IO 口 标准 IO 口 ADC 外部参考电压源输入脚,要求不高时 可直接接 MCU 的 VCC 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 85 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 LQFP64/QFN64 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P6.6 I/O 标准 IO 口 PWM4P_3 I/O PWM4 的捕获输入和脉冲输出正极 P6.7 I/O 标准 IO 口 PWM4N_3 I/O PWM4 的捕获输入和脉冲输出负极 P3.0 I/O 标准 IO 口 RxD I 串口 1 的接收脚 INT4 I 外部中断 4 P3.1 I/O 标准 IO 口 TxD O P3.2 I/O 标准 IO 口 INT0 I 外部中断 0 SCLK_4 I/O SPI 的时钟脚 SCL_4 I/O I2C 的时钟线 PWMETI I PWM 外部触发输入脚 PWMETI2 I PWM 外部触发输入脚 2 P3.3 I/O 标准 IO 口 INT1 I 外部中断 1 MISO_4 I/O SPI 主机输入从机输出 SDA_4 I/O I2C 接口的数据线 PWM4N_4 I/O PWM4 的捕获输入和脉冲输出负极 PWM7_2 I/O PWM7 的捕获输入和脉冲输出 P3.4 I/O 标准 IO 口 T0 I 定时器 0 外部时钟输入 T1CLKO O 定时器 1 时钟分频输出 MOSI_4 I/O SPI 主机输出从机输入 PWM4P_4 I/O PWM4 的捕获输入和脉冲输出正极 PWM8_2 I/O PWM8 的捕获输入和脉冲输出 CMPO O 比较器输出 P5.0 I/O 标准 IO 口 RxD3_2 I 串口 3 的接收脚 CMP+_2 I 比较器正极输入 COM0 O LCD 驱动 COM 线 TK8 I 触摸按键 P5.1 I/O 标准 IO 口 TxD3_2 O 串口 3 的发送脚 CMP+_3 I 比较器正极输入 COM1 O LCD 驱动 COM 线 TK9 I 触摸按键 LQFP48/QFN48 25 26 27 28 29 30 31 32 33 深圳国芯人工智能有限公司 19 20 21 22 23 24 25 串口 1 的发送脚 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 86 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 LQFP64/QFN64 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P3.5 I/O T1 I 定时器 1 外部时钟输入 T0CLKO O 定时器 0 时钟分频输出 SS_4 I SPI 的从机选择脚(主机为输出) PWMFLT I 增强 PWMA 的外部异常检测脚 PWMFLT2 I 增强 PWMB 的外部异常检测脚 COM2 O LCD 驱动 COM 线 P3.6 I/O 标准 IO 口 INT2 I 外部中断 2 RxD_2 I 串口 1 的接收脚 CMP- I 比较器负极输入 COM3 O LCD 驱动 COM 线 P3.7 I/O 标准 IO 口 INT3 I 外部中断 3 TxD_2 O 串口 1 的发送脚 CMP+ I 比较器正极输入 SEG31 O LCD 驱动 SEG 线 P7.0 I/O SEG35 O P7.1 I/O SEG34 O P7.2 I/O SEG33 O P7.3 I/O PWMETI_3 I PWM 外部触发输入脚 SEG32 O LCD 驱动 SEG 线 P4.1 I/O 标准 IO 口 MISO_3 I/O SPI 主机输入从机输出 CMPO_2 O 比较器输出 PWMETI_2 I PWM 外部触发输入脚 SEG30 O LCD 驱动 SEG 线 LQFP48/QFN48 34 35 36 26 27 28 37 38 39 40 41 深圳国芯人工智能有限公司 29 标准 IO 口 标准 IO 口 LCD 驱动 SEG 线 标准 IO 口 LCD 驱动 SEG 线 标准 IO 口 LCD 驱动 SEG 线 标准 IO 口 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 87 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 LQFP64/QFN64 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P4.2 I/O WR O 外部总线的写信号线 SEG29 O LCD 驱动 SEG 线 P4.3 I/O RxD_4 I SCLK_3 I/O SEG28 O P4.4 I/O RD O 外部总线的读信号线 TxD_4 O 串口 1 的发送脚 SEG27 O LCD 驱动 SEG 线 P2.0 I/O 标准 IO 口 A8 I 地址总线 PWM1P_2 I/O PWMA 的捕获输入和脉冲输出正极 PWM5 I/O PWM5 的捕获输入和脉冲输出 SEG26 O P2.1 I/O 标准 IO 口 A9 I 地址总线 PWM1N_2 I/O PWMA 的捕获输入和脉冲输出负极 PWM6 I/O PWM6 的捕获输入和脉冲输出 SEG25 O P2.2 I/O 标准 IO 口 A10 I 地址总线 SS_2 I SPI 的从机选择脚(主机为输出) PWM2P_2 I/O PWMB 的捕获输入和脉冲输出正极 PWM7 I/O PWM7 的捕获输入和脉冲输出 SEG24 O LQFP48/QFN48 42 43 44 45 46 47 深圳国芯人工智能有限公司 30 31 32 33 34 35 标准 IO 口 标准 IO 口 串口 1 的接收脚 SPI 的时钟脚 LCD 驱动 SEG 线 标准 IO 口 LCD 驱动 SEG 线 LCD 驱动 SEG 线 LCD 驱动 SEG 线 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 88 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 LQFP64/QFN64 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P2.3 I/O 标准 IO 口 A11 I 地址总线 MOSI_2 I/O SPI 主机输出从机输入 PWM2N_2 I/O PWMB 的捕获输入和脉冲输出负极 PWM8 I/O PWM8 的捕获输入和脉冲输出 SEG23 O P2.4 I/O 标准 IO 口 A12 I 地址总线 MISO_2 I/O SPI 主机输入从机输出 SDA_2 I/O I2C 接口的数据线 PWM3P_2 I/O PWM3 的捕获输入和脉冲输出正极 SEG22 O P2.5 I/O 标准 IO 口 A13 I 地址总线 SCLK_2 I/O SPI 的时钟脚 SCL_2 I/O I2C 的时钟线 PWM3N_2 I/O PWM3 的捕获输入和脉冲输出负极 SEG21 O P2.6 I/O 标准 IO 口 A14 I 地址总线 PWM4P_2 I/O SEG20 O LCD 驱动 SEG 线 TK6 I 触摸按键 LQFP48/QFN48 48 49 50 51 深圳国芯人工智能有限公司 36 37 38 39 LCD 驱动 SEG 线 LCD 驱动 SEG 线 LCD 驱动 SEG 线 PWM4 的捕获输入和脉冲输出正极 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 89 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 LQFP64/QFN64 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P2.7 I/O 标准 IO 口 A15 I 地址总线 PWM4N_2 I/O SEG19 O LCD 驱动 SEG 线 TK7 I 触摸按键 P7.4 I/O 标准 IO 口 PWM5_4 I/O PWM5 的捕获输入和脉冲输出 SEG3 O P7.5 I/O 标准 IO 口 PWM6_4 I/O PWM6 的捕获输入和脉冲输出 SEG2 O P7.6 I/O 标准 IO 口 PWM7_4 I/O PWM7 的捕获输入和脉冲输出 SEG1_2 O P7.7 I/O 标准 IO 口 PWM8_4 I/O PWM8 的捕获输入和脉冲输出 SEG0_2 O P4.5 I/O ALE O 地址锁存信号 SEG18 O LCD 驱动 SEG 线 P4.6 I/O RxD2_2 I 串口 2 的接收脚 SEG17 O LCD 驱动 SEG 线 P0.0 I/O 标准 IO 口 AD0 I 地址总线 ADC8 I ADC 模拟输入通道 8 RxD3 I 串口 3 的接收脚 PWM5_3 I/O T3_2 I 定时器 3 外部时钟输入 SEG16 O LCD 驱动 SEG 线 TK12 I 触摸按键 LQFP48/QFN48 52 40 53 54 55 56 57 58 59 深圳国芯人工智能有限公司 41 42 43 PWM4 的捕获输入和脉冲输出负极 LCD 驱动 SEG 线 LCD 驱动 SEG 线 LCD 驱动 SEG 线 LCD 驱动 SEG 线 标准 IO 口 标准 IO 口 PWM5 的捕获输入和脉冲输出 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 90 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 LQFP64/QFN64 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P0.1 I/O 标准 IO 口 AD1 I 地址总线 ADC9 I ADC 模拟输入通道 9 TxD3 O 串口 3 的发送脚 PWM6_3 I/O T3CLKO_2 O 定时器 3 时钟分频输出 SEG15 O LCD 驱动 SEG 线 TK13 I 触摸按键 P0.2 I/O 标准 IO 口 AD2 I 地址总线 ADC10 I ADC 模拟输入通道 10 RxD4 I 串口 4 的接收脚 PWM7_3 I/O T4_2 I 定时器 4 外部时钟输入 SEG14 O LCD 驱动 SEG 线 TK14 I 触摸按键 P0.3 I/O 标准 IO 口 AD3 I 地址总线 ADC11 I ADC 模拟输入通道 11 TxD4 O 串口 4 的发送脚 PWM8_3 I/O T4CLKO_2 O 定时器 4 时钟分频输出 SEG13 O LCD 驱动 SEG 线 TK15 I 触摸按键 P0.4 I/O 标准 IO 口 AD4 I 地址总线 ADC12 I ADC 模拟输入通道 12 T3 I 定时器 3 外部时钟输入 SEG12 O LCD 驱动 SEG 线 P5.2 I/O RxD4_2 I 串口 4 的接收脚 SEG11 O LCD 驱动 SEG 线 TK10 I 触摸按键 LQFP48/QFN48 60 61 62 63 64 深圳国芯人工智能有限公司 44 45 46 47 48 国内分销商电话: 0513-5501 2928/2929/2966 PWM6 的捕获输入和脉冲输出 PWM7 的捕获输入和脉冲输出 PWM8 的捕获输入和脉冲输出 标准 IO 口 传真: 0513-5501 2926/2956/2947 - 91 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 2.8 STC8H1K08TR-36I-TSSOP20/QFN20 (触摸按键/ RTC 系列) 2.8.1  特性及价格(有 16 位硬件乘除法器 MDU16,准 16 位单片机) 选型价格(不需要外部晶振、不需要外部复位,12 位 ADC,15 通道) I/O 1 看 门 狗 复 位 定 时 器 支 持 支 软 本 持 件 身 就 可 在 线 下 直 仿 载 接 真 下 载 供 货 信 息 16 有 有 2 有 有 有 有 有 3 8 有 12 位 有 有 有 4级 有 是 有 是 是 是 是 ¥2 ¥2 STC8H1K17TR 1.9-5.5 17K 256 1K 2 IAP 16 有 有 2 有 有 有 有 有 3 8 有 12 位 有 有 有 4级 有 是 有 是 是 是 是 √ √  超高速 8051 内核(1T),比传统 8051 约快 12 倍以上  指令代码完全兼容传统 8051  29 个中断源,4 级中断优先级  支持在线仿真 工作电压   1.9V~5.5V 工作温度   4月 送样 内核   D/A  8  ) 外 部 管 脚 也 可 掉 电 唤 醒 ) PWM 4K 16 2 SRAM 1K 字 字 节 节 字 节 DPTR 256 万 次 8051 RAM 1.9-5.5 8K ) 10 STC8H1K08TR V 位 乘 除 法 器 QFN20 可 设 置 下 次 更 新 程 序 需 口 令 TSSOP20 程 序 加 密 后 传 输 ( 防 拦 截 ) USB 可 对 外 输 出 时 钟 以 及 下 复 可 位 调 ) 追 频 RS485 A/D ADC 8 PWM T0/T1/T2 定 时 器 互 补 对 称 死 区 控 制 价 格 及 封 装 内 部 高 精 准 时 钟 ( 内 部 高 可 靠 复 位 ( 可 选 复 位 门 槛 电 压 ) 36MHz 16 / 硬 件 掉 电 唤 醒 专 用 定 时 器 比 较 路 器 高 ( 内 速 可 部 当 低 压 ( 路 检 测 中 路 , 断 可 并 作 可 可 外 掉 当 部 电 掉 唤 路 电 醒 检 测 ) 使 用 ) DMA 触 摸 实 按 时 并 键 时 可 掉 钟 电 唤 醒 并 可 掉 电 唤 醒 ( 暂 无 MDU16 I2 C RTC 串 口 并 可 掉 电 唤 醒 DMA SPI I/O 口 均 支 持 中 断 并 可 掉 电 ) 唤 并 醒 可 掉 电 唤 醒 DMA 口 最 万 多 可 次 数 量 增 可 字 减 节 INT0/INT1/INT2/INT3/INT4 强 大 的 双 I/O , 内 部 大 容 量 扩 展 EEPROM 10 idata 单 片 机 型 号 , 内 部 传 统 xdata Flash 工 作 电 压 ( 程 序 存 储 器 中 断 所 ( 有 的 定 时 器 计 位 数 高 器 级 ( DMA 15 传 统 -40℃~85℃(芯片为-40℃~125℃制程,超温度范围应用请参考电气特性章节说明) Flash 存储器  最大 17K 字节 FLASH 程序存储器(ROM),用于存储用户代码  支持用户配置 EEPROM 大小,512 字节单页擦除,擦写次数可达 10 万次以上  支持在系统编程方式(ISP)更新用户应用程序,无需专用编程器  支持单芯片仿真,无需专用仿真器,理论断点个数无限制 SRAM  128 字节内部直接访问 RAM(DATA,C 语言程序中使用 data 关键字进行声明)  128 字节内部间接访问 RAM(IDATA,C 语言程序中使用 idata 关键字进行声明)  1024 字节内部扩展 RAM(内部 XDATA,C 语言程序中使用 xdata 关键字进行声明) 时钟控制  内部高精度 IRC(4MHz~36MHz,ISP 编程时选择或手动输入,还可以用户软件分频到较低的频率工作, 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 92 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 如 100KHz)  误差±0.3%(常温下 25℃)  -1.35%~+1.30%温漂(全温度范围,-40℃~85℃)  -0.76%~+0.98%温漂(温度范围,-20℃~65℃)  内部 32KHz 低速 IRC(误差较大)  外部晶振(4MHz~36MHz)和外部时钟 用户可自由选择上面的 3 种时钟源  复位  硬件复位  上电复位,实测电压值为 1.69V~1.82V。(在芯片未使能低压复位功能时有效) 上电复位电压由一个上限电压和一个下限电压组成的电压范围,当工作电压从 5V/3.3V 向下掉到上 电复位的下限门槛电压时,芯片处于复位状态;当电压从 0V 上升到上电复位的上限门槛电压时, 芯片解除复位状态。  复位脚复位,出厂时 P5.4 默认为 I/O 口,ISP 下载时可将 P5.4 管脚设置为复位脚(注意:当设置 P5.4 管脚为复位脚时,复位电平为低电平)  看门狗溢出复位  低压检测复位,提供 4 级低压检测电压:1.9V、2.3V、2.8V、3.7V。 每级低压检测电压都是由一个上限电压和一个下限电压组成的电压范围,当工作电压从 5V/3.3V 向 下掉到低压检测的下限门槛电压时,低压检测生效;当电压从 0V 上升到低压检测的上限门槛电压 时,低压检测生效。  软件复位   软件方式写复位触发寄存器 中断  提供 29 个中断源:INT0(支持上升沿和下降沿中断)、INT1(支持上升沿和下降沿中断)、INT2(只支 持下降沿中断)、INT3(只支持下降沿中断)、INT4(只支持下降沿中断)、定时器 0、定时器 1、定时 器 2、串口 1、串口 2、ADC 模数转换、LVD 低压检测、SPI、I2C、比较器、PWMA、PWMB、RTC、TKS、 P1 口中断、P3 口中断、P5 口中断、串口 1 的 DMA 接收和发送中断、串口 2 的 DMA 接收和发送中断、 SPI 的 DMA 中断、ADC 的 DMA 中断以及存储器到存储器的 DMA 中断。  提供 4 级中断优先级  时钟停振模式下可以唤醒的中断:INT0(P3.2)、INT1(P3.3)、INT2(P3.6)、INT3(P3.7)、INT4(P3.0)、T0(P3.4)、 T1(P3.5)、T2(P1.2)、RXD(P3.0/P3.6/P1.6)、RXD2(P1.0)、I2C_SDA(P1.4/P3.3)、SPI_SS(P5.4/ P3.5)以及比 较器中断、低压检测中断、掉电唤醒定时器唤醒以及所有端口的 I/O 中断。  数字外设  3 个 16 位定时器:定时器 0、定时器 1、定时器 2,其中定时器 0 的模式 3 具有 NMI(不可屏蔽中断)功 能,定时器 0 和定时器 1 的模式 0 为 16 位自动重载模式  2 个高速串口:串口 1、串口 2,波特率时钟源最快可为 FOSC/4  8 路/2 组高级 PWM,可实现带死区的控制信号,并支持外部异常检测功能,另外还支持 16 位定时器、8 个外部中断、8 路外部捕获测量脉宽等功能  SPI:支持主机模式和从机模式以及主机/从机自动切换  I2C:支持主机模式和从机模式  MDU16:硬件 16 位乘除法器(支持 32 位除以 16 位、16 位除以 16 位、16 位乘 16 位、数据移位以及数  RTC:支持年、月、日、时、分、秒、次秒(1/128 秒),并支持时钟中断和一组闹钟  I/O 口中断:所有的 I/O 均支持中断,每组 I/O 中断有独立的中断入口地址,所有的 I/O 中断可支持 4 种 据规格化等运算) 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 93 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 中断模式:高电平中断、低电平中断、上升沿中断、下降沿中断。提供 4 级中断优先级并支持掉电唤醒功 能。  DMA:支持 Memory-To-Memory、SPI、UART1TX/UART1RX、UART2TX/UART2RX、ADC(自动计算 多次 ADC 结果的平均值)  模拟外设  超高速 ADC,支持 12 位高精度 15 通道(通道 0~通道 14)的模数转换,速度最快能达到 800K(每秒进 行 80 万次 ADC 转换)  ADC 的通道 15 用于测试内部 1.19V 参考信号源(芯片在出厂时,内部参考信号源已调整为 1.19V)  比较器,一组比较器(比较器的正端可选择 CMP+端口和所有的 ADC 输入端口,所以比较器可当作多路 比较器进行分时复用)  触摸按键:最多支持 16 个触摸按键;每个触摸按键能够独立使能;内部参考电压 4 级可调;灵活的充放 电时间设置以及内部工作频率设置;支持低功耗触摸唤醒   DAC:8 路高级 PWM 定时器可当 8 路 DAC 使用 GPIO  最多可达 16 个 GPIO:P1.0~ P1.7(无 P1.2)、P3.0~P3.7、P5.4  所有的 GPIO 均支持如下 4 种模式:准双向口模式、强推挽输出模式、开漏输出模式、高阻输入模式  除 P3.0 和 P3.1 外,其余所有 IO 口上电后的状态均为高阻输入状态,用户在使用 IO 口时必须先设置 IO 口模式。另外每个 I/O 均可独立使能内部 4K 上拉电阻  封装   TSSOP20、QFN20 特别说明  本系列芯片有 CHIPID 功能  本系列芯片有所有的 I/O 口中断功能,有 4 级中断优先级,可掉电唤醒  本系列芯片的比较器为 4P+2N 版本 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 94 - STC8H 系列技术手册 2.8.2 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 管脚图,最小系统 1 2 3 4 5 6 7 8 9 10 TSSOP20 TK4/SDA/MISO/PWM3P/ADC4/P1.4 TK5/SCL/SCLK/PWM3N/ADC5/P1.5 TK6/XTALO/MCLKO_2/RxD_3/PWM4P/ADC6/P1.6 TK7/XTALI/PWM5_2/TxD_3/PWM4N/ADC7/P1.7 TK3/CMPO_2/T2CLKO/MOSI/PWM2N/ADC3/P1.3 TCap ADC_ETR/TK2/T2/ADC2/PWM6_2/PWM2P/SS/MCLKO/NRST/P5.4 MCU-VCC Vcc/AVcc 22u 0.1u ADC_VRef+ Gnd/AGnd 20 19 18 17 16 15 14 13 12 11 P1.1/ADC1/TxD2/PWM1N/CMP+_3/TK1 P1.0/ADC0/RxD2/PWM1P/CMP+_2/TK0 P3.7/INT3/TxD_2/CMP+/TK15 P3.6/ADC14/INT2/RxD_2/CMP-/TK14 P3.5/ADC13/T1/T0CLKO/SS_4/PWMFLT/PWMFLT2/TK13 P3.4/ADC12/T0/T1CLKO/MOSI_4/PWM4P_2/PWM8_2/CMPO/TK12 P3.3/ADC11/INT1/MISO_4/SDA_4/PWM4N_2/PWM7_2/TK11 P3.2/ADC10/INT0/SCLK_4/SCL_4/PWMETI/PWMETI2/TK10 P3.1/ADC9/TxD/TK9 P3.0/ADC8/RxD/INT4/TK8 注意: 1、ADC的外部参考电源管脚ADC_VRef+,一定不能浮空, 必须接外部参考电源或者直接连到Vcc 2、若不需要进行USB下载,芯片复位时P3.0/P3.1/P3.2 不可同时为低电平 MCU-VCC P3.0 P3.1 Gnd 连接 电脑/PC 通用USB转串口工具 (人民币30元) ISP下载步骤: 1、按照如图所示的连接方式将通用USB转串口工具和目标芯片连接 2、按下电源按钮,确定目标芯片处于停电状态(上电指示灯为灭的状态)。 注意:工具第一次上电时是不对外供电的,因此若是第一次上电使用此工具,可跳过此步。 3、点击STC-ISP下载软件中的“下载/编程”按钮 4、再次按下电源按钮,给目标芯片上电(上电指示灯为亮的状态) 5、开始ISP下载 注意:目前有发现使用USB线供电进行ISP下载时,由于USB线太细,在USB线上的压降过大,导致ISP 下载时供电不足,所以请在使用USB线供电进行ISP下载时,务必使用USB加强线。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 95 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 TSSOP20 2 3 4 5 6 选型顾问: 13922805190 管脚说明 2.8.3 1 技术支持: 19864585985 名称 类型 说明 P1.4 I/O ADC4 I PWM3P I/O PWM3 的捕获输入和脉冲输出正极 MISO I/O SPI 主机输入从机输出 SDA I/O I2C 接口的数据线 TK4 I 触摸按键 P1.5 I/O 标准 IO 口 ADC5 I PWM3N I/O PWM3 的捕获输入和脉冲输出负极 SCLK I/O SPI 的时钟脚 SCL I/O I2C 的时钟线 TK5 I 触摸按键 P1.6 I/O 标准 IO 口 ADC6 I ADC 模拟输入通道 6 RxD_3 I 串口 1 的接收脚 PWM4P I/O MCLKO_2 O 主时钟分频输出 XTALO O 外部晶振的输出脚 TK6 I 触摸按键 P1.7 I/O 标准 IO 口 ADC7 I ADC 模拟输入通道 7 TxD_3 O 串口 1 的发送脚 PWM4N I/O PWM4 的捕获输入和脉冲输出负极 PWM5_2 I/O PWM5 的捕获输入和脉冲输出 XTALI I 外部晶振/外部时钟的输入脚 TK7 I 触摸按键 P1.3 I/O 标准 IO 口 ADC3 I ADC 模拟输入通道 3 MOSI I/O SPI 主机输出从机输入 PWM2N I/O PWMB 的捕获输入和脉冲输出负极 T2CLKO O 定时器 2 时钟分频输出 CMPO_2 O 比较器输出 TK7 I 触摸按键 TCAP I 触摸按键充放电电容 QFN20 18 19 20 1 2 3 深圳国芯人工智能有限公司 标准 IO 口 ADC 模拟输入通道 4 ADC 模拟输入通道 5 PWM4 的捕获输入和脉冲输出正极 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 96 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 TSSOP20 7 4 5 9 6 10 7 12 13 选型顾问: 13922805190 名称 类型 说明 P5.4 I/O NRST I 复位引脚(低电平复位) MCLKO O 主时钟分频输出 SS I SPI 的从机选择脚(主机为输出) PWM2P I/O PWMB 的捕获输入和脉冲输出正极 PWM6_2 I/O PWM6 的捕获输入和脉冲输出 T2 I 定时器 2 外部时钟输入 ADC2 I ADC 模拟输入通道 2 TK2 I 触摸按键 ADC_ETR I ADC 外部触发脚 Vcc Vcc 电源脚 AVcc Vcc ADC 电源脚 ADC_VRef+ I Gnd Gnd 地线 AGnd Gnd ADC 地线 P3.0 I/O 标准 IO 口 RxD I 串口 1 的接收脚 INT4 I 外部中断 4 ADC8 I ADC 模拟输入通道 8 TK8 I 触摸按键 P3.1 I/O 标准 IO 口 TxD O 串口 1 的发送脚 ADC9 I ADC 模拟输入通道 9 TK9 I 触摸按键 P3.2 I/O 标准 IO 口 INT0 I 外部中断 0 SCLK_4 I/O SPI 的时钟脚 SCL_4 I/O I2C 的时钟线 PWMETI I PWM 外部触发输入脚 PWMETI2 I PWM 外部触发输入脚 2 ADC10 I ADC 模拟输入通道 10 TK10 I 触摸按键 QFN20 8 11 技术支持: 19864585985 8 9 10 深圳国芯人工智能有限公司 标准 IO 口 ADC 外部参考电压源输入脚,要求不高时可直接接 MCU 的 VCC 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 97 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 TSSOP20 14 15 16 17 18 技术支持: 19864585985 名称 类型 P3.3 I/O 标准 IO 口 INT1 I 外部中断 1 MISO_4 I/O SPI 主机输入从机输出 SDA_4 I/O I2C 接口的数据线 PWM4N_4 I/O PWM4 的捕获输入和脉冲输出负极 PWM7_2 I/O PWM7 的捕获输入和脉冲输出 ADC11 I ADC 模拟输入通道 11 TK11 I 触摸按键 P3.4 I/O 标准 IO 口 T0 I 定时器 0 外部时钟输入 T1CLKO O 定时器 1 时钟分频输出 MOSI_4 I/O SPI 主机输出从机输入 PWM4P_4 I/O PWM4 的捕获输入和脉冲输出正极 PWM8_2 I/O PWM8 的捕获输入和脉冲输出 CMPO O 比较器输出 ADC12 I ADC 模拟输入通道 12 TK12 I 触摸按键 P3.5 I/O 标准 IO 口 T1 I 定时器 1 外部时钟输入 T0CLKO O 定时器 0 时钟分频输出 SS_4 I SPI 的从机选择脚(主机为输出) PWMFLT I 增强 PWMA 的外部异常检测脚 PWMFLT2 I 增强 PWMB 的外部异常检测脚 ADC13 I ADC 模拟输入通道 13 TK13 I 触摸按键 P3.6 I/O 标准 IO 口 INT2 I 外部中断 2 RxD_2 I 串口 1 的接收脚 CMP- I 比较器负极输入 ADC14 I ADC 模拟输入通道 14 TK14 I 触摸按键 P3.7 I/O 标准 IO 口 INT3 I 外部中断 3 TxD_2 O 串口 1 的发送脚 CMP+ I 比较器正极输入 TK15 I 触摸按键 选型顾问: 13922805190 说明 QFN20 11 12 13 14 15 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 98 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 TSSOP20 19 20 技术支持: 19864585985 名称 类型 P1.0 I/O ADC0 I PWM1P I/O RxD2 I 串口 2 的接收脚 TK0 I 触摸按键 CMP+_2 I 比较器正极输入 P1.1 I/O ADC1 I PWM1N I/O TxD2 I 串口 2 的发送脚 TK1 I 触摸按键 CMP+_3 I 比较器正极输入 选型顾问: 13922805190 说明 QFN20 16 17 深圳国芯人工智能有限公司 标准 IO 口 ADC 模拟输入通道 0 PWMA 的捕获输入和脉冲输出正极 标准 IO 口 ADC 模拟输入通道 1 PWMA 的捕获输入和脉冲输出负极 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 99 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 2.9 STC32G12K128-LQFP64/48/32,PDIP40(新品预告) 特性及价格 2.9.1  本 支 持 直 身 接 就 下 可 载 在 线 下 和 仿 载 硬 真 件 供 货 信 息 有 有 有 有 2 2 2 有 有 有 有 有 5 8 有 12 位 有 有 有 4级 有 是 有 是 是 是 是 ¥5 ¥5 √ √ STC32G12K128 1.9-5.5 128K 4K 8K 2 IAP 60 有 有 有 有 2 2 2 有 有 有 有 有 5 8 有 12 位 有 有 有 4级 有 是 有 是 是 是 是 ¥5 ¥5 √ D/A  仿 真 内核  超高速 32 位 8051 内核(1T),比传统 8051 约快 70 倍以上  49 个中断源,4 级中断优先级  支持在线仿真 工作电压  1.9V~5.5V  内建 LDO 工作温度   8  )  16  位 USB 60 位 和 PWM 64K 字 节 USB 2 ) 万 次 (8 8K 10 STC32G12K64 1.9-5.5 64K 4K V PDIP40 可 设 置 下 次 更 新 程 序 需 口 令 LQFP32 程 序 加 密 后 传 输 ( 防 拦 截 ) LQFP48 可 对 外 输 出 时 钟 以 及 下 复 可 位 调 ) 追 频 LQFP64 看 门 狗 复 位 定 时 器 支 持 硬 件 RS485 A/D 32 内 部 低 压 检 测 中 断 并 可 掉 电 唤 醒 内 部 高 精 准 时 钟 ( 内 部 高 可 靠 复 位 ( 可 选 复 位 门 槛 电 压 ) 价 格 及 封 装 USB 1 ADC 8 PWM T0/T1/T2/T3/T4 比 较 路 器 高 ( 速 可 当 掉 电 唤 ( 路 醒 专 路 , 用 可 定 作 时 器 可 外 当 部 掉 路 电 检 测 ) 使 用 ) 33MHz 16 DMA 15 / DMA I2C MDU32 DMA SPI LIN / 异 步 串 口 并 可 掉 电 唤 醒 定 时 器 计 数 位 器 高 ( 级 同 硬 全 定 步 件 速 时 并 并 异 器 总 可 可 位 步 总 线 掉 掉 乘 外 互 串 线 电 电 除 部 补 口 唤 唤 法 管 对 并 醒 醒 器 脚 称 可 掉 也 死 电 可 区 唤 掉 控 醒 电 制 唤 醒 ) CAN 实 时 模 时 块 钟 驱 动 DMA USART LCM RTC 接 口 DMA UART I/O INT0/INT1/INT2/INT3/INT4 SRAM DPTR 口 最 多 万 数 可 次 可 量 增 做 堆 可 可 字 栈 做 减 节 或 变 变 量 量 字 字 节 节 口 均 支 持 中 断 并 可 掉 电 ) 唤 并 醒 可 掉 电 唤 醒 DMA 8080/6800 I/O 中 断 所 ( 有 的 I/O DATA RAM 内 部 内 扩 部 展 大 强 容 大 量 的 扩 双 展 EEPROM 10 Flash 工 作 电 压 ( 传 统 xdata edata 单 片 机 型 号 程 序 存 储 器 选型价格(不需要外部晶振、不需要外部复位,12 位 ADC,15 通道) -40℃~85℃ Flash 存储器  最大 128K 字节 FLASH 程序存储器(ROM),用于存储用户代码  支持用户配置 EEPROM 大小,512 字节单页擦除,擦写次数可达 10 万次以上  支持在系统编程方式(ISP)更新用户应用程序,无需专用编程器  支持单芯片仿真,无需专用仿真器,理论断点个数无限制  支持 SWD 接口的硬件仿真(需要使用 STC-USB Link1 工具) SRAM  4K 字节内部 SRAM(EDATA)  8K 字节内部扩展 RAM(内部 XDATA)  xdata 使用注意: 定义变量时可将单字节变量定义在 xdata 里面,多字节(2 字节、4 字节)变量需要定义在 edata 里面。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 100 - 2 月小 批量 4 月大 √ 批量 STC8H 系列技术手册  官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 时钟控制  内部高精度 IRC(ISP 编程时可进行上下调整)  误差±0.3%(常温下 25℃)  -1.35%~+1.30%温漂(全温度范围,-40℃~85℃)  -0.76%~+0.98%温漂(温度范围,-20℃~65℃)  内部 32KHz 低速 IRC(误差较大)  外部晶振(4MHz~33MHz)和外部时钟  内部 PLL 输出时钟 用户可自由选择上面的 4 种时钟源  复位  硬件复位  上电复位,复位电压值为 1.7V~1.9V。(在芯片未使能低压复位功能时有效)  复位脚复位,出厂时 P5.4 默认为 I/O 口,ISP 下载时可将 P5.4 管脚设置为复位脚(注意:当设置 P5.4 管脚为复位脚时,复位电平为低电平)   看门狗溢出复位  低压检测复位,提供 4 级低压检测电压:2.0V、2.4V、2.7V、3.0V。 软件复位   软件方式写复位触发寄存器 中断  提供 49 个中断源:INT0、INT1、INT2、INT3、INT4、定时器 0、定时器 1、定时器 2、定时器 3、定时 器 4、USART1、USART2、UART3、UART4、ADC 模数转换、LVD 低压检测、SPI、I2C、比较器、PWMA、 PWMB、USB、CAN、CAN2、LIN、LCMIF 彩屏接口中断、RTC 实时时钟、所有的 I/O 中断(8 组)、 串口 1 的 DMA 接收和发送中断、串口 2 的 DMA 接收和发送中断、串口 3 的 DMA 接收和发送中断、串 口 4 的 DMA 接收和发送中断、I2C 的 DMA 接收和发送中断、SPI 的 DMA 中断、ADC 的 DMA 中断、 LCD 驱动的 DMA 中断以及存储器到存储器的 DMA 中断。   提供 4 级中断优先级 数字外设  5 个 16 位定时器:定时器 0、定时器 1、定时器 2、定时器 3、定时器 4,其中定时器 0 的模式 3 具有 NMI (不可屏蔽中断)功能,定时器 0 和定时器 1 的模式 0 为 16 位自动重载模式  2 个高速同步/异步串口:串口 1(USART1)、串口 2(USART2),波特率时钟源最快可为 FOSC/4。支 持同步串口模式、异步串口模式、SPI 模式、LIN 模式、红外模式(IrDA)、智能卡模式(ISO7816)  2 个高速异步串口:串口 3、串口 4,波特率时钟源最快可为 FOSC/4  2 组高级 PWM,可实现 8 通道(4 组互补对称)带死区的控制的 PWM,并支持外部异常检测功能  SPI:支持主机模式和从机模式以及主机/从机自动切换  I2C:支持主机模式和从机模式  ICE:硬件支持仿真  RTC:支持年、月、日、时、分、秒、次秒(1/128 秒),并支持时钟中断和一组闹钟  USB:USB2.0/USB1.1 兼容全速 USB,6 个双向端点,支持 4 种端点传输模式(控制传输、中断传输、批 量传输和同步传输),每个端点拥有 64 字节的缓冲区  CAN:两个独立的 CAN 2.0 控制单元  LIN:一个独立 LIN 控制单元(支持 1.3 和 2.1 版本),USART1 和 USART2 可支持两组 LIN  MDU32:硬件 32 位乘除法器(包含 32 位除以 32 位、32 位乘以 32 位)  I/O 口中断:所有的 I/O 均支持中断,每组 I/O 中断有独立的中断入口地址,所有的 I/O 中断可支持 4 种 中断模式:高电平中断、低电平中断、上升沿中断、下降沿中断。I/O 口中断可以进行掉电唤醒,且有 4 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 101 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 级中断优先级。  LCD 驱动模块:支持 8080 和 6800 两种接口以及 8 位和 16 位数据宽度  DMA:支持 SPI 移位接收数据到存储器、SPI 移位发送存储器的数据、I2C 发送存储器的数据、I2C 接收 数据到存储器、串口 1/2/3/4 接收数据到的存储器、串口 1/2/3/4 发送存储器的数据、ADC 自动采样数据 到存储器(同时计算平均值)、LCD 驱动发送存储器的数据、以及存储器到存储器的数据复制   硬件数字 ID:支持 32 字节 模拟外设  ADC:超高速 ADC,支持 12 位高精度 15 通道(通道 0~通道 14)的模数转换,ADC 的通道 15 用于测 试内部参考电压(芯片在出厂时,内部参考电压调整为 1.19V,误差±1%)   比较器:一组比较器 GPIO  最多可达 60 个 GPIO:P0.0~P0.7、P1.0~ P1.7(无 P1.2)、P2.0~P2.7、P3.0~P3.7、P4.0~P4.7、P5.0~P5.4、 P6.0~P6.7、P7.0~P7.7  所有的 GPIO 均支持如下 4 种模式:准双向口模式、强推挽输出模式、开漏输出模式、高阻输入模式  除 P3.0 和 P3.1 外,其余所有 IO 口上电后的状态均为高阻输入状态,用户在使用 IO 口时必须先设置 IO 口模式   另外每个 I/O 均可独立使能内部 4K 上拉电阻 封装  LQFP64、LQFP48、LQFP32、PDIP40 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 102 - STC8H 系列技术手册 技术支持: 19864585985 选型顾问: 13922805190 管脚图,最小系统 STC32G12K128 LQFP64 32 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 P5.0/RxD3_2/CMP+_2/CAN_RX_2 P3.4/T0/T1CLKO/MOSI_4/PWM4P_4/PWM8_2/CMPO P3.3/INT1/MISO_4/SDA_4/PWM4N_4/PWM7_2 P3.2/INT0/SCLK_4/SCL_4/PWMETI/PWMETI2 P3.1/TxD/D+ P3.0/RxD/D-/INT4 P6.7/PWM4N_3/S1SCLK_4 P6.6/PWM4P_3/S1MISO_4 P6.5/PWM3N_3/S1MOSI_4 P6.4/PWM3P_3/S1SS_4 P4.0/MOSI_3/S1MOSI_3/S2MOSI_3 GND/AGND/VREFVREF+ VCC/AVCC P5.4/RST/MCLKO/SS/SS_3/S1SS/S1SS_3/S2SS/S2SS_3/PWM2P/PWM6_2/ADC2/T2 UCAP LIN_TX_2/CAN2_TX_2/TxD4_2/P5.3 T3CLKO/ADC13/AD5/P0.5 PWMETI2_2/T4/ADC14/AD6/P0.6 T4CLKO/AD7/P0.7 PWM1P_3/P6.0 PWM1N_3/P6.1 PWM2P_3/P6.2 PWM2N_3/P6.3 RxD2/PWM1P/ADC0/P1.0 TxD2/PWM1N/ADC1/P1.1 LIN_TX_3/CAN2_TX_3/TxD2_2/P4.7 SDA/S2MISO/S2MISO/MISO/PWM3P/ADC4/P1.4 SCL/S2SCLK/S1SCLK/SCLK/PWM3N/ADC5/P1.5 XTALO/MCLKO_2/RxD_3/PWM4P/ADC6/P1.6 XTALI/PWM5_2/TxD_3/PWM4N/ADC7/P1.7 T2CLKO/S2MOSI/S1MOSI/MOSI/PWM2N/ADC3/P1.3 S2MISO_2/S1MISO_2/MISO_2/SDA_2/PWM3P_2/A12/P2.4 S2SCLK_2/S1SCLK_2/SCLK_2/SCL_2/PWM3N_2/A13/P2.5 PWM4P_2/A14/P2.6 PWM4N_2/A15/P2.7 S2SS_4/PWM5_4/P7.4 S2MOSI_4/PWM6_4/P7.5 S2MISO_4/PWM7_4/P7.6 S2SCLK_4/PWM8_4/P7.7 CAN_TX_3/ALE/P4.5 LIN_RX_3/CAN2_RX_3/RxD2_2/P4.6 CAN_RX/T3_2/PWM5_3/RxD3/ADC8/AD0/P0.0 CAN_TX/T3CLKO_2/PWM6_3/TxD3/ADC9/AD1/P0.1 LIN_RX/CAN2_RX/T4_2/PWM7_3/RxD4/ADC10/AD2/P0.2 LIN_TX/CAN2_TX/T4CLKO_2/PWM8_3/TxD4/ADC11/AD3/P0.3 T3/ADC12/AD4/P0.4 LIN_RX_2/CAN2_RX_2/RxD4_2/P5.2 注意: 1、除P3.0和P3.1外,其余所有I/O口上电后的状态均为高阻输入状态, 用户在使用I/O口时必须先设置I/O口模式 2、所有的I/O口均可以设置为准双向口模式、强推挽输出模式、开漏输 出模式或者高阻输入模式,另外每个I/O均可独立使能内部4K上拉电阻 3、当使能P5.4口为复位脚时,复位电平为低电平 4、ADC的外部参考电源管脚ADC_VRef+,一定不能浮空,必须接外部参考 电源或者直接连到Vcc 5、若不需要进行USB下载,芯片复位时P3.0/P3.1/P3.2不可同时为低电平 48 47 46 45 44 43 42 41 40 39 38 37 36 35 34 33 P2.3/A11/PWM2N_2/PWM8/MOSI_2/S1MOSI_2/S2MOSI_2 P2.2/A10/PWM2P_2/PWM7/SS_2/S1SS_2/S2SS_2 P2.1/A9/PWM1N_2/PWM6 P2.0/A8/PWM1P_2/PWM5 P4.4/RD/TxD_4 P4.3/RxD_4/SCLK_3/S1SCLK_3/S2SCLK_3 P4.2/WR/CAN_RX_3 P4.1/MISO_3/S1MISO_3/S2MISO_3/CMPO_2/PWMETI_2 P7.3/CAN2_TX_4/LIN_TX_4/PWMETI_3 P7.2/CAN2_RX_4/LIN_RX_4 P7.1/CAN_TX_4 P7.0/CAN_RX_4 P3.7/INT3/TxD_2/CMP+ P3.6/INT2/RxD_2/CMPP3.5/T1/T0CLKO/SS_4/PWMFLT P5.1/TxD3_2/CMP+_3/CAN_TX_2 2.9.2 官方网站: www.STCMCUDATA.com 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 103 - 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 P2.3/A11/PWM2N_2/PWM8/MOSI_2/S1MOSI_2/S2MOSI_2 P2.2/A10/PWM2P_2/PWM7/SS_2/S1SS_2/S2SS_2 P2.1/A9/PWM1N_2/PWM6 P2.0/A8/PWM1P_2/PWM5 P4.4/RD/TxD_4 P4.3/RxD_4/SCLK_3/S1SCLK_3/S2SCLK_3 P4.2/WR/CAN_RX_3 P4.1/MISO_3/S1MISO_3/S2MISO_3/CMPO_2/PWMETI_2 P3.7/INT3/TxD_2/CMP+ P3.6/INT2/RxD_2/CMPP3.5/T1/T0CLKO/SS_4/PWMFLT/PWMFLT2 P5.1/TxD3_2/CAN_TX_2 STC8H 系列技术手册 选型顾问: 13922805190 36 35 34 33 32 31 30 29 28 27 26 25 注意: 1、除P3.0和P3.1外,其余所有I/O口上电后的状态均为高阻输入状态, 用户在使用I/O口时必须先设置I/O口模式 2、所有的I/O口均可以设置为准双向口模式、强推挽输出模式、开漏输 出模式或者高阻输入模式,另外每个I/O均可独立使能内部4K上拉电阻 3、当使能P5.4口为复位脚时,复位电平为低电平 4、ADC的外部参考电源管脚ADC_VRef+,一定不能浮空,必须接外部参考 电源或者直接连到Vcc 5、若不需要进行USB下载,芯片复位时P3.0/P3.1/P3.2不可同时为低电平 STC32G12K128 LQFP48 24 23 22 21 20 19 18 17 16 15 14 13 P5.0/RxD3_2/CAN_RX_2 P3.4/T0/T1CLKO/MOSI_4/PWM4P_4/PWM8_2/CMPO P3.3/INT1/MISO_4/SDA_4/PWM4N_4/PWM7_2 P3.2/INT0/SCLK_4/SCL_4/PWMETI/PWMETI2 P3.1/TxD/D+ P3.0/RxD/D-/INT4 P4.0/MOSI_3/S1MOSI_3/S2MOSI_3 Gnd/AGnd ADC_VRef+ Vcc/AVcc P5.4/RST/MCLKO/SS/SS_3/S1SS/S1SS_3/S2SS/S2SS_3/PWM2P/PWM6_2/ADC2/T2 UCap 1 2 3 4 5 6 7 8 9 10 11 12 37 38 39 40 41 42 43 44 45 46 47 48 LIN_TX_2/CAN_TX_2/TxD4_2/P5.3 T3CLKO/ADC13/AD5/P0.5 PWMETI2_2/T4/ADC14/AD6/P0.6 T4CLKO/AD7/P0.7 RxD2/PWM1P/ADC0/P1.0 TxD2/PWM1N/ADC1/P1.1 LIN_TX_3/CAN_TX_3/TxD2_2/P4.7 SDA/S2MISO/S2MISO/MISO/PWM3P/ADC4/P1.4 SCL/S2SCLK/S1SCLK/SCLK/PWM3N/ADC5/P1.5 XTALO/MCLKO_2/RxD_3/PWM4P/ADC6/P1.6 XTALI/PWM5_2/TxD_3/PWM4N/ADC7/P1.7 T2CLKO/S2MOSI/S1MOSI/MOSI/PWM2N/ADC3/P1.3 S2MISO_2/S1MISO_2/MISO_2/SDA_2/PWM3P_2/A12/P2.4 S2SCLK_2/S1SCLK_2/SCLK_2/SCL_2/PWM3N_2/A13/P2.5 PWM4P_2/A14/P2.6 PWM4N_2/A15/P2.7 CAN_TX_3/ALE/P4.5 LIN_RX_3/CAN2_RX_3/RxD2_2/P4.6 CAN_RX/T3_2/PWM5_3/RxD3/ADC8/AD0/P0.0 CAN_TX/T3CLKO_2/PWM6_3/TxD3/ADC9/AD1/P0.1 LIN_RX/CAN2_RX/T4_2/PWM7_3/RxD4/ADC10/AD2/P0.2 LIN_TX/CAN2_TXT4CLKO_2/PWM8_3/TxD4/ADC11/AD3/P0.3 T3/ADC12/AD4/P0.4 LIN_RX_2/CAN2_RX_2/RxD4_2/P5.2 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 104 - STC8H 系列技术手册 2.9.3 官方网站: www.STCMCUDATA.com 1 2 3 4 1 2 3 4 6 7 8 10 名称 类型 说明 P5.3 I/O TxD4_2 O 串口 4 的发送脚 CAN2_TX_2 O CAN2 总线发送脚 LIN_TX_2 O LIN 总线发送脚 P0.5 I/O 标准 IO 口 AD5 I 地址总线 ADC13 I ADC 模拟输入通道 13 T3CLKO O 定时器 3 时钟分频输出 P0.6 I/O 标准 IO 口 AD6 I 地址总线 ADC14 I ADC 模拟输入通道 14 T4 I 定时器 4 外部时钟输入 PWMFLT2_2 I 增强 PWM 的外部异常检测脚 P0.7 I/O 标准 IO 口 AD7 I 地址总线 T4CLKO O 定时器 4 时钟分频输出 P6.0 I/O 标准 IO 口 PWM1P_3 I/O PWM1 的捕获输入和脉冲输出正极 P6.1 I/O 标准 IO 口 PWM1N_3 I/O PWM1 的捕获输入和脉冲输出负极 P6.2 I/O 标准 IO 口 PWM2P_3 I/O PWM2 的捕获输入和脉冲输出正极 P6.3 I/O 标准 IO 口 PWM2N_3 I/O PWM2 的捕获输入和脉冲输出负极 P1.0 I/O 标准 IO 口 ADC0 I PWM1P I/O RxD2 I P1.1 I/O ADC1 I PWM1N I/O TxD2 I LQFP48 5 9 选型顾问: 13922805190 管脚说明 编号 LQFP64 技术支持: 19864585985 5 6 深圳国芯人工智能有限公司 标准 IO 口 ADC 模拟输入通道 0 PWM1 的捕获输入和脉冲输出正极 串口 2 的接收脚 标准 IO 口 ADC 模拟输入通道 1 PWM1 的捕获输入和脉冲输出负极 串口 2 的发送脚 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 105 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 LQFP64 11 12 13 14 15 16 17 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P4.7 I/O TxD2_2 I 串口 2 的发送脚 CAN2_TX_3 O CAN2 总线发送脚 LIN_TX_3 O LIN 总线发送脚 P1.4 I/O ADC4 I PWM3P I/O PWM3 的捕获输入和脉冲输出正极 MISO I/O SPI 主机输入从机输出 S1MISO I/O USART1-SPI 主机输入从机输出 S2MISO I/O USART2-SPI 主机输入从机输出 SDA I/O I2C 接口的数据线 P1.5 I/O 标准 IO 口 ADC5 I PWM3N I/O PWM3 的捕获输入和脉冲输出负极 SCLK I/O SPI 的时钟脚 S1SCLK I/O USART1-SPI 的时钟脚 S2SCLK I/O USART2-SPI 的时钟脚 SCL I/O I2C 的时钟线 P1.6 I/O 标准 IO 口 ADC6 I ADC 模拟输入通道 6 RxD_3 I 串口 1 的接收脚 PWM4P I/O MCLKO_2 O 主时钟分频输出 XTALO O 外部晶振的输出脚 P1.7 I/O ADC7 I ADC 模拟输入通道 7 TxD_3 O 串口 1 的发送脚 PWM4N I/O PWM4 的捕获输入和脉冲输出负极 PWM5_2 I/O PWM5 的捕获输入和脉冲输出 XTALI I P1.3 I/O ADC3 I ADC 模拟输入通道 3 MOSI I/O SPI 主机输出从机输入 S1MOSI I/O USART1-SPI 主机输出从机输入 S2MOSI I/O USART2-SPI 主机输出从机输入 PWM2N I/O PWM2 的捕获输入和脉冲输出负极 T2CLKO O 定时器 2 时钟分频输出 UCAP I USB 内核电源稳压脚 LQFP48 7 8 9 10 11 12 13 深圳国芯人工智能有限公司 标准 IO 口 标准 IO 口 ADC 模拟输入通道 4 ADC 模拟输入通道 5 PWM4 的捕获输入和脉冲输出正极 标准 IO 口 外部晶振/外部时钟的输入脚 标准 IO 口 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 106 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 LQFP64 18 14 15 20 16 22 17 18 23 24 25 26 27 选型顾问: 13922805190 名称 类型 说明 P5.4 I/O 标准 IO 口 RST I 复位引脚 MCLKO O 主时钟分频输出 SS_3 I SPI 的从机选择脚(主机为输出) SS I SPI 的从机选择脚(主机为输出) S1SS_3 I USART1-SPI 的从机选择脚(主机为输出) S1SS I USART1-SPI 的从机选择脚(主机为输出) S2SS_3 I USART2-SPI 的从机选择脚(主机为输出) S2SS I USART2-SPI 的从机选择脚(主机为输出) PWM2P I/O PWM2 的捕获输入和脉冲输出正极 PWM6_2 I/O PWM6 的捕获输入和脉冲输出 T2 I 定时器 2 外部时钟输入 ADC2 I ADC 模拟输入通道 2 Vcc VCC 电源脚 AVcc VCC ADC 电源脚 Vref+ I Gnd GND 地线 Agnd GND ADC 地线 Vref- I P4.0 I/O 标准 IO 口 MOSI_3 I/O SPI 主机输出从机输入 S1MOSI_3 I/O USART1-SPI 主机输出从机输入 S2MOSI_3 I/O USART2-SPI 主机输出从机输入 P6.4 I/O 标准 IO 口 PWM3P_3 I/O PWM3 的捕获输入和脉冲输出正极 S1SS_4 I P6.5 I/O 标准 IO 口 PWM3N_3 I/O PWM3 的捕获输入和脉冲输出负极 S1MOSI_4 I/O USART1-SPI 主机输出从机输入 P6.6 I/O 标准 IO 口 PWM4P_3 I/O PWM4 的捕获输入和脉冲输出正极 S1MISO_4 I/O USART1-SPI 主机输入从机输出 P6.7 I/O 标准 IO 口 PWM4N_3 I/O PWM4 的捕获输入和脉冲输出负极 S1SCLK_4 I/O USART1-SPI 的时钟脚 P3.0 I/O 标准 IO 口 D- I/O USB 数据口 RxD I 串口 1 的接收脚 INT4 I 外部中断 4 LQFP48 19 21 技术支持: 19864585985 19 深圳国芯人工智能有限公司 ADC 的参考电压脚 ADC 的参考电压地线 USART1-SPI 的从机选择脚(主机为输出) 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 107 - STC8H 系列技术手册 编号 LQFP64 28 29 30 31 32 33 34 35 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P3.1 I/O 标准 IO 口 D+ I/O USB 数据口 TxD O P3.2 I/O 标准 IO 口 INT0 I 外部中断 0 SCLK_4 I/O SPI 的时钟脚 SCL_4 I/O I2C 的时钟线 PWMETI I PWM 外部触发输入脚 PWMETI2 I PWM 外部触发输入脚 2 P3.3 I/O 标准 IO 口 INT1 I 外部中断 1 MISO_4 I/O SPI 主机输入从机输出 SDA_4 I/O I2C 接口的数据线 PWM4N_4 I/O PWM4 的捕获输入和脉冲输出负极 PWM7_2 I/O PWM7 的捕获输入和脉冲输出 P3.4 I/O 标准 IO 口 T0 I 定时器 0 外部时钟输入 T1CLKO O 定时器 1 时钟分频输出 MOSI_4 I/O SPI 主机输出从机输入 PWM4P_4 I/O PWM4 的捕获输入和脉冲输出正极 PWM8_2 I/O PWM8 的捕获输入和脉冲输出 CMPO O 比较器输出 P5.0 I/O 标准 IO 口 RxD3_2 I 串口 3 的接收脚 CMP+_2 I 比较器正极输入 CAN_RX_2 I CAN 总线接收脚 P5.1 I/O TxD3_2 O 串口 3 的发送脚 CMP+_3 I 比较器正极输入 CAN_TX_2 O CAN 总线发送脚 P3.5 I/O T1 I 定时器 1 外部时钟输入 T0CLKO O 定时器 0 时钟分频输出 SS_4 I SPI 的从机选择脚(主机为输出) PWMFLT I 增强 PWM 的外部异常检测脚 P3.6 I/O 标准 IO 口 INT2 I 外部中断 2 RxD_2 I 串口 1 的接收脚 CMP- I 比较器负极输入 LQFP48 20 21 22 23 24 25 26 27 深圳国芯人工智能有限公司 串口 1 的发送脚 标准 IO 口 标准 IO 口 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 108 - STC8H 系列技术手册 编号 LQFP64 36 28 38 39 40 42 43 44 45 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P3.7 I/O 标准 IO 口 INT3 I 外部中断 3 TxD_2 O 串口 1 的发送脚 CMP+ I 比较器正极输入 P7.0 I/O CAN_RX_4 I P7.1 I/O CAN_TX_4 O P7.2 I/O CAN2_RX_4 I CAN2 总线接收脚 LIN_RX_4 I LIN 总线接收脚 P7.3 I/O CAN2_TX_4 O CAN2 总线发送脚 LIN_TX_4 O LIN 总线发送脚 PWMETI_3 I PWM 外部触发输入脚 P4.1 I/O 标准 IO 口 MISO_3 I/O SPI 主机输入从机输出 S1MISO_3 I/O USART1-SPI 主机输入从机输出 S2MISO_3 I/O USART2-SPI 主机输入从机输出 CMPO_2 O 比较器输出 PWMETI_3 I PWM 外部触发输入脚 P4.2 I/O WR O 外部总线的写信号线 CAN_RX_3 I CAN 总线接收脚 P4.3 I/O RxD_4 I SCLK_3 I/O SPI 的时钟脚 S1SCLK_3 I/O USART1-SPI 的时钟脚 S2SCLK_3 I/O USART2-SPI 的时钟脚 P4.4 I/O 标准 IO 口 RD O 外部总线的读信号线 TxD_4 O 串口 1 的发送脚 P2.0 I/O 标准 IO 口 A8 I 地址总线 PWM1P_2 I/O PWM1 的捕获输入和脉冲输出正极 PWM5 I/O PWM5 的捕获输入和脉冲输出 LQFP48 37 41 官方网站: www.STCMCUDATA.com 29 30 31 32 33 深圳国芯人工智能有限公司 标准 IO 口 CAN 总线接收脚 标准 IO 口 CAN 总线发送脚 标准 IO 口 标准 IO 口 标准 IO 口 标准 IO 口 串口 1 的接收脚 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 109 - STC8H 系列技术手册 编号 LQFP64 46 47 48 49 50 51 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P2.1 I/O 标准 IO 口 A9 I 地址总线 PWM1N_2 I/O PWM1 的捕获输入和脉冲输出负极 PWM6 I/O PWM6 的捕获输入和脉冲输出 P2.2 I/O 标准 IO 口 A10 I 地址总线 SS_2 I SPI 的从机选择脚(主机为输出) S1SS_2 I USART1-SPI 的从机选择脚(主机为输出) S2SS_2 I USART2-SPI 的从机选择脚(主机为输出) PWM2P_2 I/O PWM2 的捕获输入和脉冲输出正极 PWM7 I/O PWM7 的捕获输入和脉冲输出 P2.3 I/O 标准 IO 口 A11 I 地址总线 MOSI_2 I/O SPI 主机输出从机输入 S1MOSI_2 I/O USART1-SPI 主机输出从机输入 S2MOSI_2 I/O USART2-SPI 主机输出从机输入 PWM2N_2 I/O PWM2 的捕获输入和脉冲输出负极 PWM8 I/O PWM8 的捕获输入和脉冲输出 P2.4 I/O 标准 IO 口 A12 I 地址总线 MISO_2 I/O SPI 主机输入从机输出 S1MISO_2 I/O USART1-SPI 主机输入从机输出 S2MISO_2 I/O USART2-SPI 主机输入从机输出 SDA_2 I/O I2C 接口的数据线 PWM3P_2 I/O PWM3 的捕获输入和脉冲输出正极 P2.5 I/O 标准 IO 口 A13 I 地址总线 SCLK_2 I/O SPI 的时钟脚 S1SCLK_2 I/O USART1-SPI 的时钟脚 S2SCLK_2 I/O USART2-SPI 的时钟脚 SCL_2 I/O I2C 的时钟线 PWM3N_2 I/O PWM3 的捕获输入和脉冲输出负极 P2.6 I/O 标准 IO 口 A14 I 地址总线 PWM4P_2 I/O LQFP48 34 35 36 37 38 39 深圳国芯人工智能有限公司 PWM4 的捕获输入和脉冲输出正极 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 110 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 LQFP64 52 40 54 55 56 58 59 选型顾问: 13922805190 名称 类型 说明 P2.7 I/O 标准 IO 口 A15 I 地址总线 PWM4N_2 I/O PWM4 的捕获输入和脉冲输出负极 P7.4 I/O 标准 IO 口 PWM5_4 I/O PWM5 的捕获输入和脉冲输出 S2SS_4 I P7.5 I/O 标准 IO 口 PWM6_4 I/O PWM6 的捕获输入和脉冲输出 S2MOSI_4 I/O USART2-SPI 从机输入主机输出 P7.6 I/O 标准 IO 口 PWM7_4 I/O PWM7 的捕获输入和脉冲输出 S2MISO_4 I/O USART2-SPI 主机输入从机输出 P7.7 I/O 标准 IO 口 PWM8_4 I/O PWM8 的捕获输入和脉冲输出 S2SCLK_4 I/O USART2-SPI 的时钟脚 P4.5 I/O 标准 IO 口 ALE O 地址锁存信号 CAN_TX_3 O CAN 总线发送脚 P4.6 I/O RxD2_2 I 串口 2 的接收脚 CAN2_RX_3 I CAN2 总线接收脚 LIN_RX_3 I LIN 总线接收脚 P0.0 I/O 标准 IO 口 AD0 I 地址总线 ADC8 I ADC 模拟输入通道 8 RxD3 I 串口 3 的接收脚 PWM5_3 I/O CAN_RX I LQFP48 53 57 技术支持: 19864585985 41 42 43 深圳国芯人工智能有限公司 USART2-SPI 的从机选择脚(主机为输出) 标准 IO 口 PWM5 的捕获输入和脉冲输出 CAN 总线接收脚 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 111 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 编号 LQFP64 60 61 62 63 64 技术支持: 19864585985 名称 类型 P0.1 I/O 标准 IO 口 AD1 I 地址总线 ADC9 I ADC 模拟输入通道 9 TxD3 O 串口 3 的发送脚 PWM6_3 I/O CAN_TX O P0.2 I/O 标准 IO 口 AD2 I 地址总线 ADC10 I ADC 模拟输入通道 10 RxD4 I 串口 4 的接收脚 PWM7_3 I/O CAN2_RX I CAN2 总线接收脚 LIN_RX I LIN 总线接收脚 P0.3 I/O 标准 IO 口 AD3 I 地址总线 ADC11 I ADC 模拟输入通道 11 TxD4 O 串口 4 的发送脚 PWM8_3 I/O CAN2_TX O CAN2 总线发送脚 LIN_TX O LIN 总线发送脚 P0.4 I/O 标准 IO 口 AD4 I 地址总线 ADC12 I ADC 模拟输入通道 12 T3 I 定时器 3 外部时钟输入 P5.2 I/O RxD4_2 I 串口 4 的接收脚 CAN2_RX_2 I CAN2 总线接收脚 LIN_RX_2 I LIN 总线接收脚 选型顾问: 13922805190 说明 LQFP48 44 45 46 47 48 深圳国芯人工智能有限公司 PWM6 的捕获输入和脉冲输出 CAN 总线发送脚 PWM7 的捕获输入和脉冲输出 PWM8 的捕获输入和脉冲输出 标准 IO 口 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 112 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 2.10 STC32G6K64-LQFP48/LQFP32/PDIP40(新品预告) 2.10.1 特性及价格  选型价格(不需要外部晶振、不需要外部复位,12 位 ADC,15 通道) 16 DMA 15 LQFP48 QFN48 LQFP32 PDIP40 有 有 有 2 2 2 有 有 有 有 5 8 有 12 位 有 有 有 4级 有 是 有 是 是 是 是 ¥4 √ √ √ STC32G6K64 1.9-5.5 64K 4K 2K 2 IAP 45 有 有 有 有 2 2 2 有 有 有 有 5 8 有 12 位 有 有 有 4级 有 是 有 是 是 是 是 ¥4 √ √ √ 8 本 身 就 可 在 线 下 仿 载 直 真 接 下 载 USB PWM 33MHz A/D 32 (8 D/A 16 )  可 对 外 输 出 时 钟 以 及 下 复 可 位 调 ) 追 频 内核  超高速 32 位 8051 内核(1T),比传统 8051 约快 70 倍以上  48 个中断源,4 级中断优先级  支持在线仿真 工作电压  1.9V~5.5V  内建 LDO 工作温度   LCM  DPTR  SRAM 10 V  位 1 有 位 和 异 步 串 口 并 可 掉 电 唤 醒 同 硬 定 步 件 时 并 异 器 总 并 步 总 线 可 可 位 掉 掉 乘 外 互 串 线 电 电 除 部 补 口 唤 唤 法 管 对 并 醒 醒 器 脚 称 可 掉 也 死 电 可 区 唤 掉 控 醒 电 制 唤 醒 ) ADC 8 45 实 时 模 时 块 钟 驱 动 PWM 48K 字 节 接 口 T0/T1/T2/T3/T4 2 万 次 口 均 支 持 中 断 并 可 掉 电 ) 唤 并 醒 可 掉 电 唤 醒 RTC 2K ) 口 最 多 万 数 可 次 可 量 增 做 堆 可 可 字 栈 做 减 节 或 变 变 量 量 字 字 节 节 I/O 4K 工 作 电 压 ( I/O STC32G6K48 1.9-5.5 48K 单 片 机 型 号 程 序 存 储 器 DATA RAM DMA I2C 可 设 置 下 次 更 新 程 序 需 口 令 DMA SPI MDU32 支 持 软 支 件 持 模 拟 程 序 加 密 后 传 输 ( 防 拦 截 ) LIN 看 门 狗 复 位 定 时 器 内 部 高 精 准 时 钟 ( CAN 内 部 低 压 检 测 中 断 并 可 掉 电 唤 醒 内 部 高 可 靠 复 位 ( 可 选 复 位 门 槛 电 压 ) / 比 较 路 器 高 ( 速 可 当 掉 电 唤 ( 路 醒 专 路 , 用 可 定 作 时 器 可 外 当 部 掉 路 电 检 测 ) 使 用 ) 价 格 及 封 装 RS485 定 时 器 计 数 位 器 高 ( 级 / DMA USART DMA UART 中 断 所 ( 有 的 INT0/INT1/INT2/INT3/INT4 EEPROM 10 Flash 内 部 内 扩 部 展 大 强 容 大 量 的 扩 双 展 DMA 8080/6800 I/O xdata edata 传 统 -40℃~85℃ Flash 存储器  最大 64K 字节 FLASH 程序存储器(ROM),用于存储用户代码  支持用户配置 EEPROM 大小,512 字节单页擦除,擦写次数可达 10 万次以上  支持在系统编程方式(ISP)更新用户应用程序,无需专用编程器  支持单芯片仿真,无需专用仿真器,理论断点个数无限制  支持 SWD 接口的硬件仿真(需要使用 STC-USB Link1 工具) SRAM  4K 字节内部 SRAM(EDATA)  2K 字节内部扩展 RAM(内部 XDATA)  xdata 使用注意: 定义变量时可将单字节变量定义在 xdata 里面,多字节(2 字节、4 字节)变量需要定义在 edata 里面。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 113 - 供 货 信 息 4月 送样 STC8H 系列技术手册  官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 时钟控制  内部高精度 IRC(ISP 编程时可进行上下调整)  误差±0.3%(常温下 25℃)  -1.35%~+1.30%温漂(全温度范围,-40℃~85℃)  -0.76%~+0.98%温漂(温度范围,-20℃~65℃)  内部 32KHz 低速 IRC(误差较大)  外部晶振(4MHz~33MHz)和外部时钟  内部 PLL 输出时钟 用户可自由选择上面的 4 种时钟源  复位  硬件复位  上电复位,复位电压值为 1.7V~1.9V。(在芯片未使能低压复位功能时有效)  复位脚复位,出厂时 P5.4 默认为 I/O 口,ISP 下载时可将 P5.4 管脚设置为复位脚(注意:当设置 P5.4 管脚为复位脚时,复位电平为低电平)   看门狗溢出复位  低压检测复位,提供 4 级低压检测电压:2.0V、2.4V、2.7V、3.0V。 软件复位   软件方式写复位触发寄存器 中断  提供 48 个中断源:INT0、INT1、INT2、INT3、INT4、定时器 0、定时器 1、定时器 2、定时器 3、定时 器 4、USART1、USART2、UART3、UART4、ADC 模数转换、LVD 低压检测、SPI、I2C、比较器、PWMA、 PWMB、CAN、CAN2、LIN、LCMIF 彩屏接口中断、RTC 实时时钟、所有的 I/O 中断(8 组)、串口 1 的 DMA 接收和发送中断、串口 2 的 DMA 接收和发送中断、串口 3 的 DMA 接收和发送中断、串口 4 的 DMA 接收和发送中断、I2C 的 DMA 接收和发送中断、SPI 的 DMA 中断、ADC 的 DMA 中断、LCD 驱 动的 DMA 中断以及存储器到存储器的 DMA 中断。   提供 4 级中断优先级 数字外设  5 个 16 位定时器:定时器 0、定时器 1、定时器 2、定时器 3、定时器 4,其中定时器 0 的模式 3 具有 NMI (不可屏蔽中断)功能,定时器 0 和定时器 1 的模式 0 为 16 位自动重载模式  2 个高速同步/异步串口:串口 1(USART1)、串口 2(USART2),波特率时钟源最快可为 FOSC/4。支 持同步串口模式、异步串口模式、SPI 模式、LIN 模式、红外模式(IrDA)、智能卡模式(ISO7816)  2 个高速异步串口:串口 3、串口 4,波特率时钟源最快可为 FOSC/4  2 组高级 PWM,可实现 8 通道(4 组互补对称)带死区的控制的 PWM,并支持外部异常检测功能  SPI:支持主机模式和从机模式以及主机/从机自动切换  I2C:支持主机模式和从机模式  ICE:硬件支持仿真  RTC:支持年、月、日、时、分、秒、次秒(1/128 秒),并支持时钟中断和一组闹钟  CAN:两个独立的 CAN 2.0 控制单元  LIN:一个独立 LIN 控制单元(支持 1.3 和 2.1 版本),USART1 和 USART2 可支持两组 LIN  MDU32:硬件 32 位乘除法器(包含 32 位除以 32 位、32 位乘以 32 位)  I/O 口中断:所有的 I/O 均支持中断,每组 I/O 中断有独立的中断入口地址,所有的 I/O 中断可支持 4 种 中断模式:高电平中断、低电平中断、上升沿中断、下降沿中断。I/O 口中断可以进行掉电唤醒,且有 4 级中断优先级。  LCD 驱动模块:支持 8080 和 6800 两种接口以及 8 位和 16 位数据宽度 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 114 - STC8H 系列技术手册  官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 DMA:支持 SPI 移位接收数据到存储器、SPI 移位发送存储器的数据、I2C 发送存储器的数据、I2C 接收 数据到存储器、串口 1/2/3/4 接收数据到的存储器、串口 1/2/3/4 发送存储器的数据、ADC 自动采样数据 到存储器(同时计算平均值)、LCD 驱动发送存储器的数据、以及存储器到存储器的数据复制   硬件数字 ID:支持 32 字节 模拟外设  ADC:超高速 ADC,支持 12 位高精度 15 通道(通道 0~通道 14)的模数转换,ADC 的通道 15 用于测 试内部参考电压(芯片在出厂时,内部参考电压调整为 1.19V,误差±1%)   比较器:一组比较器 GPIO  最多可达 45 个 GPIO:P0.0~P0.7、P1.0~ P1.7、P2.0~P2.7、P3.0~P3.7、P4.0~P4.7、P5.0~P5.4  所有的 GPIO 均支持如下 4 种模式:准双向口模式、强推挽输出模式、开漏输出模式、高阻输入模式  除 P3.0 和 P3.1 外,其余所有 IO 口上电后的状态均为高阻输入状态,用户在使用 IO 口时必须先设置 IO 口模式   另外每个 I/O 均可独立使能内部 4K 上拉电阻 封装  LQFP48、LQFP32、PDIP40 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 115 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P2.3/A11/PWM2N_2/PWM8/MOSI_2/S1MOSI_2/S2MOSI_2 P2.2/A10/PWM2P_2/PWM7/SS_2/S1SS_2/S2SS_2 P2.1/A9/PWM1N_2/PWM6 P2.0/A8/PWM1P_2/PWM5 P4.4/RD/TxD_4 P4.3/RxD_4/SCLK_3/S1SCLK_3/S2SCLK_3 P4.2/WR/CAN_RX_3 P4.1/MISO_3/S1MISO_3/S2MISO_3/CMPO_2/PWMETI_2 P3.7/INT3/TxD_2/CMP+ P3.6/INT2/RxD_2/CMPP3.5/T1/T0CLKO/SS_4/PWMFLT/PWMFLT2 P5.1/TxD3_2/CAN_TX_2 2.10.2 管脚图,最小系统 36 35 34 33 32 31 30 29 28 27 26 25 注意: 1、除P3.0和P3.1外,其余所有I/O口上电后的状态均为高阻输入 状态,用户在使用I/O口时必须先设置I/O口模式 2、所有的I/O口均可以设置为准双向口模式、强推挽输出模式、 开漏输出模式或者高阻输入模式,另外每个I/O均可独立使能 内部4K上拉电阻 3、当使能P5.4口为复位脚时,复位电平为低电平 4、ADC的外部参考电源管脚ADC_VRef+,一定不能浮空,必须接外 部参考电源或者直接连到Vcc 5、若不需要进行USB下载,芯片复位时P3.0/P3.1/P3.2不可同时 为低电平 STC32G6K64 LQFP48 24 23 22 21 20 19 18 17 16 15 14 13 P5.0/RxD3_2/CAN_RX_2 P3.4/T0/T1CLKO/MOSI_4/PWM4P_4/PWM8_2/CMPO P3.3/INT1/MISO_4/SDA_4/PWM4N_4/PWM7_2 P3.2/INT0/SCLK_4/SCL_4/PWMETI/PWMETI2 P3.1/TxD P3.0/RxD/INT4 P4.0/MOSI_3/S1MOSI_3/S2MOSI_3 Gnd/AGnd ADC_VRef+ Vcc/AVcc P5.4/RST/MCLKO/SS_3/S1SS_3/S2SS_3/PWM6_2 P1.2/ADC2/PWM2P/SS/S1SS/S2SS/T2 1 2 3 4 5 6 7 8 9 10 11 12 37 38 39 40 41 42 43 44 45 46 47 48 LIN_TX_2/CAN_TX_2/TxD4_2/P5.3 T3CLKO/ADC13/AD5/P0.5 PWMETI2_2/T4/ADC14/AD6/P0.6 T4CLKO/AD7/P0.7 RxD2/PWM1P/ADC0/P1.0 TxD2/PWM1N/ADC1/P1.1 LIN_TX_3/CAN_TX_3/TxD2_2/P4.7 SDA/S2MISO/S2MISO/MISO/PWM3P/ADC4/P1.4 SCL/S2SCLK/S1SCLK/SCLK/PWM3N/ADC5/P1.5 XTALO/MCLKO_2/RxD_3/PWM4P/ADC6/P1.6 XTALI/PWM5_2/TxD_3/PWM4N/ADC7/P1.7 T2CLKO/S2MOSI/S1MOSI/MOSI/PWM2N/ADC3/P1.3 S2MISO_2/S1MISO_2/MISO_2/SDA_2/PWM3P_2/A12/P2.4 S2SCLK_2/S1SCLK_2/SCLK_2/SCL_2/PWM3N_2/A13/P2.5 PWM4P_2/A14/P2.6 PWM4N_2/A15/P2.7 CAN_TX_3/ALE/P4.5 LIN_RX_3/CAN2_RX_3/RxD2_2/P4.6 CAN_RX/T3_2/PWM5_3/RxD3/ADC8/AD0/P0.0 CAN_TX/T3CLKO_2/PWM6_3/TxD3/ADC9/AD1/P0.1 LIN_RX/CAN2_RX/T4_2/PWM7_3/RxD4/ADC10/AD2/P0.2 LIN_TX/CAN2_TXT4CLKO_2/PWM8_3/TxD4/ADC11/AD3/P0.3 T3/ADC12/AD4/P0.4 LIN_RX_2/CAN2_RX_2/RxD4_2/P5.2 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 116 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 2.10.3 管脚说明 编号 名称 类型 说明 P5.3 I/O TxD4_2 O 串口 4 的发送脚 CAN2_TX_2 O CAN2 总线发送脚 LIN_TX_2 O LIN 总线发送脚 P0.5 I/O 标准 IO 口 AD5 I 地址总线 ADC13 I ADC 模拟输入通道 13 T3CLKO O 定时器 3 时钟分频输出 P0.6 I/O 标准 IO 口 AD6 I 地址总线 ADC14 I ADC 模拟输入通道 14 T4 I 定时器 4 外部时钟输入 PWMFLT2_2 I 增强 PWM 的外部异常检测脚 P0.7 I/O 标准 IO 口 AD7 I 地址总线 T4CLKO O 定时器 4 时钟分频输出 P1.0 I/O ADC0 I PWM1P I/O RxD2 I P1.1 I/O ADC1 I PWM1N I/O TxD2 I P4.7 I/O TxD2_2 I 串口 2 的发送脚 CAN2_TX_3 O CAN2 总线发送脚 LIN_TX_3 O LIN 总线发送脚 P1.4 I/O ADC4 I PWM3P I/O PWM3 的捕获输入和脉冲输出正极 MISO I/O SPI 主机输入从机输出 S1MISO I/O USART1-SPI 主机输入从机输出 S2MISO I/O USART2-SPI 主机输入从机输出 SDA I/O I2C 接口的数据线 LQFP48 1 2 3 4 5 6 7 8 深圳国芯人工智能有限公司 标准 IO 口 标准 IO 口 ADC 模拟输入通道 0 PWM1 的捕获输入和脉冲输出正极 串口 2 的接收脚 标准 IO 口 ADC 模拟输入通道 1 PWM1 的捕获输入和脉冲输出负极 串口 2 的发送脚 标准 IO 口 标准 IO 口 ADC 模拟输入通道 4 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 117 - STC8H 系列技术手册 编号 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P1.5 I/O ADC5 I PWM3N I/O PWM3 的捕获输入和脉冲输出负极 SCLK I/O SPI 的时钟脚 S1SCLK I/O USART1-SPI 的时钟脚 S2SCLK I/O USART2-SPI 的时钟脚 SCL I/O I2C 的时钟线 P1.6 I/O 标准 IO 口 ADC6 I ADC 模拟输入通道 6 RxD_3 I 串口 1 的接收脚 PWM4P I/O MCLKO_2 O 主时钟分频输出 XTALO O 外部晶振的输出脚 P1.7 I/O ADC7 I ADC 模拟输入通道 7 TxD_3 O 串口 1 的发送脚 PWM4N I/O PWM4 的捕获输入和脉冲输出负极 PWM5_2 I/O PWM5 的捕获输入和脉冲输出 XTALI I P1.3 I/O ADC3 I ADC 模拟输入通道 3 MOSI I/O SPI 主机输出从机输入 S1MOSI I/O USART1-SPI 主机输出从机输入 S2MOSI I/O USART2-SPI 主机输出从机输入 PWM2N I/O PWM2 的捕获输入和脉冲输出负极 T2CLKO O P1.2 I/O ADC2 I PWM2P I/O PWM2 的捕获输入和脉冲输出正极 SS I SPI 的从机选择脚(主机为输出) S1SS I USART1-SPI 的从机选择脚(主机为输出) S2SS I USART2-SPI 的从机选择脚(主机为输出) T2 I 定时器 2 外部时钟输入 LQFP48 9 10 11 12 13 深圳国芯人工智能有限公司 标准 IO 口 ADC 模拟输入通道 5 PWM4 的捕获输入和脉冲输出正极 标准 IO 口 外部晶振/外部时钟的输入脚 标准 IO 口 定时器 2 时钟分频输出 标准 IO 口 ADC 模拟输入通道 2 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 118 - STC8H 系列技术手册 编号 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P5.4 I/O 标准 IO 口 RST I 复位引脚 MCLKO O 主时钟分频输出 SS_3 I SPI 的从机选择脚(主机为输出) S1SS_3 I USART1-SPI 的从机选择脚(主机为输出) S2SS_3 I USART2-SPI 的从机选择脚(主机为输出) PWM6_2 I/O Vcc VCC 电源脚 AVcc VCC ADC 电源脚 Vref+ I Gnd GND 地线 Agnd GND ADC 地线 Vref- I P4.0 I/O 标准 IO 口 MOSI_3 I/O SPI 主机输出从机输入 S1MOSI_3 I/O USART1-SPI 主机输出从机输入 S2MOSI_3 I/O USART2-SPI 主机输出从机输入 P3.0 I/O 标准 IO 口 RxD I 串口 1 的接收脚 INT4 I 外部中断 4 P3.1 I/O 标准 IO 口 TxD O P3.2 I/O 标准 IO 口 INT0 I 外部中断 0 SCLK_4 I/O SPI 的时钟脚 SCL_4 I/O I2C 的时钟线 PWMETI I PWM 外部触发输入脚 PWMETI2 I PWM 外部触发输入脚 2 LQFP48 14 15 16 17 18 19 20 21 深圳国芯人工智能有限公司 PWM6 的捕获输入和脉冲输出 ADC 的参考电压脚 ADC 的参考电压地线 串口 1 的发送脚 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 119 - STC8H 系列技术手册 编号 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P3.3 I/O 标准 IO 口 INT1 I 外部中断 1 MISO_4 I/O SPI 主机输入从机输出 SDA_4 I/O I2C 接口的数据线 PWM4N_4 I/O PWM4 的捕获输入和脉冲输出负极 PWM7_2 I/O PWM7 的捕获输入和脉冲输出 P3.4 I/O 标准 IO 口 T0 I 定时器 0 外部时钟输入 T1CLKO O 定时器 1 时钟分频输出 MOSI_4 I/O SPI 主机输出从机输入 PWM4P_4 I/O PWM4 的捕获输入和脉冲输出正极 PWM8_2 I/O PWM8 的捕获输入和脉冲输出 CMPO O 比较器输出 P5.0 I/O 标准 IO 口 RxD3_2 I 串口 3 的接收脚 CMP+_2 I 比较器正极输入 CAN_RX_2 I CAN 总线接收脚 P5.1 I/O TxD3_2 O 串口 3 的发送脚 CMP+_3 I 比较器正极输入 CAN_TX_2 O CAN 总线发送脚 P3.5 I/O T1 I 定时器 1 外部时钟输入 T0CLKO O 定时器 0 时钟分频输出 SS_4 I SPI 的从机选择脚(主机为输出) PWMFLT I 增强 PWM 的外部异常检测脚 P3.6 I/O 标准 IO 口 INT2 I 外部中断 2 RxD_2 I 串口 1 的接收脚 CMP- I 比较器负极输入 LQFP48 22 23 24 25 26 27 深圳国芯人工智能有限公司 标准 IO 口 标准 IO 口 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 120 - STC8H 系列技术手册 编号 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P3.7 I/O 标准 IO 口 INT3 I 外部中断 3 TxD_2 O 串口 1 的发送脚 CMP+ I 比较器正极输入 P4.1 I/O 标准 IO 口 MISO_3 I/O SPI 主机输入从机输出 S1MISO_3 I/O USART1-SPI 主机输入从机输出 S2MISO_3 I/O USART2-SPI 主机输入从机输出 CMPO_2 O 比较器输出 PWMETI_3 I PWM 外部触发输入脚 P4.2 I/O WR O 外部总线的写信号线 CAN_RX_3 I CAN 总线接收脚 P4.3 I/O RxD_4 I SCLK_3 I/O SPI 的时钟脚 S1SCLK_3 I/O USART1-SPI 的时钟脚 S2SCLK_3 I/O USART2-SPI 的时钟脚 P4.4 I/O 标准 IO 口 RD O 外部总线的读信号线 TxD_4 O 串口 1 的发送脚 P2.0 I/O 标准 IO 口 A8 I 地址总线 PWM1P_2 I/O PWM1 的捕获输入和脉冲输出正极 PWM5 I/O PWM5 的捕获输入和脉冲输出 P2.1 I/O 标准 IO 口 A9 I 地址总线 PWM1N_2 I/O PWM1 的捕获输入和脉冲输出负极 PWM6 I/O PWM6 的捕获输入和脉冲输出 P2.2 I/O 标准 IO 口 A10 I 地址总线 SS_2 I SPI 的从机选择脚(主机为输出) S1SS_2 I USART1-SPI 的从机选择脚(主机为输出) S2SS_2 I USART2-SPI 的从机选择脚(主机为输出) PWM2P_2 I/O PWM2 的捕获输入和脉冲输出正极 PWM7 I/O PWM7 的捕获输入和脉冲输出 LQFP48 28 29 30 31 32 33 34 35 深圳国芯人工智能有限公司 标准 IO 口 标准 IO 口 串口 1 的接收脚 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 121 - STC8H 系列技术手册 编号 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P2.3 I/O 标准 IO 口 A11 I 地址总线 MOSI_2 I/O SPI 主机输出从机输入 S1MOSI_2 I/O USART1-SPI 主机输出从机输入 S2MOSI_2 I/O USART2-SPI 主机输出从机输入 PWM2N_2 I/O PWM2 的捕获输入和脉冲输出负极 PWM8 I/O PWM8 的捕获输入和脉冲输出 P2.4 I/O 标准 IO 口 A12 I 地址总线 MISO_2 I/O SPI 主机输入从机输出 S1MISO_2 I/O USART1-SPI 主机输入从机输出 S2MISO_2 I/O USART2-SPI 主机输入从机输出 SDA_2 I/O I2C 接口的数据线 PWM3P_2 I/O PWM3 的捕获输入和脉冲输出正极 P2.5 I/O 标准 IO 口 A13 I 地址总线 SCLK_2 I/O SPI 的时钟脚 S1SCLK_2 I/O USART1-SPI 的时钟脚 S2SCLK_2 I/O USART2-SPI 的时钟脚 SCL_2 I/O I2C 的时钟线 PWM3N_2 I/O PWM3 的捕获输入和脉冲输出负极 P2.6 I/O 标准 IO 口 A14 I 地址总线 PWM4P_2 I/O PWM4 的捕获输入和脉冲输出正极 P2.7 I/O 标准 IO 口 A15 I 地址总线 PWM4N_2 I/O PWM4 的捕获输入和脉冲输出负极 P4.5 I/O 标准 IO 口 ALE O 地址锁存信号 CAN_TX_3 O CAN 总线发送脚 LQFP48 36 37 38 39 40 41 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 122 - STC8H 系列技术手册 编号 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 名称 类型 P4.6 I/O RxD2_2 I 串口 2 的接收脚 CAN2_RX_3 I CAN2 总线接收脚 LIN_RX_3 I LIN 总线接收脚 P0.0 I/O 标准 IO 口 AD0 I 地址总线 ADC8 I ADC 模拟输入通道 8 RxD3 I 串口 3 的接收脚 PWM5_3 I/O CAN_RX I P0.1 I/O 标准 IO 口 AD1 I 地址总线 ADC9 I ADC 模拟输入通道 9 TxD3 O 串口 3 的发送脚 PWM6_3 I/O CAN_TX O P0.2 I/O 标准 IO 口 AD2 I 地址总线 ADC10 I ADC 模拟输入通道 10 RxD4 I 串口 4 的接收脚 PWM7_3 I/O CAN2_RX I CAN2 总线接收脚 LIN_RX I LIN 总线接收脚 P0.3 I/O 标准 IO 口 AD3 I 地址总线 ADC11 I ADC 模拟输入通道 11 TxD4 O 串口 4 的发送脚 PWM8_3 I/O CAN2_TX O CAN2 总线发送脚 LIN_TX O LIN 总线发送脚 P0.4 I/O 标准 IO 口 AD4 I 地址总线 ADC12 I ADC 模拟输入通道 12 T3 I 定时器 3 外部时钟输入 P5.2 I/O RxD4_2 I 串口 4 的接收脚 CAN2_RX_2 I CAN2 总线接收脚 LIN_RX_2 I LIN 总线接收脚 选型顾问: 13922805190 说明 LQFP48 42 43 44 45 46 47 48 深圳国芯人工智能有限公司 标准 IO 口 PWM5 的捕获输入和脉冲输出 CAN 总线接收脚 PWM6 的捕获输入和脉冲输出 CAN 总线发送脚 PWM7 的捕获输入和脉冲输出 PWM8 的捕获输入和脉冲输出 标准 IO 口 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 123 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 2.11 STC32F12K60-LQFP48/LQFP32/PDIP40(新品预告) 2.11.1 特性及价格  路 高 速 内 部 高 精 准 时 钟 ( 支 持 , 支 持 硬 件 PDIP40 供 货 信 息 QFN48 直 接 下 载 和 硬 件 本 身 就 可 在 线 仿 真 LQFP32 可 设 置 下 次 更 新 程 序 需 口 令 LQFP48 可 对 外 输 出 时 钟 及 复 位 程 序 加 密 后 传 输 ( 防 拦 截 ) RS485 内 部 低 压 检 测 中 断 并 可 掉 电 唤 醒 内 部 高 可 靠 复 位 ( 可 选 复 位 门 槛 电 压 ) USB PWM , 可 作 外 部 掉 电 检 测 ) 全 速 33MHz 路 路 A/D ( 比 较 器 ( 可 当 1 ADC 8 PWM T0/T1/T2/T3/T4 定 时 器 互 补 对 称 死 区 控 制 独 立 掉 电 唤 醒 专 用 定 时 器 , 看 门 狗 复 位 定 时 器 价 格 及 封 装 USB 16 FPMU 位 乘 除 法 器 单 精 度 浮 点 运 算 器 位 高 级 DMA 15 定 时 器 计 数 器 ( / 硬 件 32 并 可 掉 电 唤 醒 2 DMA I C 音 频 接 口 并 可 掉 电 唤 醒 MDU32 总 线 DMA SPI LIN 总 线 DMA I2S 同 步 异 步 串 口 并 可 掉 电 唤 醒 / 异 步 串 口 并 可 掉 电 唤 醒 CAN LCM 实 时 时 钟 DMA UART 接 口 RTC 口 均 支 持 中 断 并 可 掉 电 唤 醒 DMA USART I/O I/O 万 次 口 最 多 数 量 INT0/INT1/INT2/INT3/INT4 强 大 的 双 所 有 的 DMA 8080/6800 I/O 中 断 ( DPTR 10 SRAM DATA RAM 万 次 内 部 大 容 量 扩 展 EEPROM 10 Flash ) 传 统 xdata edata 程 序 存 储 器 V 单 片 机 型 号 工 作 电 压 ( 内 部 扩 展 选型价格(不需要外部晶振、不需要外部复位,12 位 ADC,15 通道) STC32F12K48 1.9-5.5 48K 8K 4K 2 48K 44 有 有 有 有 2 2 2 有 有 有 有 有 有 5 8 有 12 位 有 有 4级 有 是 有 是 是 是 是 ¥5 √ √ √ STC32F12K60 1.9-5.5 60K 8K 4K 2 IAP 44 有 有 有 有 2 2 2 有 有 有 有 有 有 5 8 有 12 位 有 有 4级 有 是 有 是 是 是 是 ¥5 √ √ √ 使 用 ) 以 下 可 调 ) 追 频 下 载 USB 路 D/A  位 可 当 仿 真 内核  超高速 32 位 8051 内核(1T),比传统 8051 约快 70 倍以上  50 个中断源,4 级中断优先级  支持在线仿真 工作电压  1.9V~5.5V  内建 LDO 工作温度   位 和 外 部 管 脚 也 可 掉 电 唤 醒 ) 8  ) 并 可 掉 电 唤 醒 )  字 节 模 块 驱 动 16  可 做 变 量 字 节 可 增 可 减 (8 字 节 可 做 堆 栈 或 变 量 字 节 -40℃~85℃ Flash 存储器  最大 60K 字节 FLASH 程序存储器(ROM),用于存储用户代码  支持用户配置 EEPROM 大小,512 字节单页擦除,擦写次数可达 10 万次以上  支持在系统编程方式(ISP)更新用户应用程序,无需专用编程器  支持单芯片仿真,无需专用仿真器,理论断点个数无限制  支持 SWD 接口的硬件仿真(需要使用 STC-USB Link1 工具) SRAM  8K 字节内部 SRAM(EDATA)  4K 字节内部扩展 RAM(内部 XDATA)  xdata 使用注意: 定义变量时可将单字节变量定义在 xdata 里面,多字节(2 字节、4 字节)变量需要定义在 edata 里面。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 124 - 4月 送样 STC8H 系列技术手册  官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 时钟控制  内部高精度 IRC(ISP 编程时可进行上下调整)  误差±0.3%(常温下 25℃)  -1.35%~+1.30%温漂(全温度范围,-40℃~85℃)  -0.76%~+0.98%温漂(温度范围,-20℃~65℃)  内部 32KHz 低速 IRC(误差较大)  外部晶振(4MHz~33MHz)和外部时钟  内部 PLL 输出时钟 用户可自由选择上面的 4 种时钟源  复位  硬件复位  上电复位,复位电压值为 1.7V~1.9V。(在芯片未使能低压复位功能时有效)  复位脚复位,出厂时 P5.4 默认为 I/O 口,ISP 下载时可将 P5.4 管脚设置为复位脚(注意:当设置 P5.4 管脚为复位脚时,复位电平为低电平)   看门狗溢出复位  低压检测复位,提供 4 级低压检测电压:2.0V、2.4V、2.7V、3.0V。 软件复位   软件方式写复位触发寄存器 中断  提供 50 个中断源:INT0、INT1、INT2、INT3、INT4、定时器 0、定时器 1、定时器 2、定时器 3、定时 器 4、USART1、USART2、UART3、UART4、ADC 模数转换、LVD 低压检测、SPI、I2C、比较器、PWMA、 PWMB、USB、CAN、CAN2、LIN、LCMIF 彩屏接口中断、RTC 实时时钟、所有的 I/O 中断(6 组)、 I2S 音频接口、I2S 音频接口的 DMA 接收和发送中断、串口 1 的 DMA 接收和发送中断、串口 2 的 DMA 接收和发送中断、串口 3 的 DMA 接收和发送中断、串口 4 的 DMA 接收和发送中断、I2C 的 DMA 接收 和发送中断、SPI 的 DMA 中断、ADC 的 DMA 中断、LCD 驱动的 DMA 中断以及存储器到存储器的 DMA 中断。   提供 4 级中断优先级 数字外设  5 个 16 位定时器:定时器 0、定时器 1、定时器 2、定时器 3、定时器 4,其中定时器 0 的模式 3 具有 NMI (不可屏蔽中断)功能,定时器 0 和定时器 1 的模式 0 为 16 位自动重载模式  2 个高速同步/异步串口:串口 1(USART1)、串口 2(USART2),波特率时钟源最快可为 FOSC/4。支  2 个高速异步串口:串口 3、串口 4,波特率时钟源最快可为 FOSC/4  2 组高级 PWM,可实现 8 通道(4 组互补对称)带死区的控制的 PWM,并支持外部异常检测功能  SPI:支持主机模式和从机模式以及主机/从机自动切换  I2C:支持主机模式和从机模式  ICE:硬件支持仿真  RTC:支持年、月、日、时、分、秒、次秒(1/128 秒),并支持时钟中断和一组闹钟  USB:USB2.0/USB1.1 兼容全速 USB,6 个双向端点,支持 4 种端点传输模式(控制传输、中断传输、批 持同步串口模式、异步串口模式、SPI 模式、LIN 模式、红外模式(IrDA)、智能卡模式(ISO7816) 量传输和同步传输),每个端点拥有 64 字节的缓冲区  I2S:音频接口  CAN:两个独立的 CAN 2.0 控制单元  LIN:一个独立 LIN 控制单元(支持 1.3 和 2.1 版本),USART1 和 USART2 可支持两组 LIN  MDU32:硬件 32 位乘除法器(包含 32 位除以 32 位、32 位乘以 32 位) 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 125 - STC8H 系列技术手册  官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 I/O 口中断:所有的 I/O 均支持中断,每组 I/O 中断有独立的中断入口地址,所有的 I/O 中断可支持 4 种 中断模式:高电平中断、低电平中断、上升沿中断、下降沿中断。I/O 口中断可以进行掉电唤醒,且有 4 级中断优先级。  LCD 驱动模块:支持 8080 和 6800 两种接口以及 8 位和 16 位数据宽度  DMA:支持 SPI 移位接收数据到存储器、SPI 移位发送存储器的数据、I2C 发送存储器的数据、I2C 接收 数据到存储器、串口 1/2/3/4 接收数据到的存储器、串口 1/2/3/4 发送存储器的数据、ADC 自动采样数据 到存储器(同时计算平均值)、LCD 驱动发送存储器的数据、以及存储器到存储器的数据复制   硬件数字 ID:支持 32 字节 模拟外设  ADC:超高速 ADC,支持 12 位高精度 15 通道(通道 0~通道 14)的模数转换,ADC 的通道 15 用于测 试内部参考电压(芯片在出厂时,内部参考电压调整为 1.19V,误差±1%)   比较器:一组比较器 GPIO  最多可达 44 个 GPIO:P0.0~P0.7、P1.0~ P1.7(无 P1.2)、P2.0~P2.7、P3.0~P3.7、P4.0~P4.7、P5.0~P5.4  所有的 GPIO 均支持如下 4 种模式:准双向口模式、强推挽输出模式、开漏输出模式、高阻输入模式  除 P3.0 和 P3.1 外,其余所有 IO 口上电后的状态均为高阻输入状态,用户在使用 IO 口时必须先设置 IO 口模式   另外每个 I/O 均可独立使能内部 4K 上拉电阻 封装  LQFP48、LQFP32、PDIP40 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 126 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P2.3/A11/PWM2N_2/PWM8/MOSI_2/S1MOSI_2/S2MOSI_2/I2SSD_3 P2.2/A10/PWM2P_2/PWM7/SS_2/S1SS_2/S2SS_2/I2SWS_3 P2.1/A9/PWM1N_2/PWM6 P2.0/A8/PWM1P_2/PWM5 P4.4/RD/TxD_4 P4.3/RxD_4/SCLK_3/S1SCLK_3/S2SCLK_3/I2SCK_4 P4.2/WR/CAN_RX_3 P4.1/MISO_3/S1MISO_3/S2MISO_3/CMPO_2/PWMETI_2 P3.7/INT3/TxD_2/CMP+ P3.6/INT2/RxD_2/CMPP3.5/T1/T0CLKO/SS_4/PWMFLT/PWMFLT2/I2SWS P5.1/TxD3_2/CAN_TX_2 2.11.2 管脚图,最小系统 36 35 34 33 32 31 30 29 28 27 26 25 注意: 1、除P3.0和P3.1外,其余所有I/O口上电后的状态均为高阻输入状态, 用户在使用I/O口时必须先设置I/O口模式 2、所有的I/O口均可以设置为准双向口模式、强推挽输出模式、开漏输 出模式或者高阻输入模式,另外每个I/O均可独立使能内部4K上拉电阻 3、当使能P5.4口为复位脚时,复位电平为低电平 4、ADC的外部参考电源管脚ADC_VRef+,一定不能浮空,必须接外部参考 电源或者直接连到Vcc 5、若不需要进行USB下载,芯片复位时P3.0/P3.1/P3.2不可同时为低电平 STC32F12K60 LQFP48 24 23 22 21 20 19 18 17 16 15 14 13 1 2 3 4 5 6 7 8 9 10 11 12 37 38 39 40 41 42 43 44 45 46 47 48 P5.0/RxD3_2/CAN_RX_2 P3.4/T0/T1CLKO/MOSI_4/PWM4P_4/PWM8_2/CMPO/I2SSD P3.3/INT1/MISO_4/SDA_4/PWM4N_4/PWM7_2 P3.2/INT0/SCLK_4/SCL_4/PWMETI/PWMETI2/I2SCK P3.1/D+/TxD P3.0/D-/RxD/INT4 P4.0/MOSI_3/S1MOSI_3/S2MOSI_3/I2SSD_4 Gnd/AGnd ADC_VRef+ Vcc/AVcc P5.4/RST/MCLKO/SS/SS_3/S1SS/S1SS_3/S2SS/S2SS_3/ PWM2P/PWM6_2/ADC2/T2/I2SWS_2/I2SWS_4/I2SMCK/I2SMCK_3 UCap LIN_TX_2/CAN_TX_2/TxD4_2/P5.3 T3CLKO/ADC13/AD5/P0.5 PWMETI2_2/T4/ADC14/AD6/P0.6 T4CLKO/AD7/P0.7 RxD2/PWM1P/ADC0/P1.0 TxD2/PWM1N/ADC1/P1.1 LIN_TX_3/CAN_TX_3/TxD2_2/P4.7 SDA/S2MISO/S2MISO/MISO/PWM3P/ADC4/P1.4 I2SCK_2/SCL/S2SCLK/S1SCLK/SCLK/PWM3N/ADC5/P1.5 I2SMCK_2/I2SMCK_4/XTALO/MCLKO_2/RxD_3/PWM4P/ADC6/P1.6 XTALI/PWM5_2/TxD_3/PWM4N/ADC7/P1.7 I2SSD_2/T2CLKO/S2MOSI/S1MOSI/MOSI/PWM2N/ADC3/P1.3 S2MISO_2/S1MISO_2/MISO_2/SDA_2/PWM3P_2/A12/P2.4 I2SCK_3/S2SCLK_2/S1SCLK_2/SCLK_2/SCL_2/PWM3N_2/A13/P2.5 PWM4P_2/A14/P2.6 PWM4N_2/A15/P2.7 CAN_TX_3/ALE/P4.5 LIN_RX_3/CAN2_RX_3/RxD2_2/P4.6 CAN_RX/T3_2/PWM5_3/RxD3/ADC8/AD0/P0.0 CAN_TX/T3CLKO_2/PWM6_3/TxD3/ADC9/AD1/P0.1 LIN_RX/CAN2_RX/T4_2/PWM7_3/RxD4/ADC10/AD2/P0.2 LIN_TX/CAN2_TXT4CLKO_2/PWM8_3/TxD4/ADC11/AD3/P0.3 T3/ADC12/AD4/P0.4 LIN_RX_2/CAN2_RX_2/RxD4_2/P5.2 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 127 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 2.11.3 管脚说明 编号 名称 类型 说明 P5.3 I/O TxD4_2 O 串口 4 的发送脚 CAN2_TX_2 O CAN2 总线发送脚 LIN_TX_2 O LIN 总线发送脚 P0.5 I/O 标准 IO 口 AD5 I 地址总线 ADC13 I ADC 模拟输入通道 13 T3CLKO O 定时器 3 时钟分频输出 P0.6 I/O 标准 IO 口 AD6 I 地址总线 ADC14 I ADC 模拟输入通道 14 T4 I 定时器 4 外部时钟输入 PWMFLT2_2 I 增强 PWM 的外部异常检测脚 P0.7 I/O 标准 IO 口 AD7 I 地址总线 T4CLKO O 定时器 4 时钟分频输出 P1.0 I/O ADC0 I PWM1P I/O RxD2 I P1.1 I/O ADC1 I PWM1N I/O TxD2 I P4.7 I/O TxD2_2 I 串口 2 的发送脚 CAN2_TX_3 O CAN2 总线发送脚 LIN_TX_3 O LIN 总线发送脚 P1.4 I/O ADC4 I PWM3P I/O PWM3 的捕获输入和脉冲输出正极 MISO I/O SPI 主机输入从机输出 S1MISO I/O USART1-SPI 主机输入从机输出 S2MISO I/O USART2-SPI 主机输入从机输出 SDA I/O I2C 接口的数据线 LQFP48 1 2 3 4 5 6 7 8 深圳国芯人工智能有限公司 标准 IO 口 标准 IO 口 ADC 模拟输入通道 0 PWM1 的捕获输入和脉冲输出正极 串口 2 的接收脚 标准 IO 口 ADC 模拟输入通道 1 PWM1 的捕获输入和脉冲输出负极 串口 2 的发送脚 标准 IO 口 标准 IO 口 ADC 模拟输入通道 4 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 128 - STC8H 系列技术手册 编号 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P1.5 I/O ADC5 I PWM3N I/O PWM3 的捕获输入和脉冲输出负极 SCLK I/O SPI 的时钟脚 S1SCLK I/O USART1-SPI 的时钟脚 S2SCLK I/O USART2-SPI 的时钟脚 SCL I/O I2C 的时钟线 I2SCK_2 I/O I2S 的时钟线 P1.6 I/O 标准 IO 口 ADC6 I ADC 模拟输入通道 6 RxD_3 I 串口 1 的接收脚 PWM4P I/O MCLKO_2 O 主时钟分频输出 XTALO O 外部晶振的输出脚 I2SMCK_2 O I2S 的主时钟线 I2SMCK_4 O I2S 的主时钟线 P1.7 I/O ADC7 I ADC 模拟输入通道 7 TxD_3 O 串口 1 的发送脚 PWM4N I/O PWM4 的捕获输入和脉冲输出负极 PWM5_2 I/O PWM5 的捕获输入和脉冲输出 XTALI I P1.3 I/O ADC3 I ADC 模拟输入通道 3 MOSI I/O SPI 主机输出从机输入 S1MOSI I/O USART1-SPI 主机输出从机输入 S2MOSI I/O USART2-SPI 主机输出从机输入 PWM2N I/O PWM2 的捕获输入和脉冲输出负极 T2CLKO O I2SSD_2 I/O UCAP I LQFP48 9 10 11 12 13 深圳国芯人工智能有限公司 标准 IO 口 ADC 模拟输入通道 5 PWM4 的捕获输入和脉冲输出正极 标准 IO 口 外部晶振/外部时钟的输入脚 标准 IO 口 定时器 2 时钟分频输出 I2S 的数据线 USB 内核电源稳压脚 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 129 - STC8H 系列技术手册 编号 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P5.4 I/O 标准 IO 口 RST I 复位引脚 MCLKO O 主时钟分频输出 SS_3 I SPI 的从机选择脚(主机为输出) SS I SPI 的从机选择脚(主机为输出) S1SS_3 I USART1-SPI 的从机选择脚(主机为输出) S1SS I USART1-SPI 的从机选择脚(主机为输出) S2SS_3 I USART2-SPI 的从机选择脚(主机为输出) S2SS I USART2-SPI 的从机选择脚(主机为输出) PWM2P I/O PWM2 的捕获输入和脉冲输出正极 PWM6_2 I/O PWM6 的捕获输入和脉冲输出 T2 I 定时器 2 外部时钟输入 ADC2 I ADC 模拟输入通道 2 I2SWS_2 I/O I2S 的声道选择线 I2SWS_4 I/O I2S 的声道选择线 I2SMCK O I2S 的主时钟线 I2SMCK_3 O I2S 的主时钟线 Vcc VCC 电源脚 AVcc VCC ADC 电源脚 Vref+ I Gnd GND 地线 Agnd GND ADC 地线 Vref- I P4.0 I/O 标准 IO 口 MOSI_3 I/O SPI 主机输出从机输入 S1MOSI_3 I/O USART1-SPI 主机输出从机输入 S2MOSI_3 I/O USART2-SPI 主机输出从机输入 I2SSD_4 I/O I2S 的数据线 P3.0 I/O 标准 IO 口 D- I/O USB 数据口 RxD I 串口 1 的接收脚 INT4 I 外部中断 4 LQFP48 14 15 16 17 18 19 深圳国芯人工智能有限公司 ADC 的参考电压脚 ADC 的参考电压地线 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 130 - STC8H 系列技术手册 编号 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P3.1 I/O 标准 IO 口 D+ I/O USB 数据口 TxD O P3.2 I/O 标准 IO 口 INT0 I 外部中断 0 SCLK_4 I/O SPI 的时钟脚 SCL_4 I/O I2C 的时钟线 PWMETI I PWM 外部触发输入脚 PWMETI2 I PWM 外部触发输入脚 2 I2SCK I/O I2S 的时钟线 P3.3 I/O 标准 IO 口 INT1 I 外部中断 1 MISO_4 I/O SPI 主机输入从机输出 SDA_4 I/O I2C 接口的数据线 PWM4N_4 I/O PWM4 的捕获输入和脉冲输出负极 PWM7_2 I/O PWM7 的捕获输入和脉冲输出 P3.4 I/O 标准 IO 口 T0 I 定时器 0 外部时钟输入 T1CLKO O 定时器 1 时钟分频输出 MOSI_4 I/O SPI 主机输出从机输入 PWM4P_4 I/O PWM4 的捕获输入和脉冲输出正极 PWM8_2 I/O PWM8 的捕获输入和脉冲输出 CMPO O I2SSD I/O I2S 的数据线 P5.0 I/O 标准 IO 口 RxD3_2 I 串口 3 的接收脚 CMP+_2 I 比较器正极输入 CAN_RX_2 I CAN 总线接收脚 P5.1 I/O TxD3_2 O 串口 3 的发送脚 CMP+_3 I 比较器正极输入 CAN_TX_2 O CAN 总线发送脚 LQFP48 20 21 22 23 24 25 深圳国芯人工智能有限公司 串口 1 的发送脚 比较器输出 标准 IO 口 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 131 - STC8H 系列技术手册 编号 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P3.5 I/O T1 I 定时器 1 外部时钟输入 T0CLKO O 定时器 0 时钟分频输出 SS_4 I SPI 的从机选择脚(主机为输出) PWMFLT I 增强 PWM 的外部异常检测脚 I2SWS I/O I2S 的声道选择线 P3.6 I/O 标准 IO 口 INT2 I 外部中断 2 RxD_2 I 串口 1 的接收脚 CMP- I 比较器负极输入 P3.7 I/O 标准 IO 口 INT3 I 外部中断 3 TxD_2 O 串口 1 的发送脚 CMP+ I 比较器正极输入 P4.1 I/O 标准 IO 口 MISO_3 I/O SPI 主机输入从机输出 S1MISO_3 I/O USART1-SPI 主机输入从机输出 S2MISO_3 I/O USART2-SPI 主机输入从机输出 CMPO_2 O 比较器输出 PWMETI_3 I PWM 外部触发输入脚 P4.2 I/O WR O 外部总线的写信号线 CAN_RX_3 I CAN 总线接收脚 P4.3 I/O RxD_4 I SCLK_3 I/O SPI 的时钟脚 S1SCLK_3 I/O USART1-SPI 的时钟脚 S2SCLK_3 I/O USART2-SPI 的时钟脚 I2SCK_4 I/O I2S 的时钟线 P4.4 I/O 标准 IO 口 RD O 外部总线的读信号线 TxD_4 O 串口 1 的发送脚 P2.0 I/O 标准 IO 口 A8 I 地址总线 PWM1P_2 I/O PWM1 的捕获输入和脉冲输出正极 PWM5 I/O PWM5 的捕获输入和脉冲输出 LQFP48 26 27 28 29 30 31 32 33 深圳国芯人工智能有限公司 标准 IO 口 标准 IO 口 标准 IO 口 串口 1 的接收脚 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 132 - STC8H 系列技术手册 编号 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P2.1 I/O 标准 IO 口 A9 I 地址总线 PWM1N_2 I/O PWM1 的捕获输入和脉冲输出负极 PWM6 I/O PWM6 的捕获输入和脉冲输出 P2.2 I/O 标准 IO 口 A10 I 地址总线 SS_2 I SPI 的从机选择脚(主机为输出) S1SS_2 I USART1-SPI 的从机选择脚(主机为输出) S2SS_2 I USART2-SPI 的从机选择脚(主机为输出) PWM2P_2 I/O PWM2 的捕获输入和脉冲输出正极 PWM7 I/O PWM7 的捕获输入和脉冲输出 I2SWS_3 I/O I2S 的声道选择线 P2.3 I/O 标准 IO 口 A11 I 地址总线 MOSI_2 I/O SPI 主机输出从机输入 S1MOSI_2 I/O USART1-SPI 主机输出从机输入 S2MOSI_2 I/O USART2-SPI 主机输出从机输入 PWM2N_2 I/O PWM2 的捕获输入和脉冲输出负极 PWM8 I/O PWM8 的捕获输入和脉冲输出 I2SSD_3 I/O I2S 的数据线 P2.4 I/O 标准 IO 口 A12 I 地址总线 MISO_2 I/O SPI 主机输入从机输出 S1MISO_2 I/O USART1-SPI 主机输入从机输出 S2MISO_2 I/O USART2-SPI 主机输入从机输出 SDA_2 I/O I2C 接口的数据线 PWM3P_2 I/O PWM3 的捕获输入和脉冲输出正极 P2.5 I/O 标准 IO 口 A13 I 地址总线 SCLK_2 I/O SPI 的时钟脚 S1SCLK_2 I/O USART1-SPI 的时钟脚 S2SCLK_2 I/O USART2-SPI 的时钟脚 SCL_2 I/O I2C 的时钟线 PWM3N_2 I/O PWM3 的捕获输入和脉冲输出负极 I2SCK_3 I/O I2S 的时钟线 P2.6 I/O 标准 IO 口 A14 I 地址总线 PWM4P_2 I/O LQFP48 34 35 36 37 38 39 深圳国芯人工智能有限公司 PWM4 的捕获输入和脉冲输出正极 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 133 - STC8H 系列技术手册 编号 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 名称 类型 说明 P2.7 I/O 标准 IO 口 A15 I 地址总线 PWM4N_2 I/O PWM4 的捕获输入和脉冲输出负极 P4.5 I/O 标准 IO 口 ALE O 地址锁存信号 CAN_TX_3 O CAN 总线发送脚 P4.6 I/O RxD2_2 I 串口 2 的接收脚 CAN2_RX_3 I CAN2 总线接收脚 LIN_RX_3 I LIN 总线接收脚 P0.0 I/O 标准 IO 口 AD0 I 地址总线 ADC8 I ADC 模拟输入通道 8 RxD3 I 串口 3 的接收脚 PWM5_3 I/O CAN_RX I P0.1 I/O 标准 IO 口 AD1 I 地址总线 ADC9 I ADC 模拟输入通道 9 TxD3 O 串口 3 的发送脚 PWM6_3 I/O CAN_TX O P0.2 I/O 标准 IO 口 AD2 I 地址总线 ADC10 I ADC 模拟输入通道 10 RxD4 I 串口 4 的接收脚 PWM7_3 I/O CAN2_RX I CAN2 总线接收脚 LIN_RX I LIN 总线接收脚 LQFP48 40 41 42 43 44 45 深圳国芯人工智能有限公司 标准 IO 口 PWM5 的捕获输入和脉冲输出 CAN 总线接收脚 PWM6 的捕获输入和脉冲输出 CAN 总线发送脚 PWM7 的捕获输入和脉冲输出 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 134 - STC8H 系列技术手册 编号 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 名称 类型 P0.3 I/O 标准 IO 口 AD3 I 地址总线 ADC11 I ADC 模拟输入通道 11 TxD4 O 串口 4 的发送脚 PWM8_3 I/O CAN2_TX O CAN2 总线发送脚 LIN_TX O LIN 总线发送脚 P0.4 I/O 标准 IO 口 AD4 I 地址总线 ADC12 I ADC 模拟输入通道 12 T3 I 定时器 3 外部时钟输入 P5.2 I/O RxD4_2 I 串口 4 的接收脚 CAN2_RX_2 I CAN2 总线接收脚 LIN_RX_2 I LIN 总线接收脚 选型顾问: 13922805190 说明 LQFP48 46 47 48 深圳国芯人工智能有限公司 PWM8 的捕获输入和脉冲输出 标准 IO 口 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 135 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 3 功能脚切换 STC8H 系列单片机的特殊外设串口、SPI、PWM、I2C 以及总线控制脚可以在多个 I/O 直接进行切 换,以实现一个外设当作多个设备进行分时复用。 3.1 功能脚切换相关寄存器 位地址与符号 符号 描述 地址 复位值 B7 P_SW1 外设端口切换寄存器 1 A2H P_SW2 外设端口切换寄存器 2 BAH B6 S1_S[1:0] EAXFR B5 B4 - - - B3 B2 B1 B0 0 - nnxx,000x S4_S S3_S S2_S 0x00,0000 B2 B1 SPI_S[1:0] I2C_S[1:0] CMPO_S 位地址与符号 符号 描述 地址 复位值 B7 B6 B5 B4 B3 B0 MCLKOCR 主时钟输出控制寄存器 FE05H PWMA_PS PWMA 切换寄存器 FEB2H C4PS[1:0] C3PS[1:0] C2PS[1:0] C1PS[1:0] 0000,0000 PWMB_PS PWMB 切换寄存器 FEB6H C8PS[1:0] C7PS[1:0] C6PS[1:0] C5PS[1:0] 0000,0000 MCLKODIV[6:0] MCLKO_S 0000,0000 PWMA_ETRPS PWMA 的 ETR 选择寄存器 FEB0H BRKAPS ETRAPS[1:0] xxxx,x000 PWMB_ETRPS PWMB 的 ETR 选择寄存器 FEB4H BRKBPS ETRBPS[1:0] xxxx,x000 T3T4PIN T3/T4 选择寄存器 3.1.1 FEACH - - - - - - - T3T4SEL xxxx,xxx0 外设端口切换控制寄存器 1(P_SW1) ,串口 1、SPI 切换 符号 地址 P_SW1 A2H B7 B6 S1_S[1:0] B5 B4 - - B3 SPI_S[1:0] B2 B1 B0 0 - S1_S[1:0]:串口 1 功能脚选择位 S1_S[1:0] RxD TxD 00 P3.0 P3.1 01 P3.6 P3.7 10 P1.6 P1.7 11 P4.3 P4.4 SPI_S[1:0]:SPI 功能脚选择位 SPI_S[1:0] SS MOSI MISO SCLK 00 P1.2/P5.4 P1.3 P1.4 P1.5 01 P2.2 P2.3 P2.4 P2.5 10 P5.4 P4.0 P4.1 P4.3 11 P3.5 P3.4 P3.3 P3.2 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 136 - STC8H 系列技术手册 3.1.2 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 外设端口切换控制寄存器 2(P_SW2),串口 2/3/4、I2C、 比较器输出切换 符号 地址 B7 B6 P_SW2 BAH EAXFR - B5 B4 I2C_S[1:0] B3 B2 B1 B0 CMPO_S S4_S S3_S S2_S B2 B1 B0 B2 B1 B0 EAXFR:扩展 RAM 区特殊功能寄存器(XFR)访问控制寄存器 0:禁止访问 XFR 1:使能访问 XFR。 当需要访问 XFR 时,必须先将 EAXFR 置 1,才能对 XFR 进行正常的读写 I2C_S[1:0]:I2C 功能脚选择位 I2C_S[1:0] SCL SDA 00 P1.5 P1.4 01 P2.5 P2.4 10 P7.7 P7.6 11 P3.2 P3.3 CMPO_S:比较器输出脚选择位 CMPO_S CMPO 0 P3.4 1 P4.1 S4_S:串口 4 功能脚选择位 S4_S RxD4 TxD4 0 P0.2 P0.3 1 P5.2 P5.3 S3_S:串口 3 功能脚选择位 S3_S RxD3 TxD3 0 P0.0 P0.1 1 P5.0 P5.1 S2_S:串口 2 功能脚选择位 S2_S RxD2 TxD2 0 P1.0 P1.1 1 P4.6 P4.7 3.1.3 时钟选择寄存器(MCLKOCR) 符号 地址 B7 MCLKOCR FE05H MCLKO_S B6 B5 B4 B3 MCLKODIV[6:0] MCLKO_S:主时钟输出脚选择位 MCLKO_S MCLKO 0 P5.4 1 P1.6 3.1.4 符号 T3/T4 选择寄存器(T3T4PIN) 地址 深圳国芯人工智能有限公司 B7 B6 B5 B4 国内分销商电话: 0513-5501 2928/2929/2966 B3 传真: 0513-5501 2926/2956/2947 - 137 - STC8H 系列技术手册 T3T4PIN 官方网站: www.STCMCUDATA.com FEACH - - - 技术支持: 19864585985 - - 选型顾问: 13922805190 - - T3T4SEL T3T4SEL:T3/T3CLKO/T4/T4CLKO 脚选择位 T3T4SEL T3 T3CLKO T4 T4CLKO 0 P0.4 P0.5 P0.6 P0.7 1 P0.0 P0.1 P0.2 P0.3 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 138 - STC8H 系列技术手册 3.1.5 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 高级 PWM 选择寄存器(PWMx_PS) 符号 地址 PWMA_PS FEB2H C4PS[1:0] C3PS[1:0] C2PS[1:0] C1PS[1:0] PWMB_PS FEB6H C8PS[1:0] C7PS[1:0] C6PS[1:0] C5PS[1:0] B7 B6 B5 B4 B3 B2 B1 B0 C1PS[1:0]:高级 PWM 通道 1 输出脚选择位 C1PS[1:0] PWM1P PWM1N 00 P1.0 P1.1 01 P2.0 P2.1 10 P6.0 P6.1 11 - - C2PS[1:0]:高级 PWM 通道 2 输出脚选择位 C2PS[1:0] PWM2P PWM2N 00 P1.2/P5.4 P1.3 01 P2.2 P2.3 10 P6.2 P6.3 11 - - C3PS[1:0]:高级 PWM 通道 3 输出脚选择位 C3PS[1:0] PWM3P PWM3N 00 P1.4 P1.5 01 P2.4 P2.5 10 P6.4 P6.5 11 - - C4PS[1:0]:高级 PWM 通道 4 输出脚选择位 C4PS[1:0] PWM4P PWM4N 00 P1.6 P1.7 01 P2.6 P2.7 10 P6.6 P6.7 11 P3.4 P3.3 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 139 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 C5PS[1:0]:高级 PWM 通道 5 输出脚选择位 C5PS[1:0] PWM5 00 P2.0 01 P1.7 10 P0.0 11 P7.4 C6PS[1:0]:高级 PWM 通道 6 输出脚选择位 C6PS[1:0] PWM6 00 P2.1 01 P5.4 10 P0.1 11 P7.5 C7PS[1:0]:高级 PWM 通道 7 输出脚选择位 C7PS[1:0] PWM7 00 P2.2 01 P3.3 10 P0.2 11 P7.6 C8PS[1:0]:高级 PWM 通道 8 输出脚选择位 C8PS[1:0] PWM8 00 P2.3 01 P3.4 10 P0.3 11 P7.7 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 140 - STC8H 系列技术手册 3.1.6 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 高级 PWM 功能脚选择寄存器(PWMx_ETRPS) 符号 地址 PWMA_ETRPS FEB0H BRKAPS ETRAPS[1:0] PWMB_ETRPS FEB4H BRKBPS ETRBPS[1:0] B7 B6 B5 B4 B3 B2 B1 B0 ETRAPS[1:0]:高级 PWMA 的外部触发脚 ERI 选择位 ETRAPS [1:0] PWMETI 00 P3.2 01 P4.1 10 P7.3 11 - ETRBPS[1:0]:高级 PWMB 的外部触发脚 ERIB 选择位 ETRBPS [1:0] PWMETI2 00 P3.2 01 P0.6 10 - 11 - BRKAPS:高级 PWMA 的刹车脚 PWMFLT 选择位 BRKAPS PWMFLT 0 P3.5 1 比较器的输出 BRKBPS:高级 PWMB 的刹车脚 PWMFLT2 选择位 BRKBPS PWMFLT2 0 P3.5 1 比较器的输出 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 141 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 3.2 范例程序 串口 1 切换 3.2.1 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" sfr P_SW1 = 0xa2; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; // // // P_SW1 = 0x00; P_SW1 = 0x40; P_SW1 = 0x80; P_SW1 = 0xc0; //RXD/P3.0, TXD/P3.1 //RXD_2/P3.6, TXD_2/P3.7 //RXD_3/P1.6, TXD_3/P1.7 //RXD_4/P4.3, TXD_4/P4.4 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz P_SW1 DATA 0A2H P1M1 P1M0 DATA DATA 091H 092H 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 142 - STC8H 系列技术手册 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 官方网站: www.STCMCUDATA.com DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP 0000H MAIN ORG 0100H MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV MOV P_SW1,#00H P_SW1,#40H P_SW1,#80H P_SW1,#0C0H SJMP $ 技术支持: 19864585985 选型顾问: 13922805190 MAIN: ; ; ; ;RXD/P3.0, TXD/P3.1 ;RXD_2/P3.6, TXD_2/P3.7 ;RXD_3/P1.6, TXD_3/P1.7 ;RXD_4/P4.3, TXD_4/P4.4 END 3.2.2 串口 2 切换 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" sfr P_SW2 = 0xba; sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 143 - STC8H 系列技术手册 sfr sfr sfr sfr P4M1 P4M0 P5M1 P5M0 官方网站: www.STCMCUDATA.com = = = = 技术支持: 19864585985 选型顾问: 13922805190 0xb3; 0xb4; 0xc9; 0xca; void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; // P_SW2 = 0x00; P_SW2 = 0x01; //RXD2/P1.0, TXD2/P1.1 //RXD2_2/P4.6, TXD2_2/P4.7 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz P_SW2 DATA 0BAH P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP 0000H MAIN ORG 0100H MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H MAIN: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 144 - STC8H 系列技术手册 ; 官方网站: www.STCMCUDATA.com MOV MOV MOV MOV P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV P_SW2,#00H P_SW2,#01H SJMP $ 技术支持: 19864585985 选型顾问: 13922805190 ;RXD2/P1.0, TXD2/P1.1 ;RXD2_2/P4.0, TXD2_2/P4.2 END 3.2.3 串口 3 切换 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" sfr P_SW2 = 0xba; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x93; 0x94; 0x91; 0x92; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; // P_SW2 = 0x00; P_SW2 = 0x02; //RXD3/P0.0, TXD3/P0.1 //RXD3_2/P5.0, TXD3_2/P5.1 while (1); } 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 145 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 汇编代码 ;测试工作频率为 11.0592MHz P_SW2 DATA 0BAH P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 093H 094H 091H 092H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP 0000H MAIN ORG 0100H MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV P_SW2,#00H P_SW2,#02H SJMP $ MAIN: ; ;RXD3/P0.0, TXD3/P0.1 ;RXD3_2/P5.0, TXD3_2/P5.1 END 3.2.4 串口 4 切换 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" sfr P_SW2 = 0xba; sfr sfr P0M1 P0M0 = = 0x93; 0x94; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 146 - STC8H 系列技术手册 sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 官方网站: www.STCMCUDATA.com = = = = = = = = = = 技术支持: 19864585985 选型顾问: 13922805190 0x91; 0x92; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; // P_SW2 = 0x00; P_SW2 = 0x04; //RXD4/P0.2, TXD4/P0.3 //RXD4_2/P5.2, TXD4_2/P5.3 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz P_SW2 DATA 0BAH P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 093H 094H 091H 092H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP 0000H MAIN ORG 0100H MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H MAIN: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 147 - STC8H 系列技术手册 ; 官方网站: www.STCMCUDATA.com MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV P_SW2,#00H P_SW2,#04H SJMP $ 技术支持: 19864585985 选型顾问: 13922805190 ;RXD4/P0.2, TXD4/P0.3 ;RXD4_2/P5.2, TXD4_2/P5.3 END 3.2.5 SPI 切换 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" sfr P_SW1 = 0xa2; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; P_SW1 = 0x00; 深圳国芯人工智能有限公司 //SS/P1.2, MOSI/P1.3, MISO/P1.4, SCLK/P1.5 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 148 - STC8H 系列技术手册 // // // 官方网站: www.STCMCUDATA.com P_SW1 = 0x04; P_SW1 = 0x08; P_SW1 = 0x0c; 技术支持: 19864585985 选型顾问: 13922805190 //SS_2/P2.2, MOSI_2/P2.3, MISO_2/P2.4, SCLK_2/P2.5 //SS_3/P5.4, MOSI_3/P4.0, MISO_3/P4.1, SCLK_3/P4.3 //SS_4/P3.5, MOSI_4/P3.4, MISO_4/P3.3, SCLK_4/P3.2 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz P_SW1 DATA 0A2H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP 0000H MAIN ORG 0100H MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV MOV P_SW1,#00H P_SW1,#04H P_SW1,#08H P_SW1,#0CH SJMP $ MAIN: ; ; ; ;SS/P1.2, MOSI/P1.3, MISO/P1.4, SCLK/P1.5 ;SS_2/P2.2, MOSI_2/P2.3, MISO_2/P2.4, SCLK_2/P2.5 ;SS_3/P5.4, MOSI_3/P4.0, MISO_3/P4.1, SCLK_3/P4.3 ;SS_4/P3.5, MOSI_4/P3.4, MISO_4/P3.3, SCLK_4/P3.2 END 3.2.6 I2C 切换 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 149 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" sfr P_SW2 = 0xba; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; // // // P_SW2 = 0x00; P_SW2 = 0x10; P_SW2 = 0x20; P_SW2 = 0x30; //SCL/P1.5, SDA/P1.4 //SCL_2/P2.5, SDA_2/P2.4 //SCL_3/P7.7, SDA_3/P7.6 //SCL_4/P3.2, SDA_4/P3.3 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz P_SW2 DATA 0BAH P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 150 - STC8H 系列技术手册 P5M1 P5M0 官方网站: www.STCMCUDATA.com DATA DATA 0C9H 0CAH ORG LJMP 0000H MAIN ORG 0100H MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV MOV P_SW2,#00H P_SW2,#10H P_SW2,#20H P_SW2,#30H SJMP $ 技术支持: 19864585985 选型顾问: 13922805190 MAIN: ; ; ; ;SCL/P1.5, SDA/P1.4 ;SCL_2/P2.5, SDA_2/P2.4 ;SCL_3/P7.7, SDA_3/P7.6 ;SCL_4/P3.2, SDA_4/P3.3 END 3.2.7 比较器输出切换 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" sfr P_SW2 = 0xba; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; void main() { P0M0 = 0x00; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 151 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; // P_SW2 = 0x00; P_SW2 = 0x08; //CMPO/P3.4 //CMPO_2/P4.1 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz P_SW2 DATA 0BAH P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP 0000H MAIN ORG 0100H MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV P_SW2,#00H P_SW2,#08H MAIN: ; 深圳国芯人工智能有限公司 ;CMPO/P3.4 ;CMPO_2/P4.1 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 152 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com SJMP 技术支持: 19864585985 选型顾问: 13922805190 $ END 主时钟输出切换 3.2.8 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #define CLKOCR (*(unsigned char volatile xdata *)0xfe00) sfr P_SW2 = 0xba; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; // P_SW2 = 0x80; CLKOCR = 0x04; CLKOCR = 0x84; P_SW2 = 0x00; //IRC/4 output via MCLKO/P5.4 //IRC/4 output via MCLKO_2/P1.6 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 153 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com P_SW2 DATA 0BAH CLKOCR EQU 0FE05H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP 0000H MAIN ORG 0100H MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV MOV MOVX MOV P_SW2,#80H A,#04H A,#84H DPTR,#CLKOCR @DPTR,A P_SW2,#00H SJMP $ 技术支持: 19864585985 选型顾问: 13922805190 MAIN: ; ;IRC/4 output via MCLKO/P5.4 ;IRC/4 output via MCLKO_2/P1.6 END 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 154 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 4 封装尺寸图 4.1 SOP8 封装尺寸图 8 5 1 4 e (1.27mm) MAX 1.75 0.25 1.65 0.75 0.45 5.00 6.20 4.00 0.80 - R1 A3 R A1 A A2 一般尺寸 测量单位:毫米/mm MIN TYP 1.35 1.60 0.10 0.15 1.25 1.45 0.55 0.65 0.35 0.40 4.80 4.90 5.80 6.00 3.80 3.90 1.27BSC 0.45 0.60 1.04REF 0.25BSC 0.07 0.07 - SYMBOL A A1 A2 A3 b D E E1 e L L1 L2 R1 R2 E1 (3.9mm) E (6.0mm) D (4.9mm) L2 b(0.40mm) ? L L1 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 155 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 4.2 DFN8 封装尺寸图(3mm*3mm) E (3mm) D (3mm) 1 8 PIN 1 4 5 L(0.4mm) K D2 4 MAX 0.80 0.05 0.60 0.225 3.11 3.11 1.75 2.45 0.55 0.419 - A3 A1 A R b(0.2mm) E2 e(0.5mm) 1 SYMBOL A A1 A2 A3 b D E D2 E2 e L K R 一般尺寸 测量单位:毫米/mm MIN TYP 0.70 0.75 0 0.02 0.50 0.55 0.203REF 0.175 0.20 2.89 3.00 2.89 3.00 1.65 1.70 2.35 2.40 0.45 0.50 0.381 0.40 0.275REF 0.125 - STC 现有 DFN8 封装芯片的背面金属片(衬底) ,在芯片内部并未接地,在用户的 PCB 板上可以接地, 也可以不接地,不会对芯片性能造成影响 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 156 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 4.3 SOP16 封装尺寸图 16 9 1 8 e (1.27mm) MAX 1.75 0.25 1.65 0.75 0.45 10.00 6.20 4.00 0.80 - R1 A3 R A1 A A2 一般尺寸 测量单位:毫米/mm MIN TYP 1.35 1.60 0.10 0.15 1.25 1.45 0.55 0.65 0.35 0.40 9.80 9.90 5.80 6.00 3.80 3.90 1.27BSC 0.45 0.60 1.04REF 0.25BSC 0.07 0.07 - SYMBOL A A1 A2 A3 b D E E1 e L L1 L2 R1 R2 E1 (3.9mm) E (6.0mm) D (9.9mm) L2 ? L b(0.40mm) L1 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 157 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 4.4 TSSOP20 封装尺寸图 D (6.5mm) 11 SYMBOL A A1 A2 A3 b D E E1 e L L1 L2 R1 R2 E1 (4.4mm) E (6.5mm) 20 1 10 e (0.65mm) MAX 1.20 0.15 1.05 0.54 0.28 6.60 6.60 4.50 0.75 - R1 A3 R A1 A A2 一般尺寸 测量单位:毫米/mm MIN TYP 0.05 0.90 1.00 0.34 0.44 0.20 0.24 6.40 6.50 6.20 6.50 4.30 4.40 0.65BSC 0.45 0.60 1.00REF 0.25BSC 0.09 0.09 - L2 ? L b(0.24mm) L1 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 158 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 4.5 QFN20 封装尺寸图(3mm*3mm) D (3mm) 20 16 1 SYMBOL A A1 A2 A3 b D E D2 E2 e L K R C1 C2 E (3mm) 15 PIN 1 5 11 6 10 K 18 一般尺寸 测量单位:毫米/mm MIN TYP 0.70 0.75 0 0.02 0.50 0.55 0.20REF 0.15 0.20 2.90 3.00 2.90 3.00 1.40 1.50 1.40 1.50 0.30 0.40 0.35 0.40 0.35REF 0.085 0.07 0.07 MAX 0.80 0.05 0.60 0.25 3.10 3.10 1.60 1.60 0.50 0.45 - 20 L E2 D2 R 4 11 C1 C2 A3 b(0.20mm) A1 A e(0.4mm) 1 STC 现有 QFN20 封装芯片的背面金属片(衬底) ,在芯片内部并未接地,在用户的 PCB 板上可以接地, 也可以不接地,不会对芯片性能造成影响 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 159 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 4.6 LQFP32 封装尺寸图(9mm*9mm) D (9mm) D1 (7mm) 24 17 16 32 9 E (9mm) E1 (7mm) 25 SYMBOL A A1 A2 A3 b1 b c D D1 E E1 e L L L1 R R1 1 8 e (0.80mm) b(0.30mm) ? 一般尺寸 测量单位:毫米/mm MIN TYP 1.45 1.55 0.01 1.35 1.40 0.254 0.30 0.35 0.31 0.37 0.127 8.80 9.00 6.90 7.00 8.80 9.00 6.90 7.00 0.70 0.80 0.43 1.00REF 0.25BSC 0.1 0.1 0° - MAX 1.65 0.21 1.45 0.40 0.43 9.20 7.10 9.20 7.10 0.90 0.71 0.25 10° (A-A的截面图) R1 R A3 A2 A c ? L A1 b1 b L1 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 160 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 4.7 QFN32 封装尺寸图(4mm*4mm) D (4mm) 32 19 1 SYMBOL A A1 A2 A3 b D E D2 E2 e L K R C1 C2 18 E (4mm) PIN 1 8 17 9 16 K 27 一般尺寸 测量单位:毫米/mm MIN TYP 0.70 0.75 0 0.02 0.50 0.55 0.20REF 0.15 0.20 3.90 4.00 3.90 4.00 2.60 2.70 2.60 2.70 0.30 0.40 0.35 0.40 0.25REF 0.09 0.16 0.16 MAX 0.80 0.05 0.60 0.25 4.10 4.10 2.80 2.80 0.50 0.45 - 32 L e(0.4mm) 1 E2 R 17 b(0.20mm) 12 C1 A3 7 A1 A D2 C2 STC 现有 QFN32 封装芯片的背面金属片(衬底) ,在芯片内部并未接地,在用户的 PCB 板上可以接地, 也可以不接地,不会对芯片性能造成影响 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 161 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 4.8 LQFP48 封装尺寸图(9mm*9mm) D (9mm) D1 (7mm) 25 37 24 48 13 E1 (7mm) E (9mm) 36 1 12 e (0.5mm) SYMBOL A A1 A2 A3 b b1 c c1 D D1 E E1 e L L1 L2 R1 R2 S 一般尺寸 测量单位:毫米/mm MIN TYP 0.05 1.35 1.40 0.59 0.64 0.18 0.17 0.20 0.13 0.12 0.127 8.80 9.00 6.90 7.00 8.80 9.00 6.90 7.00 0.45 0.50 0.45 0.60 1.00REF 0.25BSC 0.08 0.08 0.20 - MAX 1.60 0.15 1.45 0.69 0.27 0.23 0.18 0.134 9.20 7.10 9.20 7.10 0.55 0.75 0.20 - b A A2 A3 R1 A1 R2 L2 L b b1 c L1 c1 (A-A的截面图) 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 162 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 4.9 QFN48 封装尺寸图(6mm*6mm) D (6mm) 48 37 1 36 E (6mm) PIN 1 12 25 13 SYMBOL A A1 A3 b D E D2 E2 e L K R 一般尺寸 测量单位:毫米/mm MIN TYP 0.70 0.75 0.00 0.02 0.20REF 0.15 0.20 5.90 6.00 5.90 6.00 3.95 4.05 3.95 4.05 0.35 0.40 0.35 0.40 0.20 0.09 - MAX 0.80 0.05 0.25 6.10 6.10 4.15 4.15 0.45 0.45 - 24 K 39 48 A3 1 35 A1 A L E2 e(0.4mm) R D2 25 12 24 b(0.20mm) 13 STC 现有 QFN48 封装芯片的背面金属片(衬底) ,在芯片内部并未接地,在用户的 PCB 板上可以接地, 也可以不接地,不会对芯片性能造成影响 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 163 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 4.10 LQFP64S 封装尺寸图(12mm*12mm) A D (12mm) A2 D1 (10mm) 48 A3 33 49 32 E1 (10mm) E (12mm) TOP E-MARK 2-Φ1.8±0.1 DEPTH 0.1±0.05 BTM E-MARK 2-Φ1.8±0.1 DEPTH 0.1±0.05 INDEX Φ1.2±0.1 DEPTH 0.2±0.1 64 17 1 16 e (0.5mm) SYMBOL A A1 A2 A3 b b1 c c1 D D1 E E1 e L L1 L2 R1 R2 S ? ?1 ?2 ?3 深圳国芯人工智能有限公司 一般尺寸 测量单位:毫米/mm MIN TYP 0.05 1.35 1.40 0.59 0.64 0.18 0.17 0.20 0.13 0.12 0.127 11.80 12.00 9.90 10.00 11.80 12.00 9.90 10.00 0.50BSC 0.45 0.60 1.00REF 0.25BSC 0.08 0.08 0.20 0° 3.5° 0° 11° 12° 11° 12° A1 b ?2 R1 MAX 1.60 0.15 1.45 0.69 0.27 0.23 0.18 0.134 12.20 10.10 12.20 10.10 ?1 R2 ?3 ? L2 L1 L S b 0.75 b1 0.20 7° 13° 13° c c1 国内分销商电话: 0513-5501 2928/2929/2966 (A-A的截面图) 传真: 0513-5501 2926/2956/2947 - 164 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 4.11 QFN64 封装尺寸图(8mm*8mm) D (8mm) 64 49 1 48 E (8mm) PIN 1 16 SYMBOL A A1 A2 A3 b D E D2 E2 e L K R 一般尺寸 测量单位:毫米/mm MIN TYP 0.70 0.75 0.00 0.02 0.50 0.55 0.20REF 0.15 0.20 7.90 8.00 7.90 8.00 5.90 6.00 5.90 6.00 0.30 0.40 0.30 0.40 0.40 0.09 - MAX 0.80 0.05 0.60 0.25 8.10 8.10 6.10 6.10 0.50 0.50 - 33 17 K 51 A3 A1 A 32 64 L 1 47 R e(0.4mm) E2 D2 33 16 32 b(0.20mm) 17 STC 现有 QFN64 封装芯片的背面金属片(衬底) ,在芯片内部并未接地,在用户的 PCB 板上可以接地, 也可以不接地,不会对芯片性能造成影响 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 165 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 4.12 STC8H 系列单片机命名规则 STC 8x xK xx Sx U USB 串口 4:4个独立串口 2:2个独立串口 程序空间大小 08:8K字节 16:16K字节 28:28K字节 64:64K字节 SRAM空间大小 1K:1K字节 2K:2K字节 8K:8K字节 子系列 8H:STC8H系列 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 166 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 5 ISP 下载及典型应用线路图 5.1 STC8H 系列 ISP 下载应用线路图 使用 RS-232 转换器下载(一般精度 ADC),也可支持仿真 5.1.1 注:此管脚为SOP32/DIP32的示意图, LQFP32请参考具体管脚图 系统电源 (可从USB取电) Vin Power On Vcc 47u C? 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 P0.0 P0.1 P0.2 P0.3 P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 P5.4 Vcc Vref+ Gnd P2.7 P2.6 P2.5 P2.4 P2.3 P2.2 P2.1 P2.0 P3.7 P3.6 P3.5 P3.4 P3.3 P3.2 P3.1 P3.0 Vcc 32 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 Vcc SP3232/ SP232/ MAX3232/ MAX232 0.1u 0.1u 0.1u 0.1u 1 2 3 4 5 6 7 8 C1+ VCC V+ GND C1T1OUT C2+ R1IN C2R1OUT VT1IN T2OUT T2IN R2IN R2OUT 16 10u 15 14 13 12 11 10 9 0.1u 1 6 2 7 3 8 4 9 5 100欧 STC8H1K16 1N5819 47u钽电容(封装3528)参考价 8; TR1 = 1; AUXR = 0x40; busy = 0; } void UartSend(char dat) { while (busy); busy = 1; SBUF = dat; } void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; char i; UartInit(); ES = 1; EA = 1; P_SW2 = 0x80; for (i=0; i> 8; TR1 = 1; AUXR = 0x40; busy = 0; } void UartSend(char dat) { while (busy); busy = 1; SBUF = dat; } void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; char i; ID = (char code *)0x3ff9; UartInit(); ES = 1; EA = 1; // STC8H1K16 for (i=0; i> 8; TR1 = 1; AUXR = 0x40; busy = 0; } void UartSend(char dat) { while (busy); busy = 1; SBUF = dat; } void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; char i; ID = (char idata *)0xf1; UartInit(); ES = 1; EA = 1; for (i=0; i> 8; TR1 = 1; AUXR = 0x40; busy = 0; } void UartSend(char dat) { while (busy); busy = 1; SBUF = dat; } void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; F32K = (int code *)0xeff5; UartInit(); ES = 1; EA = 1; // STC8A8K60S4 P_SW2 = 0x80; UartSend(F32K_ADDR >> 8); UartSend(F32K_ADDR); 深圳国芯人工智能有限公司 //读取 32K 频率的高字节 //读取 32K 频率的低字节 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 274 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz CPUIDBASE F32K_ADDR EQU EQU 0FDE0H CPUIDBASE + 09H AUXR P_SW2 DATA DATA 8EH 0BAH BUSY BIT 20H.0 P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 093H 094H 091H 092H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0023H UART_ISR ORG 0100H JNB CLR CLR TI,CHKRI TI BUSY UART_ISR: CHKRI: JNB CLR UARTISR_EXIT: RETI RI,UARTISR_EXIT RI UART_INIT: MOV MOV MOV MOV SETB MOV CLR RET SCON,#50H TMOD,#00H TL1,#0E8H TH1,#0FFH TR1 AUXR,#40H BUSY ;65536-11059200/115200/4=0FFE8H UART_SEND: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 275 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com JB SETB MOV RET BUSY,$ BUSY SBUF,A MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H LCALL SETB SETB UART_INIT ES EA MOV MOV CLR MOVX LCALL INC CLR MOVX LCALL P_SW2,#80H DPTR,# F32K_ADDR A A,@DPTR UART_SEND DPTR A A,@ DPTR UART_SEND JMP LOOP 技术支持: 19864585985 选型顾问: 13922805190 MAIN: ;读取 32K 频率的高字节 ;读取 32K 频率的低字节 LOOP: END 7.5.8 读取 32K 掉电唤醒定时器的频率 (从 Flash 程序存储器 (ROM)中读取) C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" #define #define FOSC BRT 11059200UL (65536 - FOSC / 115200 / 4) sfr AUXR = 深圳国芯人工智能有限公司 0x8e; 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 276 - STC8H 系列技术手册 sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 bit int busy; *F32K; 官方网站: www.STCMCUDATA.com = = = = = = = = = = = = 技术支持: 19864585985 选型顾问: 13922805190 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; void UartIsr() interrupt 4 { if (TI) { TI = 0; busy = 0; } if (RI) { RI = 0; } } void UartInit() { SCON = 0x50; TMOD = 0x00; TL1 = BRT; TH1 = BRT >> 8; TR1 = 1; AUXR = 0x40; busy = 0; } void UartSend(char dat) { while (busy); busy = 1; SBUF = dat; } void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 277 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P5M0 = 0x00; P5M1 = 0x00; F32K = (int code *)0x3ff5; UartInit(); ES = 1; EA = 1; // STC8H1K16 UartSend(*F32K >> 8); UartSend(*F32K); //读取 32K 频率的高字节 //读取 32K 频率的低字节 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz AUXR F32K DATA EQU 8EH 03FF5H BUSY BIT 20H.0 P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0023H UART_ISR ORG 0100H JNB CLR CLR TI,CHKRI TI BUSY ; STC8H1K16 UART_ISR: CHKRI: JNB CLR UARTISR_EXIT: RETI RI,UARTISR_EXIT RI UART_INIT: MOV MOV MOV MOV SETB 深圳国芯人工智能有限公司 SCON,#50H TMOD,#00H TL1,#0E8H TH1,#0FFH TR1 ;65536-11059200/115200/4=0FFE8H 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 278 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com MOV CLR RET AUXR,#40H BUSY JB SETB MOV RET BUSY,$ BUSY SBUF,A MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H LCALL SETB SETB UART_INIT ES EA MOV CLR MOVC LCALL INC CLR MOVC LCALL DPTR,#F32K A A,@A+DPTR UART_SEND DPTR A A,@A+DPTR UART_SEND JMP LOOP 技术支持: 19864585985 选型顾问: 13922805190 UART_SEND: MAIN: ;读取 32K 频率的高字节 ;读取 32K 频率的低字节 LOOP: END 7.5.9 读取 32K 掉电唤醒定时器的频率 (从 RAM 中读取) C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" #define #define FOSC BRT 11059200UL (65536 - FOSC / 115200 / 4) sfr AUXR = 深圳国芯人工智能有限公司 0x8e; 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 279 - STC8H 系列技术手册 sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 bit int busy; *F32K; 官方网站: www.STCMCUDATA.com = = = = = = = = = = = = 技术支持: 19864585985 选型顾问: 13922805190 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; void UartIsr() interrupt 4 { if (TI) { TI = 0; busy = 0; } if (RI) { RI = 0; } } void UartInit() { SCON = 0x50; TMOD = 0x00; TL1 = BRT; TH1 = BRT >> 8; TR1 = 1; AUXR = 0x40; busy = 0; } void UartSend(char dat) { while (busy); busy = 1; SBUF = dat; } void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 280 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; F32K = (int idata *)0xf8; UartInit(); ES = 1; EA = 1; //读取 32K 频率的高字节 //读取 32K 频率的低字节 UartSend(*F32K >> 8); UartSend(*F32K); while (1); } 汇编代码 ;测试工作频率为 11.0592MHz AUXR F32K DATA DATA 8EH 0F8H BUSY BIT 20H.0 P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0023H UART_ISR ORG 0100H JNB CLR CLR TI,CHKRI TI BUSY UART_ISR: CHKRI: JNB CLR UARTISR_EXIT: RETI RI,UARTISR_EXIT RI UART_INIT: MOV MOV MOV MOV 深圳国芯人工智能有限公司 SCON,#50H TMOD,#00H TL1,#0E8H TH1,#0FFH ;65536-11059200/115200/4=0FFE8H 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 281 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com SETB MOV CLR RET TR1 AUXR,#40H BUSY JB SETB MOV RET BUSY,$ BUSY SBUF,A MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H LCALL SETB SETB UART_INIT ES EA MOV MOV LCALL INC MOV LCALL R0,#F32K A,@R0 UART_SEND R0 A,@R0 UART_SEND JMP LOOP 技术支持: 19864585985 选型顾问: 13922805190 UART_SEND: MAIN: ;读取 32K 频率的高字节 ;读取 32K 频率的低字节 LOOP: END 7.5.10 用户自定义内部 IRC 频率 (从 CHIPID 中读取) C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" #define #define CLKSEL CLKDIV #define #define USBCHIPID CPUIDBASE 深圳国芯人工智能有限公司 (*(unsigned char volatile xdata *)0xfe00) (*(unsigned char volatile xdata *)0xfe01) 0xfde0 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 282 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 #define #define #ifdef #define #define #define #define #define #define #define #define #else #define #define #define #define #define #define #define #define #endif #define #define #define #define T22M_ADDR T24M_ADDR USBCHIPID T27M_ADDR T30M_ADDR T33M_ADDR T35M_ADDR T36M_ADDR T40M_ADDR T44M_ADDR T48M_ADDR (*(unsigned char volatile xdata *)(CPUIDBASE + 0x0b)) (*(unsigned char volatile xdata *)(CPUIDBASE + 0x0c)) //22.1184MHz //24MHz (*(unsigned char volatile xdata *)(CPUIDBASE + 0x0d)) (*(unsigned char volatile xdata *)(CPUIDBASE + 0x0e)) (*(unsigned char volatile xdata *)(CPUIDBASE + 0x0f)) (*(unsigned char volatile xdata *)(CPUIDBASE + 0x10)) (*(unsigned char volatile xdata *)(CPUIDBASE + 0x11)) (*(unsigned char volatile xdata *)(CPUIDBASE + 0x12)) (*(unsigned char volatile xdata *)(CPUIDBASE + 0x13)) (*(unsigned char volatile xdata *)(CPUIDBASE + 0x14)) //27MHz //30MHz //33.1776MHz //35MHz //36.864MHz //40MHz //44.2368MHz //48MHz T20M_ADDR T27M_ADDR T30M_ADDR T33M_ADDR T35M_ADDR T36M_ADDR T40M_ADDR T45M_ADDR (*(unsigned char volatile xdata *)(CPUIDBASE + 0x0d)) (*(unsigned char volatile xdata *)(CPUIDBASE + 0x0e)) (*(unsigned char volatile xdata *)(CPUIDBASE + 0x0f)) (*(unsigned char volatile xdata *)(CPUIDBASE + 0x10)) (*(unsigned char volatile xdata *)(CPUIDBASE + 0x11)) (*(unsigned char volatile xdata *)(CPUIDBASE + 0x12)) (*(unsigned char volatile xdata *)(CPUIDBASE + 0x13)) (*(unsigned char volatile xdata *)(CPUIDBASE + 0x14)) //20MHz //27MHz //30MHz //33.1776MHz //35MHz //36.864MHz //40MHz //45MHz VRT6M_ADDR VRT10M_ADDR VRT27M_ADDR VRT44M_ADDR (*(unsigned char volatile xdata *)(CPUIDBASE + 0x15)) (*(unsigned char volatile xdata *)(CPUIDBASE + 0x16)) (*(unsigned char volatile xdata *)(CPUIDBASE + 0x17)) (*(unsigned char volatile xdata *)(CPUIDBASE + 0x18)) //VRTRIM_6M //VRTRIM_10M //VRTRIM_27M //VRTRIM_44M sfr sfr sfr sfr P_SW2 IRCBAND IRTRIM VRTRIM = = = = 0xba; 0x9d; 0x9f; 0xa6; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 283 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 //#ifndef USBCHIPID // //选择 20MHz // P_SW2 = 0x80; // CLKDIV = 0x04; // IRTRIM = T20M_ADDR; // VRTRIM = VRT27M_ADDR; // IRCBAND = 0x02; // CLKDIV = 0x00; //#endif // // // // // // // //选择 22.1184MHz P_SW2 = 0x80; CLKDIV = 0x04; IRTRIM = T22M_ADDR; VRTRIM = VRT27M_ADDR; IRCBAND = 0x02; CLKDIV = 0x00; //选择 24MHz P_SW2 = 0x80; CLKDIV = 0x04; IRTRIM = T24M_ADDR; VRTRIM = VRT27M_ADDR; IRCBAND = 0x02; CLKDIV = 0x00; // // // // // // // //选择 27MHz P_SW2 = 0x80; CLKDIV = 0x04; IRTRIM = T27M_ADDR; VRTRIM = VRT27M_ADDR; IRCBAND = 0x02; CLKDIV = 0x00; // // // // // // // //选择 30MHz P_SW2 = 0x80; CLKDIV = 0x04; IRTRIM = T30M_ADDR; VRTRIM = VRT27M_ADDR; IRCBAND = 0x02; CLKDIV = 0x00; // // // // // // // //选择 33.1776MHz P_SW2 = 0x80; CLKDIV = 0x04; IRTRIM = T33M_ADDR; VRTRIM = VRT27M_ADDR; IRCBAND = 0x02; CLKDIV = 0x00; // // // // // // // //选择 35MHz P_SW2 = 0x80; CLKDIV = 0x04; IRTRIM = T35M_ADDR; VRTRIM = VRT44M_ADDR; IRCBAND = 0x03; CLKDIV = 0x00; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 284 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 //#ifdef USBCHIPID // //选择 44.2368MHz // P_SW2 = 0x80; // CLKDIV = 0x04; // IRTRIM = T44M_ADDR; // VRTRIM = VRT44M_ADDR; // IRCBAND = 0x03; // CLKDIV = 0x00; // //选择 48MHz // P_SW2 = 0x80; // CLKDIV = 0x04; // IRTRIM = T48M_ADDR; // VRTRIM = VRT44M_ADDR; // IRCBAND = 0x03; // CLKDIV = 0x00; //#else // //选择 40MHz // P_SW2 = 0x80; // CLKDIV = 0x04; // IRTRIM = T40M_ADDR; // VRTRIM = VRT44M_ADDR; // IRCBAND = 0x03; // CLKDIV = 0x00; // //选择 45MHz // P_SW2 = 0x80; // CLKDIV = 0x04; // IRTRIM = T45M_ADDR; // VRTRIM = VRT44M_ADDR; // IRCBAND = 0x03; // CLKDIV = 0x00; //#endif while (1); } 汇编代码 ;测试工作频率为 11.0592MHz $SET(USBCHIPID = 1) CPUIDBASE EQU T22M_ADDR EQU T24M_ADDR EQU $IF(USBCHIPID == 1) T27M_ADDR EQU T30M_ADDR EQU T33M_ADDR EQU T35M_ADDR EQU T36M_ADDR EQU T40M_ADDR EQU T44M_ADDR EQU T48M_ADDR EQU $ELSE T20M_ADDR EQU T27M_ADDR EQU T30M_ADDR EQU 深圳国芯人工智能有限公司 0FDE0H CPUIDBASE + 0BH CPUIDBASE + 0CH ;22.1184MHz ;24MHz CPUIDBASE + 0DH CPUIDBASE + 0EH CPUIDBASE + 0FH CPUIDBASE + 10H CPUIDBASE + 11H CPUIDBASE + 12H CPUIDBASE + 13H CPUIDBASE + 14H ;27MHz ;30MHz ;33.1776MHz ;35MHz ;36.864MHz ;40MHz ;44.2368MHz ;20MHz CPUIDBASE + 0DH CPUIDBASE + 0EH CPUIDBASE + 0FH ;20MHz ;27MHz ;30MHz 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 285 - STC8H 系列技术手册 T33M_ADDR T35M_ADDR T36M_ADDR T40M_ADDR T45M_ADDR $ENDIF VRT6M_ADDR VRT10M_ADDR VRT27M_ADDR VRT44M_ADDR 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 EQU EQU EQU EQU EQU CPUIDBASE + 10H CPUIDBASE + 11H CPUIDBASE + 12H CPUIDBASE + 13H CPUIDBASE + 14H ;33.1776MHz ;35MHz ;36.864MHz ;40MHz ;45MHz EQU EQU EQU EQU CPUIDBASE + 15H CPUIDBASE + 16H CPUIDBASE + 17H CPUIDBASE + 18H ;VRTRIM_6M ;VRTRIM_10M ;VRTRIM_27M ;VRTRIM_44M P_SW2 CLKSEL CLKDIV DATA EQU EQU 0BAH 0FE00H 0FE01H IRCBAND IRCTRIM VRTRIM DATA DATA DATA 09DH 09FH 0A6H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP 0000H MAIN ORG 0100H MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H 选型顾问: 13922805190 MAIN: ;$IF(USBCHIPID == 0) ; ;选择 20MHz ; MOV ; MOV ; MOV ; MOVX ; MOV ; CLR 深圳国芯人工智能有限公司 P_SW2,#80H A,#4 DPTR,#CLKDIV @DPTR,A DPTR,#T20M_ADDR A 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 286 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com ; ; ; ; ; ; ; ; ; ; ; ;$ENDIF MOVX MOV MOV CLR MOVX MOV MOV MOV MOV MOVX MOV ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ;选择 22.1184MHz MOV P_SW2,#80H MOV A,#4 MOV DPTR,#CLKDIV MOVX @DPTR,A MOV DPTR,#T22M_ADDR CLR A MOVX A,@DPTR MOV IRTRIM,A MOV DPTR,#VRT27M_ADDR CLR A MOVX A,@DPTR MOV VRTRIM,A MOV IRCBAND,#02H MOV A,#0 MOV DPTR,#CLKDIV MOVX @DPTR,A MOV P_SW2,#00H ; ; ; ; ; ; ; ; 选型顾问: 13922805190 A,@DPTR IRTRIM,A DPTR,#VRT27M_ADDR A A,@DPTR VRTRIM,A IRCBAND,#02H A,#0 DPTR,#CLKDIV @DPTR,A P_SW2,#00H ;选择 24MHz MOV MOV MOV MOV MOV CLR MOVX MOV MOV CLR MOVX MOV MOV MOV MOV MOV MOV P_SW2,#80H A,#4 DPTR,#CLKDIV @DPTR,A DPTR,#T24M_ADDR A A,@DPTR IRTRIM,A DPTR,#VRT27M_ADDR A A,@DPTR VRTRIM,A IRCBAND,#02H A,#0 DPTR,#CLKDIV @DPTR,A P_SW2,#00H ;选择 27MHz MOV MOV MOV MOVX MOV CLR MOVX P_SW2,#80H A,#4 DPTR,#CLKDIV @DPTR,A DPTR,#T27M_ADDR A A,@DPTR 深圳国芯人工智能有限公司 技术支持: 19864585985 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 287 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com ; ; ; ; ; ; ; ; ; ; MOV MOV CLR MOVX MOV MOV MOV MOV MOVX MOV IRTRIM,A DPTR,#VRT27M_ADDR A A,@DPTR VRTRIM,A IRCBAND,#02H A,#0 DPTR,#CLKDIV @DPTR,A P_SW2,#00H ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ;选择 30MHz MOV MOV MOV MOVX MOV CLR MOVX MOV MOV CLR MOVX MOV MOV MOV MOV MOVX MOV P_SW2,#80H A,#4 DPTR,#CLKDIV @DPTR,A DPTR,#T30M_ADDR A A,@DPTR IRTRIM,A DPTR,#VRT27M_ADDR A A,@DPTR VRTRIM,A IRCBAND,#02H A,#0 DPTR,#CLKDIV @DPTR,A P_SW2,#00H ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ;选择 33.1776MHz MOV P_SW2,#80H MOV A,#4 MOV DPTR,#CLKDIV MOVX @DPTR,A MOV DPTR,#T33M_ADDR CLR A MOVX A,@DPTR MOV IRTRIM,A MOV DPTR,#VRT27M_ADDR CLR A MOVX A,@DPTR MOV VRTRIM,A MOV IRCBAND,#02H MOV A,#0 MOV DPTR,#CLKDIV MOVX @DPTR,A MOV P_SW2,#00H ; ; ; ; ; ; ; ; ; ; ;选择 35MHz MOV MOV MOV MOVX MOV CLR MOVX MOV MOV 深圳国芯人工智能有限公司 技术支持: 19864585985 选型顾问: 13922805190 P_SW2,#80H A,#4 DPTR,#CLKDIV @DPTR,A DPTR,#T35M_ADDR A A,@DPTR IRTRIM,A DPTR,#VRT44M_ADDR 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 288 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com ; ; ; ; ; ; ; ; CLR MOVX MOV MOV MOV MOV MOVX MOV ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ;选择 36.864MHz MOV P_SW2,#80H MOV A,#4 MOV DPTR,#CLKDIV MOVX @DPTR,A MOV DPTR,#T36M_ADDR CLR A MOVX A,@DPTR MOV IRTRIM,A MOV DPTR,#VRT44M_ADDR CLR A MOVX A,@DPTR MOV VRTRIM,A MOV IRCBAND,#03H MOV A,#0 MOV DPTR,#CLKDIV MOVX @DPTR,A MOV P_SW2,#00H 技术支持: 19864585985 选型顾问: 13922805190 A A,@DPTR VRTRIM,A IRCBAND,#03H A,#0 DPTR,#CLKDIV @DPTR,A P_SW2,#00H ;$IF(USBCHIPID == 1) ; ;选择 44.2368MHz ; MOV P_SW2,#80H ; MOV A,#4 ; MOV DPTR,#CLKDIV ; MOVX @DPTR,A ; MOV DPTR,#T44M_ADDR ; CLR A ; MOVX A,@DPTR ; MOV IRTRIM,A ; MOV DPTR,#VRT44M_ADDR ; CLR A ; MOVX A,@DPTR ; MOV VRTRIM,A ; MOV IRCBAND,#03H ; MOV A,#0 ; MOV DPTR,#CLKDIV ; MOVX @DPTR,A ; MOV P_SW2,#00H ; ; ; ; ; ; ; ; ; ; ; ;选择 48MHz MOV MOV MOV MOVX MOV CLR MOVX MOV MOV CLR 深圳国芯人工智能有限公司 P_SW2,#80H A,#4 DPTR,#CLKDIV @DPTR,A DPTR,#T48M_ADDR A A,@DPTR IRTRIM,A DPTR,#VRT44M_ADDR A 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 289 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com ; ; ; ; ; ; ; ;$ELSE ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; MOVX MOV MOV MOV MOV MOVX MOV A,@DPTR VRTRIM,A IRCBAND,#03H A,#0 DPTR,#CLKDIV @DPTR,A P_SW2,#00H ;选择 40MHz MOV MOV MOV MOVX MOV CLR MOVX MOV MOV CLR MOVX MOV MOV MOV MOV MOVX MOV P_SW2,#80H A,#4 DPTR,#CLKDIV @DPTR,A DPTR,#T40M_ADDR A A,@DPTR IRTRIM,A DPTR,#VRT44M_ADDR A A,@DPTR VRTRIM,A IRCBAND,#03H A,#0 DPTR,#CLKDIV @DPTR,A P_SW2,#00H ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ;$ENDIF ;选择 45MHz MOV MOV MOV MOVX MOV CLR MOVX MOV MOV CLR MOVX MOV MOV MOV MOV MOVX MOV P_SW2,#80H A,#4 DPTR,#CLKDIV @DPTR,A DPTR,#T45M_ADDR A A,@DPTR IRTRIM,A DPTR,#VRT44M_ADDR A A,@DPTR VRTRIM,A IRCBAND,#03H A,#0 DPTR,#CLKDIV @DPTR,A P_SW2,#00H JMP $ 技术支持: 19864585985 选型顾问: 13922805190 END 7.5.11 用户自定义内部 IRC 频率 (从 Flash 程序存储器(ROM)中 读取) 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 290 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" #define #define CKSEL CLKDIV (*(unsigned char volatile xdata *)0xfe00) (*(unsigned char volatile xdata *)0xfe01) //下表为 STC8H1K08-20Pin 的参数列表 #define ID_ROMADDR ((unsigned char code *)0x1ff9) #define VREF_ROMADDR (*(unsigned int code *)0x1ff7) #define F32K_ROMADDR (*(unsigned int code *)0x1ff5) #define T22M_ROMADDR (*(unsigned char code *)0x1ff4) #define T24M_ROMADDR (*(unsigned char code *)0x1ff3) #define T20M_ROMADDR (*(unsigned char code *)0x1ff2) #define T27M_ROMADDR (*(unsigned char code *)0x1ff1) #define T30M_ROMADDR (*(unsigned char code *)0x1ff0) #define T33M_ROMADDR (*(unsigned char code *)0x1fef) #define T35M_ROMADDR (*(unsigned char code *)0x1fee) #define T36M_ROMADDR (*(unsigned char code *)0x1fed) #define VRT20M_ROMADDR (*(unsigned char code *)0x1fea) #define VRT35M_ROMADDR (*(unsigned char code *)0x1fe9) sfr sfr sfr sfr P_SW2 IRCBAND IRTRIM VRTRIM = = = = 0xba; 0x9d; 0x9f; 0xa6; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; //22.1184MHz //24MHz //20MHz //27MHz //30MHz //33.1776MHz //35MHz //36.864MHz //VRTRIM_20M //VRTRIM_35M void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; // // //选择 20MHz P_SW2 = 0x80; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 291 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com // // // // // CLKDIV = 0x04; IRTRIM = T20M_ROMADDR; VRTRIM = VRT20M_ROMADDR; IRCBAND = 0x00; CLKDIV = 0x00; // // // // // // // //选择 22.1184MHz P_SW2 = 0x80; CLKDIV = 0x04; IRTRIM = T22M_ROMADDR; VRTRIM = VRT20M_ROMADDR; IRCBAND = 0x00; CLKDIV = 0x00; 技术支持: 19864585985 选型顾问: 13922805190 //选择 24MHz P_SW2 = 0x80; CLKDIV = 0x04; IRTRIM = T24M_ROMADDR; VRTRIM = VRT20M_ROMADDR; IRCBAND = 0x00; CLKDIV = 0x00; // // // // // // // //选择 27MHz P_SW2 = 0x80; CLKDIV = 0x04; IRTRIM = T27M_ROMADDR; VRTRIM = VRT35M_ROMADDR; IRCBAND = 0x01; CLKDIV = 0x00; // // // // // // // //选择 30MHz P_SW2 = 0x80; CLKDIV = 0x04; IRTRIM = T30M_ROMADDR; VRTRIM = VRT35M_ROMADDR; IRCBAND = 0x01; CLKDIV = 0x00; // // // // // // // //选择 33.1776MHz P_SW2 = 0x80; CLKDIV = 0x04; IRTRIM = T33M_ROMADDR; VRTRIM = VRT35M_ROMADDR; IRCBAND = 0x01; CLKDIV = 0x00; // // // // // // // //选择 35MHz P_SW2 = 0x80; CLKDIV = 0x04; IRTRIM = T35M_ROMADDR; VRTRIM = VRT35M_ROMADDR; IRCBAND = 0x01; CLKDIV = 0x00; while (1); } 汇编代码 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 292 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 ;测试工作频率为 11.0592MHz ;下表为 STC8H1K08-20Pin 的参数列表 ID_ROMADDR EQU 01FF9H VREF_ROMADDR EQU 01FF7H F32K_ROMADDR EQU 01FF5H T22M_ROMADDR EQU 01FF4H T24M_ROMADDR EQU 01FF3H T20M_ROMADDR EQU 01FF2H T27M_ROMADDR EQU 01FF1H T30M_ROMADDR EQU 01FF0H T33M_ROMADDR EQU 01FEFH T35M_ROMADDR EQU 01FEEH T36M_ROMADDR EQU 01FEDH VRT20M_ROMADDR EQU 01FEAH VRT35M_ROMADDR EQU 01FE9H P_SW2 CKSEL CLKDIV DATA EQU EQU 0BAH 0FE00H 0FE01H IRCBAND IRCTRIM VRTRIM DATA DATA DATA 09DH 09FH 0A6H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP 0000H MAIN ORG 0100H MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H ;选择 20MHz MOV P_SW2,#80H //22.1184MHz //24MHz //20MHz //27MHz //30MHz //33.1776MHz //35MHz //36.864MHz //VRTRIM_20M //VRTRIM_35M MAIN: ; ; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 293 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com ; ; ; ; ; ; ; ; ; ; ; ; ; ; MOV MOV MOV CLR MOVC MOV MOV CLR MOVC MOV MOV MOV MOV MOV ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ;选择 22.1184MHz MOV P_SW2,#80H MOV A,#4 MOV DPTR,#CLKDIV MOV DPTR,#T22M_ROMADDR CLR A MOVC A,@A+DPTR MOV IRTRIM,A MOV DPTR,#VRT20M_ROMADDR CLR A MOVC A,@A+DPTR MOV VRTRIM,A MOV IRCBAND,#00H MOV A,#0 MOV DPTR,#CLKDIV MOV P_SW2,#00H ; ; ; ; ; ; ; ; ; ; 选型顾问: 13922805190 A,#4 DPTR,#CLKDIV DPTR,#T20M_ROMADDR A A,@A+DPTR IRTRIM,A DPTR,#VRT20M_ROMADDR A A,@A+DPTR VRTRIM,A IRCBAND,#00H A,#0 DPTR,#CLKDIV P_SW2,#00H ;选择 24MHz MOV MOV MOV MOV CLR MOVC MOV MOV CLR MOVC MOV MOV MOV MOV MOV P_SW2,#80H A,#4 DPTR,#CLKDIV DPTR,#T24M_ROMADDR A A,@A+DPTR IRTRIM,A DPTR,#VRT20M_ROMADDR A A,@A+DPTR VRTRIM,A IRCBAND,#00H A,#0 DPTR,#CLKDIV P_SW2,#00H ;选择 27MHz MOV MOV MOV MOV CLR MOVC MOV MOV CLR P_SW2,#80H A,#4 DPTR,#CLKDIV DPTR,#T27M_ROMADDR A A,@A+DPTR IRTRIM,A DPTR,#VRT35M_ROMADDR A 深圳国芯人工智能有限公司 技术支持: 19864585985 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 294 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com ; ; ; ; ; ; MOVC MOV MOV MOV MOV MOV A,@A+DPTR VRTRIM,A IRCBAND,#01H A,#0 DPTR,#CLKDIV P_SW2,#00H ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ;选择 30MHz MOV MOV MOV MOV CLR MOVC MOV MOV CLR MOVC MOV MOV MOV MOV MOV P_SW2,#80H A,#4 DPTR,#CLKDIV DPTR,#T30M_ROMADDR A A,@A+DPTR IRTRIM,A DPTR,#VRT35M_ROMADDR A A,@A+DPTR VRTRIM,A IRCBAND,#01H A,#0 DPTR,#CLKDIV P_SW2,#00H ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ;选择 33.1776MHz MOV P_SW2,#80H MOV A,#4 MOV DPTR,#CLKDIV MOV DPTR,#T33M_ROMADDR CLR A MOVC A,@A+DPTR MOV IRTRIM,A MOV DPTR,#VRT35M_ROMADDR CLR A MOVC A,@A+DPTR MOV VRTRIM,A MOV IRCBAND,#01H MOV A,#0 MOV DPTR,#CLKDIV MOV P_SW2,#00H ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; ;选择 35MHz MOV MOV MOV MOV CLR MOVC MOV MOV CLR MOVC MOV MOV MOV MOV MOV ; ;选择 36.864MHz 深圳国芯人工智能有限公司 技术支持: 19864585985 选型顾问: 13922805190 P_SW2,#80H A,#4 DPTR,#CLKDIV DPTR,#T35M_ROMADDR A A,@A+DPTR IRTRIM,A DPTR,#VRT35M_ROMADDR A A,@A+DPTR VRTRIM,A IRCBAND,#01H A,#0 DPTR,#CLKDIV P_SW2,#00H 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 295 - STC8H 系列技术手册 ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; 官方网站: www.STCMCUDATA.com MOV MOV MOV MOV CLR MOVC MOV MOV CLR MOVC MOV MOV MOV MOV MOV P_SW2,#80H A,#4 DPTR,#CLKDIV DPTR,#T36M_ROMADDR A A,@A+DPTR IRTRIM,A DPTR,#VRT35M_ROMADDR A A,@A+DPTR VRTRIM,A IRCBAND,#01H A,#0 DPTR,#CLKDIV P_SW2,#00H JMP $ 技术支持: 19864585985 选型顾问: 13922805190 END 7.5.12 用户自定义内部 IRC 频率 (从 RAM 中读取) C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" #define CLKDIV (*(unsigned char volatile xdata *)0xfe01) sfr sfr P_SW2 IRTRIM = = 0xba; 0x9f; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; char char *IRC22M; *IRC24M; void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 296 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; // IRC22M = (char idata *)0xfa; IRC24M = (char idata *) 0xfb; IRTRIM = *IRC22M; IRTRIM = *IRC24M; P_SW2 = 0x80; CLKDIV = 0; P_SW2 = 0x00; //装载 22.1184MHz 的 IRC 参数 //装载 24MHz 的 IRC 参数 //主时钟不预分频 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz P_SW2 CLKDIV DATA EQU 0BAH 0FE01H IRTRIM DATA 09FH IRC22M IRC24M DATA DATA 0FAH 0FBH P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP 0000H MAIN ORG 0100H MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H MAIN: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 297 - STC8H 系列技术手册 ; ; 官方网站: www.STCMCUDATA.com MOV MOV MOV MOV MOV P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV MOV R0,#IRC22M IRTRIM,@R0 R0,#IRC24M IRTRIM,@R0 MOV MOV MOV MOVX MOV P_SW2,#80H A,#0 DPTR,#CLKDIV @DPTR,A P_SW2,#00H JMP $ 技术支持: 19864585985 选型顾问: 13922805190 ;装载 22.1184MHz 的 IRC 参数 ;装载 24MHz 的 IRC 参数 ;主时钟不预分频 END 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 298 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 8 特殊功能寄存器 8.1 STC8H1K08 系列 0/8 1/9 2/A 3/B 4/C 5/D 6/E F8H F0H RSTCFG B IAP_TPS E8H E0H 7/F AUXINTIF ACC DPS DPL1 DPH1 D8H CMPCR1 CMPCR2 ADCCFG D0H PSW C8H P5 C0H P5M1 P5M0 WDT_CONTR IAP_DATA B8H IP SADEN P_SW2 B0H P3 P3M1 P3M0 A8H IE SADDR WKTCL A0H IAP_ADDRH T2H T2L SPSTAT SPCTL SPDAT IAP_ADDRL IAP_CMD IAP_TRIG IAP_CONTR ADC_CONTR ADC_RES ADC_RESL IP2 IP2H IPH TA IE2 IRCBAND LIRTRIM IRTRIM TH1 AUXR INTCLKO WKTCH P_SW1 98H SCON SBUF S2CON 90H P1 P1M1 P1M0 88H TCON TMOD TL0 TL1 SP DPL DPH 80H S2BUF TH0 PCON 可位寻址 不可位寻址 注意:寄存器地址能够被 8 整除的才可进行位寻址,不能被 8 整除的则不可位寻址 0/8 1/9 2/A 3/B 4/C 5/D 6/E 7/F FEF8H PWMB_CCR6L PWMB_CCR7H PWMB_CCR7L PWMB_CCR8H PWMB_CCR8L PWMB_BKR PWMB_DTR PWMB_OISR FEF0H PWMB_PSCRH PWMB_PSCRL PWMB_ARRH PWMB_ARRL PWMB_RCR PWMB_CCR5H PWMB_CCR5L PWMB_CCR6H FEE8H PWMB_CCMR1 PWMB_CCMR2 PWMB_CCMR3 PWMB_CCMR4 PWMB_CCER1 PWMB_CCER2 PWMB_CNTRH PWMB_CNTRL FEE0H PWMB_CR1 PWMB_CR2 PWMB_SMCR PWMB_ETR PWMB_IER PWMB_SR1 PWMB_SR2 PWMB_EGR FED8H PWMA_CCR2L PWMA_CCR3H PWMA_CCR3L PWMA_CCR4H PWMA_CCR4L PWMA_BKR PWMA_DTR PWMA_OISR FED0H PWMA_PSCRH PWMA_PSCRL PWMA_ARRH PWMA_ARRL PWMA_RCR PWMA_CCR1H PWMA_CCR1L PWMA_CCR2H FEC8H PWMA_CCMR1 PWMA_CCMR2 PWMA_CCMR3 PWMA_CCMR4 PWMA_CCER1 PWMA_CCER2 PWMA_CNTRH PWMA_CNTRL FEC0H PWMA_CR1 PWMA_CR2 PWMA_SMCR PWMA_ETR PWMA_IER PWMA_SR1 PWMA_SR2 PWMA_EGR FEB0H PWMA_ETRPS PWMA_ENO PWMA_PS PWMA_IOAUX PWMB_ETRPS PWMB_ENO PWMB_PS PWMB_IOAUX FEA8H ADCTIM I2CSLCR I2CSLST I2CSLADR I2CTxD I2CRxD FEA0H TM2PS FE88H I2CMSAUX FE80H I2CCFG I2CMSCR I2CMSST FE30H P1IE P3IE FE28H P1DR P3DR P5DR FE20H P1SR P3SR P5SR FE18H P1NCS P3NCS P5NCS 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 299 - STC8H 系列技术手册 FE10H FE00H 官方网站: www.STCMCUDATA.com P1PU CKSEL 深圳国芯人工智能有限公司 CLKDIV 技术支持: 19864585985 P3PU HIRCCR XOSCCR 选型顾问: 13922805190 P5PU IRC32KCR 国内分销商电话: 0513-5501 2928/2929/2966 MCLKOCR IRCDB 传真: 0513-5501 2926/2956/2947 - 300 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 8.2 STC8H1K28 系列 0/8 1/9 2/A 3/B 4/C 5/D 6/E F8H F0H RSTCFG B IAP_TPS E8H E0H 7/F AUXINTIF ACC DPS DPL1 DPH1 D8H CMPCR1 CMPCR2 ADCCFG D0H PSW T4T3M T4H C8H P5 P5M1 P5M0 WDT_CONTR IAP_DATA C0H T4L IAP_ADDRH T3H T3L T2H T2L SPSTAT SPCTL SPDAT IAP_ADDRL IAP_CMD IAP_TRIG IAP_CONTR ADC_CONTR ADC_RES ADC_RESL IP2 IP2H IPH TA IE2 IRCBAND LIRTRIM IRTRIM B8H IP SADEN P_SW2 B0H P3 P3M1 P3M0 A8H IE SADDR WKTCL A0H P2 98H SCON SBUF S2CON S2BUF 90H P1 P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 88H TCON TMOD TL0 TL1 TH0 TH1 AUXR 80H P0 SP DPL DPH WKTCH P_SW1 INTCLKO PCON 可位寻址 不可位寻址 注意:寄存器地址能够被 8 整除的才可进行位寻址,不能被 8 整除的则不可位寻址 0/8 1/9 2/A 3/B 4/C 5/D 6/E 7/F FEF8H PWMB_CCR6L PWMB_CCR7H PWMB_CCR7L PWMB_CCR8H PWMB_CCR8L PWMB_BKR PWMB_DTR PWMB_OISR FEF0H PWMB_PSCRH PWMB_PSCRL PWMB_ARRH PWMB_ARRL PWMB_RCR PWMB_CCR5H PWMB_CCR5L PWMB_CCR6H FEE8H PWMB_CCMR1 PWMB_CCMR2 PWMB_CCMR3 PWMB_CCMR4 PWMB_CCER1 PWMB_CCER2 PWMB_CNTRH PWMB_CNTRL FEE0H PWMB_CR1 PWMB_CR2 PWMB_SMCR PWMB_ETR PWMB_IER PWMB_SR1 PWMB_SR2 PWMB_EGR FED8H PWMA_CCR2L PWMA_CCR3H PWMA_CCR3L PWMA_CCR4H PWMA_CCR4L PWMA_BKR PWMA_DTR PWMA_OISR FED0H PWMA_PSCRH PWMA_PSCRL PWMA_ARRH PWMA_ARRL PWMA_RCR PWMA_CCR1H PWMA_CCR1L PWMA_CCR2H FEC8H PWMA_CCMR1 PWMA_CCMR2 PWMA_CCMR3 PWMA_CCMR4 PWMA_CCER1 PWMA_CCER2 PWMA_CNTRH PWMA_CNTRL FEC0H PWMA_CR1 PWMA_CR2 PWMA_SMCR PWMA_ETR PWMA_IER PWMA_SR1 PWMA_SR2 PWMA_EGR FEB0H PWMA_ETRPS PWMA_ENO PWMA_PS PWMA_IOAUX PWMB_ETRPS PWMB_ENO PWMB_PS PWMB_IOAUX FEA8H ADCTIM TM2PS TM3PS TM4PS I2CMSST I2CSLCR I2CSLST I2CSLADR I2CTxD I2CRxD FEA0H FE88H I2CMSAUX FE80H I2CCFG I2CMSCR FE30H P0IE P1IE FE28H P0DR P1DR P2DR P3DR P5DR FE20H P0SR P1SR P2SR P3SR P5SR FE18H P0NCS P1NCS P2NCS P3NCS P5NCS FE10H P0PU P1PU P2PU P3PU P5PU FE00H CKSEL CLKDIV HIRCCR XOSCCR 深圳国芯人工智能有限公司 IRC32KCR 国内分销商电话: 0513-5501 2928/2929/2966 MCLKOCR IRCDB 传真: 0513-5501 2926/2956/2947 - 301 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 8.3 STC8H3K64S4 系列 0/8 F8H P7 F0H B E8H P6 E0H ACC 1/9 2/A 3/B 4/C 5/D 6/E 7/F RSTCFG IAP_TPS P7M1 P7M0 DPS DPL1 DPH1 D8H IP3H AUXINTIF CMPCR1 CMPCR2 ADCCFG IP3 D0H PSW T4T3M T4H T4L T3H T3L T2H T2L C8H P5 P5M1 P5M0 P6M1 P6M0 SPSTAT SPCTL SPDAT C0H P4 WDT_CONTR IAP_DATA IAP_ADDRH IAP_ADDRL IAP_CMD IAP_TRIG IAP_CONTR B8H IP SADEN P_SW2 ADC_CONTR ADC_RES ADC_RESL B0H P3 P3M1 P3M0 P4M1 P4M0 IP2 IP2H IPH A8H IE SADDR WKTCL WKTCH S3CON S3BUF TA IE2 A0H P2 BUS_SPEED P_SW1 98H SCON SBUF S2CON S2BUF IRCBAND LIRTRIM IRTRIM 90H P1 P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 88H TCON TMOD TL0 TL1 TH0 TH1 AUXR 80H P0 SP DPL DPH S4CON S4BUF INTCLKO PCON 可位寻址 不可位寻址 注意:寄存器地址能够被 8 整除的才可进行位寻址,不能被 8 整除的则不可位寻址 0/8 1/9 2/A 3/B 4/C 5/D 6/E 7/F FEF8H PWMB_CCR6L PWMB_CCR7H PWMB_CCR7L PWMB_CCR8H PWMB_CCR8L PWMB_BKR PWMB_DTR PWMB_OISR FEF0H PWMB_PSCRH PWMB_PSCRL PWMB_ARRH PWMB_ARRL PWMB_RCR PWMB_CCR5H PWMB_CCR5L PWMB_CCR6H FEE8H PWMB_CCMR1 PWMB_CCMR2 PWMB_CCMR3 PWMB_CCMR4 PWMB_CCER1 PWMB_CCER2 PWMB_CNTRH PWMB_CNTRL FEE0H PWMB_CR1 PWMB_CR2 PWMB_SMCR PWMB_ETR PWMB_IER PWMB_SR1 PWMB_SR2 PWMB_EGR FED8H PWMA_CCR2L PWMA_CCR3H PWMA_CCR3L PWMA_CCR4H PWMA_CCR4L PWMA_BKR PWMA_DTR PWMA_OISR FED0H PWMA_PSCRH PWMA_PSCRL PWMA_ARRH PWMA_ARRL PWMA_RCR PWMA_CCR1H PWMA_CCR1L PWMA_CCR2H FEC8H PWMA_CCMR1 PWMA_CCMR2 PWMA_CCMR3 PWMA_CCMR4 PWMA_CCER1 PWMA_CCER2 PWMA_CNTRH PWMA_CNTRL FEC0H PWMA_CR1 PWMA_CR2 PWMA_SMCR PWMA_ETR PWMA_IER PWMA_SR1 PWMA_SR2 PWMA_EGR FEB0H PWMA_ETRPS PWMA_ENO PWMA_PS PWMA_IOAUX PWMB_ETRPS PWMB_ENO PWMB_PS PWMB_IOAUX FEA8H ADCTIM T3T4PIN FEA0H TM2PS TM3PS TM4PS I2CMSST I2CSLCR I2CSLST I2CSLADR I2CTxD I2CRxD FE88H I2CMSAUX FE80H I2CCFG I2CMSCR FE30H P0IE P1IE FE28H P0DR P1DR P2DR P3DR P4DR P5DR P6DR P7DR FE20H P0SR P1SR P2SR P3SR P4SR P5SR P6SR P7SR FE18H P0NCS P1NCS P2NCS P3NCS P4NCS P5NCS P6NCS P7NCS FE10H P0PU P1PU P2PU P3PU P4PU P5PU P6PU P7PU FE08H SPFUNC RSTFLAG 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 302 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 FE00H CKSEL CLKDIV HIRCCR XOSCCR IRC32KCR MCLKOCR IRCDB IRC48MCR FD40H P0WKUE P1WKUE P2WKUE P3WKUE P4WKUE P5WKUE P6WKUE P7WKUE FD30H P0IM1 P1IM1 P2IM1 P3IM1 P4IM1 P5IM1 P6IM1 P7IM1 FD20H P0IM0 P1IM0 P2IM0 P3IM0 P4IM0 P5IM0 P6IM0 P7IM0 FD10H P0INTF P1INTF P2INTF P3INTF P4INTF P5INTF P6INTF P7INTF FD00H P0INTE P1INTE P2INTE P3INTE P4INTE P5INTE P6INTE P7INTE FCF0H MD3 MD2 MD1 MD0 MD5 MD4 ARCON OPCON 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 303 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 8.4 STC8H3K64S2 系列 0/8 F8H P7 F0H B E8H P6 E0H ACC 1/9 2/A 3/B 4/C 5/D 6/E 7/F RSTCFG IAP_TPS P7M1 P7M0 DPS DPL1 DPH1 D8H IP3H AUXINTIF CMPCR1 CMPCR2 ADCCFG IP3 D0H PSW T4T3M T4H T4L T3H T3L T2H T2L C8H P5 P5M1 P5M0 P6M1 P6M0 SPSTAT SPCTL SPDAT C0H P4 WDT_CONTR IAP_DATA IAP_ADDRH IAP_ADDRL IAP_CMD IAP_TRIG IAP_CONTR B8H IP SADEN P_SW2 ADC_CONTR ADC_RES ADC_RESL B0H P3 P3M1 P3M0 P4M1 P4M0 IP2 IP2H IPH A8H IE SADDR WKTCL WKTCH TA IE2 A0H P2 BUS_SPEED P_SW1 98H SCON SBUF S2CON S2BUF IRCBAND LIRTRIM IRTRIM 90H P1 P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 88H TCON TMOD TL0 TL1 TH0 TH1 AUXR 80H P0 SP DPL DPH INTCLKO PCON 可位寻址 不可位寻址 注意:寄存器地址能够被 8 整除的才可进行位寻址,不能被 8 整除的则不可位寻址 0/8 1/9 2/A 3/B 4/C 5/D 6/E 7/F FEF8H PWMB_CCR6L PWMB_CCR7H PWMB_CCR7L PWMB_CCR8H PWMB_CCR8L PWMB_BKR PWMB_DTR PWMB_OISR FEF0H PWMB_PSCRH PWMB_PSCRL PWMB_ARRH PWMB_ARRL PWMB_RCR PWMB_CCR5H PWMB_CCR5L PWMB_CCR6H FEE8H PWMB_CCMR1 PWMB_CCMR2 PWMB_CCMR3 PWMB_CCMR4 PWMB_CCER1 PWMB_CCER2 PWMB_CNTRH PWMB_CNTRL FEE0H PWMB_CR1 PWMB_CR2 PWMB_SMCR PWMB_ETR PWMB_IER PWMB_SR1 PWMB_SR2 PWMB_EGR FED8H PWMA_CCR2L PWMA_CCR3H PWMA_CCR3L PWMA_CCR4H PWMA_CCR4L PWMA_BKR PWMA_DTR PWMA_OISR FED0H PWMA_PSCRH PWMA_PSCRL PWMA_ARRH PWMA_ARRL PWMA_RCR PWMA_CCR1H PWMA_CCR1L PWMA_CCR2H FEC8H PWMA_CCMR1 PWMA_CCMR2 PWMA_CCMR3 PWMA_CCMR4 PWMA_CCER1 PWMA_CCER2 PWMA_CNTRH PWMA_CNTRL FEC0H PWMA_CR1 PWMA_CR2 PWMA_SMCR PWMA_ETR PWMA_IER PWMA_SR1 PWMA_SR2 PWMA_EGR FEB0H PWMA_ETRPS PWMA_ENO PWMA_PS PWMA_IOAUX PWMB_ETRPS PWMB_ENO PWMB_PS PWMB_IOAUX FEA8H ADCTIM T3T4PIN FEA0H TM2PS TM3PS TM4PS I2CMSST I2CSLCR I2CSLST I2CSLADR I2CTxD I2CRxD FE88H I2CMSAUX FE80H I2CCFG I2CMSCR FE30H P0IE P1IE FE28H P0DR P1DR P2DR P3DR P4DR P5DR P6DR P7DR FE20H P0SR P1SR P2SR P3SR P4SR P5SR P6SR P7SR FE18H P0NCS P1NCS P2NCS P3NCS P4NCS P5NCS P6NCS P7NCS FE10H P0PU P1PU P2PU P3PU P4PU P5PU P6PU P7PU FE08H SPFUNC RSTFLAG 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 304 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 FE00H CKSEL CLKDIV HIRCCR XOSCCR IRC32KCR MCLKOCR IRCDB IRC48MCR FD40H P0WKUE P1WKUE P2WKUE P3WKUE P4WKUE P5WKUE P6WKUE P7WKUE FD30H P0IM1 P1IM1 P2IM1 P3IM1 P4IM1 P5IM1 P6IM1 P7IM1 FD20H P0IM0 P1IM0 P2IM0 P3IM0 P4IM0 P5IM0 P6IM0 P7IM0 FD10H P0INTF P1INTF P2INTF P3INTF P4INTF P5INTF P6INTF P7INTF FD00H P0INTE P1INTE P2INTE P3INTE P4INTE P5INTE P6INTE P7INTE FCF0H MD3 MD2 MD1 MD0 MD5 MD4 ARCON OPCON 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 305 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 8.5 STC8H8K64U-64Pin/48Pin USB 系列 0/8 1/9 2/A 3/B 4/C F8H P7 USBADR F0H B USBCON E8H P6 USBDAT E0H ACC P7M1 P7M0 DPS D8H 5/D 6/E 7/F RSTCFG IAP_TPS DPL1 DPH1 USBCLK IP3H AUXINTIF CMPCR1 CMPCR2 ADCCFG IP3 D0H PSW T4T3M T4H T4L T3H T3L T2H T2L C8H P5 P5M1 P5M0 P6M1 P6M0 SPSTAT SPCTL SPDAT C0H P4 WDT_CONTR IAP_DATA IAP_ADDRH IAP_ADDRL IAP_CMD IAP_TRIG IAP_CONTR B8H IP SADEN P_SW2 ADC_CONTR ADC_RES ADC_RESL B0H P3 P3M1 P3M0 P4M1 P4M0 IP2 IP2H IPH A8H IE SADDR WKTCL WKTCH S3CON S3BUF TA IE2 A0H P2 BUS_SPEED P_SW1 98H SCON SBUF S2CON S2BUF IRCBAND LIRTRIM IRTRIM 90H P1 P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 88H TCON TMOD TL0 TL1 TH0 TH1 AUXR 80H P0 SP DPL DPH S4CON S4BUF INTCLKO PCON 可位寻址 不可位寻址 注意:寄存器地址能够被 8 整除的才可进行位寻址,不能被 8 整除的则不可位寻址 0/8 1/9 2/A 3/B 4/C 5/D 6/E 7/F FEF8H PWMB_CCR6L PWMB_CCR7H PWMB_CCR7L PWMB_CCR8H PWMB_CCR8L PWMB_BKR PWMB_DTR PWMB_OISR FEF0H PWMB_PSCRH PWMB_PSCRL PWMB_ARRH PWMB_ARRL PWMB_RCR PWMB_CCR5H PWMB_CCR5L PWMB_CCR6H FEE8H PWMB_CCMR1 PWMB_CCMR2 PWMB_CCMR3 PWMB_CCMR4 PWMB_CCER1 PWMB_CCER2 PWMB_CNTRH PWMB_CNTRL FEE0H PWMB_CR1 PWMB_CR2 PWMB_SMCR PWMB_ETR PWMB_IER PWMB_SR1 PWMB_SR2 PWMB_EGR FED8H PWMA_CCR2L PWMA_CCR3H PWMA_CCR3L PWMA_CCR4H PWMA_CCR4L PWMA_BKR PWMA_DTR PWMA_OISR FED0H PWMA_PSCRH PWMA_PSCRL PWMA_ARRH PWMA_ARRL PWMA_RCR PWMA_CCR1H PWMA_CCR1L PWMA_CCR2H FEC8H PWMA_CCMR1 PWMA_CCMR2 PWMA_CCMR3 PWMA_CCMR4 PWMA_CCER1 PWMA_CCER2 PWMA_CNTRH PWMA_CNTRL FEC0H PWMA_CR1 PWMA_CR2 PWMA_SMCR PWMA_ETR PWMA_IER PWMA_SR1 PWMA_SR2 PWMA_EGR FEB0H PWMA_ETRPS PWMA_ENO PWMA_PS PWMA_IOAUX PWMB_ETRPS PWMB_ENO PWMB_PS PWMB_IOAUX FEA8H ADCTIM T3T4PIN FEA0H TM2PS TM3PS TM4PS I2CMSST I2CSLCR I2CSLST I2CSLADR I2CTxD I2CRxD FE88H I2CMSAUX FE80H I2CCFG I2CMSCR FE30H P0IE P1IE FE28H P0DR P1DR P2DR P3DR P4DR P5DR P6DR P7DR FE20H P0SR P1SR P2SR P3SR P4SR P5SR P6SR P7SR FE18H P0NCS P1NCS P2NCS P3NCS P4NCS P5NCS P6NCS P7NCS FE10H P0PU P1PU P2PU P3PU P4PU P5PU P6PU P7PU FE08H SPFUNC RSTFLAG 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 306 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 FE00H CKSEL CLKDIV HIRCCR XOSCCR IRC32KCR MCLKOCR IRCDB IRC48MCR FCF0H MD3 MD2 MD1 MD0 MD5 MD4 ARCON OPCON 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 307 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 8.6 STC8H4K64TLR 系列 0/8 1/9 2/A 3/B 4/C 5/D 6/E F8H RSTCFG F0H B IAP_TPS E8H E0H 7/F ACC DPS IP3H AUXINTIF CMPCR1 CMPCR2 ADCCFG IP3 T3L T2H T2L SPSTAT SPCTL SPDAT IAP_ADDRL IAP_CMD IAP_TRIG IAP_CONTR ADC_CONTR ADC_RES ADC_RESL DPL1 DPH1 D8H D0H PSW T4T3M T4H C8H P5 P5M1 P5M0 C0H P4 WDT_CONTR IAP_DATA B8H IP SADEN P_SW2 B0H P3 P3M1 P3M0 P4M1 P4M0 IP2 IP2H IPH A8H IE SADDR WKTCL WKTCH S3CON S3BUF TA IE2 A0H P2 BUS_SPEED P_SW1 98H SCON SBUF S2CON S2BUF IRCBAND LIRTRIM IRTRIM 90H P1 P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 88H TCON TMOD TL0 TL1 TH0 TH1 AUXR 80H P0 SP DPL DPH S4CON S4BUF T4L IAP_ADDRH T3H INTCLKO PCON 可位寻址 不可位寻址 注意:寄存器地址能够被 8 整除的才可进行位寻址,不能被 8 整除的则不可位寻址 0/8 1/9 2/A 3/B 4/C 5/D 6/E 7/F FEF8H PWMB_CCR6L PWMB_CCR7H PWMB_CCR7L PWMB_CCR8H PWMB_CCR8L PWMB_BKR PWMB_DTR PWMB_OISR FEF0H PWMB_PSCRH PWMB_PSCRL PWMB_ARRH PWMB_ARRL PWMB_RCR PWMB_CCR5H PWMB_CCR5L PWMB_CCR6H FEE8H PWMB_CCMR1 PWMB_CCMR2 PWMB_CCMR3 PWMB_CCMR4 PWMB_CCER1 PWMB_CCER2 PWMB_CNTRH PWMB_CNTRL PWMB_CR2 PWMB_SMCR PWMB_ETR PWMB_IER PWMB_SR1 PWMB_SR2 PWMB_EGR FED8H PWMA_CCR2L PWMA_CCR3H PWMA_CCR3L PWMA_CCR4H PWMA_CCR4L PWMA_BKR PWMA_DTR PWMA_OISR FED0H PWMA_PSCRH PWMA_PSCRL PWMA_ARRH PWMA_ARRL PWMA_RCR PWMA_CCR1H PWMA_CCR1L PWMA_CCR2H FEC8H PWMA_CCMR1 PWMA_CCMR2 PWMA_CCMR3 PWMA_CCMR4 PWMA_CCER1 PWMA_CCER2 PWMA_CNTRH PWMA_CNTRL FEC0H PWMA_CR2 PWMA_SMCR PWMA_ETR PWMA_IER PWMA_SR1 PWMA_SR2 PWMA_EGR PWMA_ENO PWMA_PS PWMA_IOAUX PWMB_ETRPS PWMB_ENO PWMB_PS PWMB_IOAUX T3T4PIN ADCEXCFG CMPEXCFG FEE0H PWMB_CR1 PWMA_CR1 FEB0H PWMA_ETRPS FEA8H ADCTIM FEA0H TM2PS TM3PS TM4PS FE88H I2CMSAUX FE80H I2CCFG I2CMSCR I2CMSST I2CSLCR I2CSLST I2CSLADR I2CTxD FE70H YEAR MONTH DAY HOUR MIN SEC SSEC FE68H INIYEAR INIMONTH INIDAY INIHOUR INIMIN INISEC INISSEC FE60H RTCCR RTCCFG RTCIEN RTCIF ALAHOUR ALAMIN ALASEC FE50H LCMIFCFG LCMIFCFG2 LCMIFCR LCMIFSTA LCMIFDATL LCMIFDATH FE30H P0IE P1IE FE28H P0DR P1DR 深圳国芯人工智能有限公司 I2CRxD ALASSEC P5IE P2DR P3DR P4DR 国内分销商电话: 0513-5501 2928/2929/2966 P5DR 传真: 0513-5501 2926/2956/2947 - 308 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 FE20H P0SR P1SR P2SR P3SR P4SR P5SR FE18H P0NCS P1NCS P2NCS P3NCS P4NCS P5NCS FE10H P0PU P1PU P2PU P3PU P4PU P5PU FE08H X32KCR FE00H CKSEL CLKDIV HIRCCR XOSCCR IRC32KCR MCLKOCR FD60H PINIPL PINIPH FD40H P0WKUE P1WKUE P2WKUE P3WKUE P4WKUE P5WKUE FD30H P0IM1 P1IM1 P2IM1 P3IM1 P4IM1 P5IM1 FD20H P0IM0 P1IM0 P2IM0 P3IM0 P4IM0 P5IM0 FD10H P0INTF P1INTF P2INTF P3INTF P4INTF P5INTF FD00H P0INTE P1INTE P2INTE P3INTE P4INTE P5INTE FCF0H MD3 MD2 MD1 MD0 MD5 MD4 ARCON OPCON FB68H TSTH12H TSTH12L TSTH13H TSTH13L TSTH14H TSTH14L TSTH15H TSTH15L FB60H TSTH08H TSTH08L TSTH09H TSTH09L TSTH10H TSTH10L TSTH11H TSTH11L FB58H TSTH04H TSTH04L TSTH05H TSTH05L TSTH06H TSTH06L TSTH07H TSTH07L FB50H TSTH00H TSTH00L TSTH01H TSTH01L TSTH02H TSTH02L TSTH03H TSTH03L FB48H TSRT TSDATH TSDATL FB40H TSCHEN1 TSCHEN2 TSCFG1 TSCFG2 TSWUTC TSCTRL TSSTA1 TSSTA2 FB28H COM0_DC_H COM1_DC_H COM2_DC_H COM3_DC_H COM4_DC_H COM5_DC_H COM6_DC_H COM7_DC_H FB20H COM0_DC_L COM1_DC_L COM2_DC_L COM3_DC_L COM4_DC_L COM5_DC_L COM6_DC_L COM7_DC_L FB18H COM0_DA_H COM1_DA_H COM2_DA_H COM3DA_H COM4_DA_H COM5_DA_H COM6_DA_H COM7_DA_H FB10H COM0_DA_L COM1_DA_L COM2_DA_L COM3DA_L COM4_DA_L COM5_DA_L COM6_DA_L COM7_DA_L FB00H COMEN SEGENL SEGENH LEDCTRL LEDCKS DMA_LCM_CR DMA_LCM_STA DMA_LCM_AMT FA68H DMA_UR4R_CFG DMA_UR4R_CR DMA_UR4R_STA DMA_UR4R_AMT DMA_UR4R_DONE DMA_UR4R_RXAH DMA_UR4R_RXAL FA60H DMA_UR4T_CFG DMA_UR4T_CR DMA_UR4T_STA DMA_UR4T_AMT DMA_UR4T_DONE DMA_UR4T_TXAH DMA_UR4T_TXAL FA58H DMA_UR3R_CFG DMA_UR3R_CR DMA_UR3R_STA DMA_UR3R_AMT DMA_UR3R_DONE DMA_UR3R_RXAH DMA_UR3R_RXAL FA50H DMA_UR3T_CFG DMA_UR3T_CR DMA_UR3T_STA DMA_UR3T_AMT DMA_UR3T_DONE DMA_UR3T_TXAH DMA_UR3T_TXAL FA48H DMA_UR2R_CFG DMA_UR2R_CR DMA_UR2R_STA DMA_UR2R_AMT DMA_UR2R_DONE DMA_UR2R_RXAH DMA_UR2R_RXAL FA40H DMA_UR2T_CFG DMA_UR2T_CR DMA_UR2T_STA DMA_UR2T_AMT DMA_UR2T_DONE DMA_UR2T_TXAH DMA_UR2T_TXAL FA38H DMA_UR1R_CFG DMA_UR1R_CR DMA_UR1R_STA DMA_UR1R_AMT DMA_UR1R_DONE DMA_UR1R_RXAH DMA_UR1R_RXAL FA30H DMA_UR1T_CFG DMA_UR1T_CR DMA_UR1T_STA DMA_UR1T_AMT DMA_UR1T_DONE DMA_UR1T_TXAH DMA_UR1T_TXAL IRCDB FA78H DMA_LCM_RXAL FA70H DMA_LCM_CFG DMA_LCM_DONE DMA_LCM_TXAH DMA_LCM_TXAL DMA_LCM_RXAH FA28H DMA_SPI_RXAL DMA_SPI_CFG2 FA20H DMA_SPI_CFG DMA_SPI_CR DMA_SPI_STA DMA_SPI_AMT DMA_SPI_DONE DMA_SPI_TXAH DMA_SPI_TXAL DMA_SPI_RXAH FA18H DMA_ADC_RXAL DMA_ADC_CFG2 DMA_ADC_CHSW0 DMA_ADC_CHSW1 FA10H DMA_ADC_CFG DMA_ADC_CR DMA_ADC_STA DMA_ADC_RXAH DMA_M2M_CR DMA_M2M_STA DMA_M2M_AMT DMA_M2M_DONE DMA_M2M_TXAH DMA_M2M_TXAL DMA_M2M_RXAH FA08H DMA_M2M_RXAL FA00H DMA_M2M_CFG 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 309 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 8.7 STC8H4K64TLCD 系列 0/8 1/9 F8H P7 F0H B E8H P6 E0H ACC 2/A 3/B 4/C 5/D 6/E 7/F RSTCFG IAP_TPS P7M1 P7M0 DPS DPL1 DPH1 D8H IP3H AUXINTIF CMPCR1 CMPCR2 ADCCFG IP3 D0H PSW T4T3M T4H T4L T3H T3L T2H T2L C8H P5 P5M1 P5M0 P6M1 P6M0 SPSTAT SPCTL SPDAT C0H P4 WDT_CONTR IAP_DATA IAP_ADDRH IAP_ADDRL IAP_CMD IAP_TRIG IAP_CONTR B8H IP SADEN P_SW2 ADC_CONTR ADC_RES ADC_RESL B0H P3 P3M1 P3M0 P4M1 P4M0 IP2 IP2H IPH A8H IE SADDR WKTCL WKTCH S3CON S3BUF TA IE2 A0H P2 BUS_SPEED P_SW1 98H SCON SBUF S2CON S2BUF IRCBAND LIRTRIM IRTRIM 90H P1 P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 88H TCON TMOD TL0 TL1 TH0 TH1 AUXR 80H P0 SP DPL DPH S4CON S4BUF INTCLKO PCON 可位寻址 不可位寻址 注意:寄存器地址能够被 8 整除的才可进行位寻址,不能被 8 整除的则不可位寻址 0/8 1/9 2/A 3/B 4/C 5/D 6/E 7/F FEF8H PWMB_CCR6L PWMB_CCR7H PWMB_CCR7L PWMB_CCR8H PWMB_CCR8L PWMB_BKR PWMB_DTR PWMB_OISR FEF0H PWMB_PSCRH PWMB_PSCRL PWMB_ARRH PWMB_ARRL PWMB_RCR PWMB_CCR5H PWMB_CCR5L PWMB_CCR6H FEE8H PWMB_CCMR1 PWMB_CCMR2 PWMB_CCMR3 PWMB_CCMR4 PWMB_CCER1 PWMB_CCER2 PWMB_CNTRH PWMB_CNTRL FEE0H PWMB_CR1 PWMB_CR2 PWMB_SMCR PWMB_ETR PWMB_IER PWMB_SR1 PWMB_SR2 PWMB_EGR FED8H PWMA_CCR2L PWMA_CCR3H PWMA_CCR3L PWMA_CCR4H PWMA_CCR4L PWMA_BKR PWMA_DTR PWMA_OISR FED0H PWMA_PSCRH PWMA_PSCRL PWMA_ARRH PWMA_ARRL PWMA_RCR PWMA_CCR1H PWMA_CCR1L PWMA_CCR2H FEC8H PWMA_CCMR1 PWMA_CCMR2 PWMA_CCMR3 PWMA_CCMR4 PWMA_CCER1 PWMA_CCER2 PWMA_CNTRH PWMA_CNTRL FEC0H PWMA_CR1 PWMA_CR2 PWMA_SMCR PWMA_ETR PWMA_IER PWMA_SR1 PWMA_SR2 PWMA_EGR FEB0H PWMA_ETRPS PWMA_ENO PWMA_PS PWMA_IOAUX PWMB_ETRPS PWMB_ENO PWMB_PS PWMB_IOAUX FEA8H ADCTIM T3T4PIN ADCEXCFG CMPEXCFG FEA0H TM2PS TM3PS TM4PS FE88H I2CMSAUX FE80H I2CCFG I2CMSCR I2CMSST I2CSLCR I2CSLST I2CSLADR I2CTxD FE70H YEAR MONTH DAY HOUR MIN SEC SSEC FE68H INIYEAR INIMONTH INIDAY INIHOUR INIMIN INISEC INISSEC FE60H RTCCR RTCCFG RTCIEN RTCIF ALAHOUR ALAMIN ALASEC ALASSEC FE30H P0IE P1IE P2IE P3IE P4IE P5IE P6IE P7IE FE28H P0DR P1DR P2DR P3DR P4DR P5DR P6DR P7DR FE20H P0SR P1SR P2SR P3SR P4SR P5SR P6SR P7SR 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 I2CRxD - 310 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 FE18H P0NCS P1NCS P2NCS P3NCS P4NCS P5NCS P6NCS P7NCS FE10H P0PU P1PU P2PU P3PU P4PU P5PU P6PU P7PU FE08H X32KCR FE00H CKSEL CLKDIV HIRCCR XOSCCR IRC32KCR MCLKOCR IRCDB FD60H PINIPL PINIPH FD40H P0WKUE P1WKUE P2WKUE P3WKUE P4WKUE P5WKUE P6WKUE P7WKUE FD30H P0IM1 P1IM1 P2IM1 P3IM1 P4IM1 P5IM1 P6IM1 P7IM1 FD20H P0IM0 P1IM0 P2IM0 P3IM0 P4IM0 P5IM0 P6IM0 P7IM0 FD10H P0INTF P1INTF P2INTF P3INTF P4INTF P5INTF P6INTF P7INTF FD00H P0INTE P1INTE P2INTE P3INTE P4INTE P5INTE P6INTE P7INTE FCF0H MD3 MD2 MD1 MD0 MD5 MD4 ARCON OPCON FBA8H C3SEGV0 C3SEGV1 C3SEGV2 C3SEGV3 C3SEGV4 FBA0H C2SEGV0 C2SEGV1 C2SEGV2 C2SEGV3 C2SEGV4 FB98H C1SEGV0 C1SEGV1 C1SEGV2 C1SEGV3 C1SEGV4 FB90H C0SEGV0 C0SEGV1 C0SEGV2 C0SEGV3 C0SEGV4 FB88H COMON SEGON1 SEGON2 SEGON3 SEGON4 SEGON5 FB80H LCDCFG LCDCFG2 DBLEN COMLENL COMLENM COMLENH BLINKRATE LCDCR FB68H TSTH12H TSTH12L TSTH13H TSTH13L TSTH14H TSTH14L TSTH15H TSTH15L FB60H TSTH08H TSTH08L TSTH09H TSTH09L TSTH10H TSTH10L TSTH11H TSTH11L FB58H TSTH04H TSTH04L TSTH05H TSTH05L TSTH06H TSTH06L TSTH07H TSTH07L FB50H TSTH00H TSTH00L TSTH01H TSTH01L TSTH02H TSTH02L TSTH03H TSTH03L FB48H TSRT TSDATH TSDATL FB40H TSCHEN1 TSCHEN2 TSCFG1 TSCFG2 TSWUTC TSCTRL TSSTA1 TSSTA2 DMA_LCM_CR DMA_LCM_STA DMA_LCM_AMT FA68H DMA_UR4R_CFG DMA_UR4R_CR DMA_UR4R_STA DMA_UR4R_AMT DMA_UR4R_DONE DMA_UR4R_RXAH DMA_UR4R_RXAL FA60H DMA_UR4T_CFG DMA_UR4T_CR DMA_UR4T_STA DMA_UR4T_AMT DMA_UR4T_DONE DMA_UR4T_TXAH DMA_UR4T_TXAL FA58H DMA_UR3R_CFG DMA_UR3R_CR DMA_UR3R_STA DMA_UR3R_AMT DMA_UR3R_DONE DMA_UR3R_RXAH DMA_UR3R_RXAL FA50H DMA_UR3T_CFG DMA_UR3T_CR DMA_UR3T_STA DMA_UR3T_AMT DMA_UR3T_DONE DMA_UR3T_TXAH DMA_UR3T_TXAL FA48H DMA_UR2R_CFG DMA_UR2R_CR DMA_UR2R_STA DMA_UR2R_AMT DMA_UR2R_DONE DMA_UR2R_RXAH DMA_UR2R_RXAL FA40H DMA_UR2T_CFG DMA_UR2T_CR DMA_UR2T_STA DMA_UR2T_AMT DMA_UR2T_DONE DMA_UR2T_TXAH DMA_UR2T_TXAL FA38H DMA_UR1R_CFG DMA_UR1R_CR DMA_UR1R_STA DMA_UR1R_AMT DMA_UR1R_DONE DMA_UR1R_RXAH DMA_UR1R_RXAL FA30H DMA_UR1T_CFG DMA_UR1T_CR DMA_UR1T_STA DMA_UR1T_AMT DMA_UR1T_DONE DMA_UR1T_TXAH DMA_UR1T_TXAL FA78H DMA_LCM_RXAL FA70H DMA_LCM_CFG FA28H DMA_SPI_RXAL FA20H DMA_SPI_CFG DMA_LCM_DONE DMA_LCM_TXAH DMA_LCM_TXAL DMA_LCM_RXAH DMA_SPI_CFG2 DMA_SPI_CR DMA_SPI_STA DMA_SPI_AMT DMA_SPI_DONE DMA_SPI_TXAH DMA_SPI_TXAL DMA_SPI_RXAH FA18H DMA_ADC_RXAL DMA_ADC_CFG2 DMA_ADC_CHSW0 DMA_ADC_CHSW1 FA10H DMA_ADC_CFG DMA_ADC_CR DMA_ADC_STA DMA_ADC_RXAH DMA_M2M_CR DMA_M2M_STA DMA_M2M_AMT DMA_M2M_DONE DMA_M2M_TXAH DMA_M2M_TXAL DMA_M2M_RXAH FA08H DMA_M2M_RXAL FA00H DMA_M2M_CFG 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 311 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 8.8 STC8H4K64LCD 系列 0/8 1/9 F8H P7 F0H B E8H P6 E0H ACC 2/A 3/B 4/C 5/D 6/E 7/F RSTCFG IAP_TPS P7M1 P7M0 DPS DPL1 DPH1 D8H IP3H AUXINTIF CMPCR1 CMPCR2 ADCCFG IP3 D0H PSW T4T3M T4H T4L T3H T3L T2H T2L C8H P5 P5M1 P5M0 P6M1 P6M0 SPSTAT SPCTL SPDAT C0H P4 WDT_CONTR IAP_DATA IAP_ADDRH IAP_ADDRL IAP_CMD IAP_TRIG IAP_CONTR B8H IP SADEN P_SW2 ADC_CONTR ADC_RES ADC_RESL B0H P3 P3M1 P3M0 P4M1 P4M0 IP2 IP2H IPH A8H IE SADDR WKTCL WKTCH S3CON S3BUF TA IE2 A0H P2 BUS_SPEED P_SW1 98H SCON SBUF S2CON S2BUF IRCBAND LIRTRIM IRTRIM 90H P1 P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 88H TCON TMOD TL0 TL1 TH0 TH1 AUXR 80H P0 SP DPL DPH S4CON S4BUF INTCLKO PCON 可位寻址 不可位寻址 注意:寄存器地址能够被 8 整除的才可进行位寻址,不能被 8 整除的则不可位寻址 0/8 1/9 2/A 3/B 4/C 5/D 6/E 7/F FEF8H PWMB_CCR6L PWMB_CCR7H PWMB_CCR7L PWMB_CCR8H PWMB_CCR8L PWMB_BKR PWMB_DTR PWMB_OISR FEF0H PWMB_PSCRH PWMB_PSCRL PWMB_ARRH PWMB_ARRL PWMB_RCR PWMB_CCR5H PWMB_CCR5L PWMB_CCR6H FEE8H PWMB_CCMR1 PWMB_CCMR2 PWMB_CCMR3 PWMB_CCMR4 PWMB_CCER1 PWMB_CCER2 PWMB_CNTRH PWMB_CNTRL FEE0H PWMB_CR1 PWMB_CR2 PWMB_SMCR PWMB_ETR PWMB_IER PWMB_SR1 PWMB_SR2 PWMB_EGR FED8H PWMA_CCR2L PWMA_CCR3H PWMA_CCR3L PWMA_CCR4H PWMA_CCR4L PWMA_BKR PWMA_DTR PWMA_OISR FED0H PWMA_PSCRH PWMA_PSCRL PWMA_ARRH PWMA_ARRL PWMA_RCR PWMA_CCR1H PWMA_CCR1L PWMA_CCR2H FEC8H PWMA_CCMR1 PWMA_CCMR2 PWMA_CCMR3 PWMA_CCMR4 PWMA_CCER1 PWMA_CCER2 PWMA_CNTRH PWMA_CNTRL FEC0H PWMA_CR1 PWMA_CR2 PWMA_SMCR PWMA_ETR PWMA_IER PWMA_SR1 PWMA_SR2 PWMA_EGR FEB0H PWMA_ETRPS PWMA_ENO PWMA_PS PWMA_IOAUX PWMB_ETRPS PWMB_ENO PWMB_PS PWMB_IOAUX FEA8H ADCTIM T3T4PIN ADCEXCFG CMPEXCFG FEA0H TM2PS TM3PS TM4PS FE88H I2CMSAUX FE80H I2CCFG I2CMSCR I2CMSST I2CSLCR I2CSLST I2CSLADR I2CTxD FE70H YEAR MONTH DAY HOUR MIN SEC SSEC FE68H INIYEAR INIMONTH INIDAY INIHOUR INIMIN INISEC INISSEC FE60H RTCCR RTCCFG RTCIEN RTCIF ALAHOUR ALAMIN ALASEC ALASSEC FE30H P0IE P1IE P2IE P3IE P4IE P5IE P6IE P7IE FE28H P0DR P1DR P2DR P3DR P4DR P5DR P6DR P7DR 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 I2CRxD - 312 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 FE20H P0SR P1SR P2SR P3SR P4SR P5SR P6SR P7SR FE18H P0NCS P1NCS P2NCS P3NCS P4NCS P5NCS P6NCS P7NCS FE10H P0PU P1PU P2PU P3PU P4PU P5PU P6PU P7PU FE08H X32KCR FE00H CKSEL CLKDIV HIRCCR XOSCCR IRC32KCR MCLKOCR IRCDB FD60H PINIPL PINIPH FD40H P0WKUE P1WKUE P2WKUE P3WKUE P4WKUE P5WKUE P6WKUE P7WKUE FD30H P0IM1 P1IM1 P2IM1 P3IM1 P4IM1 P5IM1 P6IM1 P7IM1 FD20H P0IM0 P1IM0 P2IM0 P3IM0 P4IM0 P5IM0 P6IM0 P7IM0 FD10H P0INTF P1INTF P2INTF P3INTF P4INTF P5INTF P6INTF P7INTF FD00H P0INTE P1INTE P2INTE P3INTE P4INTE P5INTE P6INTE P7INTE FCF0H MD3 MD2 MD1 MD0 MD5 MD4 ARCON OPCON FBA8H C3SEGV0 C3SEGV1 C3SEGV2 C3SEGV3 C3SEGV4 FBA0H C2SEGV0 C2SEGV1 C2SEGV2 C2SEGV3 C2SEGV4 FB98H C1SEGV0 C1SEGV1 C1SEGV2 C1SEGV3 C1SEGV4 FB90H C0SEGV0 C0SEGV1 C0SEGV2 C0SEGV3 C0SEGV4 FB88H COMON SEGON1 SEGON2 SEGON3 SEGON4 SEGON5 FB80H LCDCFG LCDCFG2 DBLEN COMLENL COMLENM COMLENH BLINKRATE DMA_LCM_CR DMA_LCM_STA DMA_LCM_AMT FA68H DMA_UR4R_CFG DMA_UR4R_CR DMA_UR4R_STA DMA_UR4R_AMT DMA_UR4R_DONE DMA_UR4R_RXAH DMA_UR4R_RXAL FA60H DMA_UR4T_CFG DMA_UR4T_CR DMA_UR4T_STA DMA_UR4T_AMT DMA_UR4T_DONE DMA_UR4T_TXAH DMA_UR4T_TXAL FA58H DMA_UR3R_CFG DMA_UR3R_CR DMA_UR3R_STA DMA_UR3R_AMT DMA_UR3R_DONE DMA_UR3R_RXAH DMA_UR3R_RXAL FA50H DMA_UR3T_CFG DMA_UR3T_CR DMA_UR3T_STA DMA_UR3T_AMT DMA_UR3T_DONE DMA_UR3T_TXAH DMA_UR3T_TXAL FA48H DMA_UR2R_CFG DMA_UR2R_CR DMA_UR2R_STA DMA_UR2R_AMT DMA_UR2R_DONE DMA_UR2R_RXAH DMA_UR2R_RXAL FA40H DMA_UR2T_CFG DMA_UR2T_CR DMA_UR2T_STA DMA_UR2T_AMT DMA_UR2T_DONE DMA_UR2T_TXAH DMA_UR2T_TXAL FA38H DMA_UR1R_CFG DMA_UR1R_CR DMA_UR1R_STA DMA_UR1R_AMT DMA_UR1R_DONE DMA_UR1R_RXAH DMA_UR1R_RXAL FA30H DMA_UR1T_CFG DMA_UR1T_CR DMA_UR1T_STA DMA_UR1T_AMT DMA_UR1T_DONE DMA_UR1T_TXAH DMA_UR1T_TXAL LCDCR FA78H DMA_LCM_RXAL FA70H DMA_LCM_CFG FA28H DMA_SPI_RXAL FA20H DMA_SPI_CFG DMA_LCM_DONE DMA_LCM_TXAH DMA_LCM_TXAL DMA_LCM_RXAH DMA_SPI_CFG2 DMA_SPI_CR DMA_SPI_STA DMA_SPI_AMT DMA_SPI_DONE DMA_SPI_TXAH DMA_SPI_TXAL DMA_SPI_RXAH FA18H DMA_ADC_RXAL DMA_ADC_CFG2 DMA_ADC_CHSW0 DMA_ADC_CHSW1 FA10H DMA_ADC_CFG DMA_ADC_CR DMA_ADC_STA DMA_ADC_RXAH DMA_M2M_CR DMA_M2M_STA DMA_M2M_AMT DMA_M2M_DONE DMA_M2M_TXAH DMA_M2M_TXAL DMA_M2M_RXAH FA08H DMA_M2M_RXAL FA00H DMA_M2M_CFG 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 313 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 8.9 特殊功能寄存器列表 注意:寄存器地址能够被 8 整除的才可进行位寻址,不能被 8 整除的则不可位寻址。 STC8H 能进行位寻址的寄存器:P0(80H)、TCON(88H)、P1(90H)、SCON(98H)、P2(A0H)、IE (A8H)、P3(B0H)、IP(B8H)、P4(C0H)、P5(C8H)、PSW(D0H)、ACC(E0H) 、P6(E8H)、B (F0H)、P7(F8H) 位地址与符号 符号 描述 地址 复位值 B7 B6 B5 B4 B3 B2 B1 B0 P07 P06 P05 P04 P03 P02 P01 P00 P0 P0 端口 80H SP 堆栈指针 81H 0000,0111 DPL 数据指针(低字节) 82H 0000,0000 DPH 数据指针(高字节) 83H 0000,0000 S4CON 串口 4 控制寄存器 84H S4BUF 串口 4 数据寄存器 85H PCON 电源控制寄存器 87H SMOD SMOD0 LVDF POF GF1 GF0 PD IDL 0011,0000 TCON 定时器控制寄存器 88H TF1 TR1 TF0 TR0 IE1 IT1 IE0 IT0 0000,0000 TMOD 定时器模式寄存器 89H GATE C/T M1 M0 GATE C/T M1 M0 0000,0000 TL0 定时器 0 低 8 位寄存器 8AH 0000,0000 TL1 定时器 1 低 8 位寄存器 8BH 0000,0000 TH0 定时器 0 高 8 位寄存器 8CH 0000,0000 TH1 定时器 1 高 8 位寄存器 8DH 0000,0000 辅助寄存器 1 8EH T0x12 T1x12 UART_M0x6 T2R T2_C/T T2x12 EXTRAM 中断与时钟输出控制寄存器 8FH - EX4 EX3 EX2 - T2CLKO T1CLKO P1 端口 90H P17 P16 P15 P14 P13 P12 P11 P10 1111,1111 P1M1 P1 口配置寄存器 1 91H P17M1 P16M1 P15M1 P14M1 P13M1 P12M1 P11M1 P10M1 1111,1111 P1M0 P1 口配置寄存器 0 92H P17M0 P16M0 P15M0 P14M0 P13M0 P12M0 P11M0 P10M0 0000,0000 P0M1 P0 口配置寄存器 1 93H P07M1 P06M1 P05M1 P04M1 P03M1 P02M1 P01M1 P00M1 P0M0 P0 口配置寄存器 0 94H P07M0 P06M0 P05M0 P04M0 P03M0 P02M0 P01M0 P00M0 0000,0000 P2M1 P2 口配置寄存器 1 95H P27M1 P26M1 P25M1 P24M1 P23M1 P22M1 P21M1 P20M1 P2M0 P2 口配置寄存器 0 96H P27M0 P26M0 P25M0 P24M0 P23M0 P22M0 P21M0 P20M0 0000,0000 SCON 串口 1 控制寄存器 98H SM0/FE SM1 SM2 REN TB8 RB8 TI SBUF 串口 1 数据寄存器 99H S2CON 串口 2 控制寄存器 9AH S2BUF 串口 2 数据寄存器 9BH IRCBAND IRC 频段选择检测 9DH - - - - - - LIRTRIM IRC 频率微调寄存器 9EH - - - - - - IRTRIM IRC 频率调整寄存器 9FH P2 端口 A0H AUXR INTCLKO P1 P2 BUS_SPEED 总线速度控制寄存器 S4SM0 S4ST4 S4SM2 S4REN S4TB8 S4RB8 S4TI S4RI 1111,1111 0000,0000 0000,0000 S1ST2 0000,0001 T0CLKO x000,x000 RI 1111,1111 1111,1111 0000,0000 0000,0000 S2SM0 - S2SM2 S2REN S2TB8 S2RB8 S2TI S2RI 0100,0000 0000,0000 - SEL xxxx,xxxn LIRTRIM[1:0] xxxx,xxnn IRTRIM[7:0] P27 P26 P25 P24 nnnn,nnnn P23 P22 P21 P20 A1H RW_S[1:0] 外设端口切换寄存器 1 A2H S1_S[1:0] 中断允许寄存器 A8H SADDR 串口 1 从机地址寄存器 A9H 0000,0000 WKTCL 掉电唤醒定时器低字节 AAH 1111,1111 P_SW1 IE 深圳国芯人工智能有限公司 EA ELVD SPEED[2:0] 1111,1111 SPI_S[1:0] EADC 国内分销商电话: 0513-5501 2928/2929/2966 ES ET1 EX1 00xx,x000 0 - nn00,000x ET0 EX0 0000,0000 传真: 0513-5501 2926/2956/2947 - 314 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 WKTCH 掉电唤醒定时器高字节 ABH WKTEN S3CON 串口 3 控制寄存器 ACH S3SM0 S3BUF 串口 3 数据寄存器 ADH 0000,0000 TA DPTR 时序控制寄存器 AEH 0000,0000 IE2 中断允许寄存器 2 AFH EUSB ET4 ET3 ES4 ES3 ET2 ESPI ES2 0000,0000 P3 P3 端口 B0H P37 P36 P35 P34 P33 P32 P31 P30 1111,1111 P3M1 P3 口配置寄存器 1 B1H P37M1 P36M1 P35M1 P34M1 P33M1 P32M1 P31M1 P30M1 1111,1100 P3M0 P3 口配置寄存器 0 B2H P37M0 P36M0 P35M0 P34M0 P33M0 P32M0 P31M0 P30M0 0000,0000 P4M1 P4 口配置寄存器 1 B3H P47M1 P46M1 P45M1 P44M1 P43M1 P42M1 P41M1 P40M1 P4M0 P4 口配置寄存器 0 B4H P47M0 P46M0 P45M0 P44M0 P43M0 P42M0 P41M0 P40M0 0000,0000 中断优先级控制寄存器 2 B5H PI2C PCMP PX4 PPWMB PPWMA PSPI PS2 0000,0000 PI2CH PCMPH PX4H PPWMBH PPWMAH PSPIH PS2H 0000,0000 0111,1111 S3ST4 S3SM2 S3REN S3TB8 S3RB8 S3TI S3RI 0000,0000 1111,1111 PUSB IP2 PTKSU PUSBH IP2H 高中断优先级控制寄存器 2 B6H PTKSUH 高中断优先级控制寄存器 B7H - PLVDH PADCH PSH PT1H PX1H PT0H PX0H x000,0000 中断优先级控制寄存器 B8H - PLVD PADC PS PT1 PX1 PT0 PX0 x000,0000 SADEN 串口 1 从机地址屏蔽寄存器 B9H P_SW2 外设端口切换寄存器 2 BAH IPH IP ADC_CONTR ADC 控制寄存器 0000,0000 EAXFR - I2C_S[1:0] CMPO_S BCH ADC_POWER ADC_START ADC_FLAG ADC_EPWMT S4_S S3_S S2_S ADC_CHS[3:0] 0x00,0000 0000,0000 ADC_RES ADC 转换结果高位寄存器 BDH 0000,0000 ADC_RESL ADC 转换结果低位寄存器 BEH 0000,0000 P4 端口 C0H P4 WDT_CONTR 看门狗控制寄存器 IAP_DATA IAP 数据寄存器 P47 C1H WDT_FLAG P46 P45 P44 P43 - EN_WDT CLR_WDT IDL_WDT P42 P41 P40 WDT_PS[2:0] 1111,1111 0x00,0000 C2H 1111,1111 IAP_ADDRH IAP 高地址寄存器 C3H 0000,0000 IAP_ADDRL IAP 低地址寄存器 C4H 0000,0000 IAP_CMD IAP 命令寄存器 C5H IAP_TRIG IAP 触发寄存器 C6H IAP_CONTR IAP 控制寄存器 C7H IAPEN SWBS SWRST CMD_FAIL - - - - 0000,xxxx P5 端口 C8H - - P55 P54 P53 P52 P51 P50 xx11,1111 P5M1 P5 口配置寄存器 1 C9H - - P55M1 P54M1 P53M1 P52M1 P51M1 P50M1 xx11,1111 P5M0 P5 口配置寄存器 0 CAH - - P55M0 P54M0 P53M0 P52M0 P51M0 P50M0 xx00,0000 P6M1 P6 口配置寄存器 1 CBH P67M1 P66M1 P65M1 P64M1 P63M1 P62M1 P61M1 P60M1 P6M0 P6 口配置寄存器 0 CCH P67M0 P66M0 P65M0 P64M0 P63M0 P62M0 P61M0 P60M0 0000,0000 SPSTAT SPI 状态寄存器 CDH SPIF WCOL - - - - - SPCTL SPI 控制寄存器 CEH SSIG SPEN DORD MSTR CPOL CPHA SPDAT SPI 数据寄存器 CFH 程序状态字寄存器 D0H CY AC F0 RS1 RS0 OV F1 定时器 4/3 控制寄存器 D1H T4R T4_C/T T4x12 T4CLKO T3R T3_C/T T3x12 T4H 定时器 4 高字节 D2H 0000,0000 T4L 定时器 4 低字节 D3H 0000,0000 T3H 定时器 3 高字节 D4H 0000,0000 T3L 定时器 3 低字节 D5H 0000,0000 T2H 定时器 2 高字节 D6H 0000,0000 P5 PSW T4T3M 深圳国芯人工智能有限公司 - - - - - - CMD[1:0] xxxx,xx00 0000,0000 SPR[1:0] 1111,1111 00xx,xxxx 0000,0100 0000,0000 国内分销商电话: 0513-5501 2928/2929/2966 P 0000,0000 T3CLKO 0000,0000 传真: 0513-5501 2926/2956/2947 - 315 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 定时器 2 低字节 D7H USBCLK USB 时钟控制寄存器 DCH ENCKM ADCCFG ADC 配置寄存器 DEH - - RESFMT - 中断优先级控制寄存器 3 DFH - - - - ACC 累加器 E0H P7M1 P7 口配置寄存器 1 E1H P77M1 P76M1 P75M1 P74M1 P73M1 P72M1 P71M1 P70M1 P7M0 P7 口配置寄存器 0 E2H P77M0 P76M0 P75M0 P74M0 P73M0 P72M0 P71M0 P70M0 0000,0000 DPS DPTR 指针选择器 E3H ID1 ID0 TSL AU1 AU0 - - DPL1 第二组数据指针(低字节) E4H 0000,0000 DPH1 第二组数据指针(高字节) E5H 0000,0000 CMPCR1 比较器控制寄存器 1 E6H CMPCR2 比较器控制寄存器 2 E7H INVCMPO P6 端口 E8H USB 数据寄存器 ECH 高中断优先级控制寄存器 3 EEH - - - - - PRTCH PS4H PS3H xxxx,x000 扩展外部中断标志寄存器 EFH - INT4IF INT3IF INT2IF - T4IF T3IF T2IF x000,x000 B 寄存器 F0H USBCON USB 控制寄存器 F4H ENUSB USBRST IAP_TPS IAP 等待时间控制寄存器 F5H - - P7 端口 F8H P77 P76 USBADR USB 地址寄存器 FCH BUSY AUTORD RSTCFG 复位配置寄存器 FFH - ENLVR T2L IP3 P6 USBDAT IP3H AUXINTIF B P7 0000,0000 PCKI[1:0] CRE TST_USB TST_PHY PHYTST[1:0] 0010,0000 SPEED[3:0] PRTC - xx0x,0000 PS4 PS3 xxxx,x000 0000,0000 CMPEN P67 CMPIF PIE NIE PIS DISFLT P66 NIS SEL 0000,0xx0 CMPOE CMPRES 0000,0000 LCDTY[5:0] P65 P64 1111,1111 0000,0000 P63 P62 P61 P60 1111,1111 0000,0000 0000,0000 PS2M PUEN PDEN DFREC DP DM IAPTPS[5:0] P75 P74 P73 xx00,0000 P72 P71 P70 UADR[5:0] - P54RST 0000,0000 1111,1111 0000,0000 - - LVDS[1:0] x0x0,xx00 下列特殊功能寄存器为扩展 SFR,逻辑地址位于 XDATA 区域,访问前需要将 P_SW2(BAH)寄存 器的最高位(EAXFR)置 1,然后使用 MOVX A,@DPTR 和 MOVX @DPTR,A 指令进行访问 位地址与符号 符号 描述 复位值 地址 B7 B6 B5 B4 B3 B2 - - - - - - B1 B0 CKSEL 时钟选择寄存器 FE00H CLKDIV 时钟分频寄存器 FE01H HIRCCR 内部高速振荡器控制寄存器 FE02H ENHIRC - - - - - - HIRCST 1xxx,xxx0 XOSCCR 外部晶振控制寄存器 FE03H ENXOSC XITYPE - - - - - XOSCST 00xx,xxx0 IRC32KCR 内部 32K 振荡器控制寄存器 FE04H ENIRC32K - - - - - - IRC32KST 0xxx,xxx0 MCLKOCR 主时钟输出控制寄存器 FE05H MCLKO_S 内部高速振荡器去抖控制 FE06H 内部 48M 振荡器控制寄存器 FE07H 特殊功能控制寄存器 FE08H 复位标志寄存器 FE09H P0PU P0 口上拉电阻控制寄存器 FE10H P07PU P06PU P05PU P04PU P1PU P1 口上拉电阻控制寄存器 FE11H P17PU P16PU P15PU P2PU P2 口上拉电阻控制寄存器 FE12H P27PU P26PU P3PU P3 口上拉电阻控制寄存器 FE13H P37PU P4PU P4 口上拉电阻控制寄存器 FE14H P5PU P5 口上拉电阻控制寄存器 FE15H IRCDB IRC48MCR SPFUNC RSTFLAG 深圳国芯人工智能有限公司 MCKSEL[1:0] xxxx,xx00 nnnn,nnnn MCLKODIV[6:0] 0000,0000 1000,0000 IRCDB_PAR[7:0] ENIRC48M - - - - - - IRC48MST 1xxx,xxx0 BKSWR xxxx,xxx0 SWR ROMOV EXRST xxxx,x000 P03PU P02PU P01PU P00PU 0000,0000 P14PU P13PU P12PU P11PU P10PU 0000,0000 P25PU P24PU P23PU P22PU P21PU P20PU 0000,0000 P36PU P35PU P34PU P33PU P32PU P31PU P30PU 0000,0000 P47PU P46PU P45PU P44PU P43PU P42PU P41PU P40PU 0000,0000 - - P55PU P54PU P53PU P52PU P51PU P50PU xx00,0000 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 316 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P6PU P6 口上拉电阻控制寄存器 FE16H P67PU P66PU P65PU P64PU P63PU P62PU P61PU P60PU 0000,0000 P7PU P7 口上拉电阻控制寄存器 FE17H P77PU P76PU P75PU P74PU P73PU P72PU P71PU P70PU 0000,0000 P0NCS P0 口施密特触发控制寄存器 FE18H P07NCS P06NCS P05NCS P04NCS P03NCS P02NCS P01NCS P00NCS 0000,0000 P1NCS P1 口施密特触发控制寄存器 FE19H P17NCS P16NCS P15NCS P14NCS P13NCS P12NCS P11NCS P10NCS 0000,0000 P2NCS P2 口施密特触发控制寄存器 FE1AH P27NCS P26NCS P25NCS P24NCS P23NCS P22NCS P21NCS P20NCS 0000,0000 P3NCS P3 口施密特触发控制寄存器 FE1BH P37NCS P36NCS P35NCS P34NCS P33NCS P32NCS P31NCS P30NCS 0000,0000 P4NCS P4 口施密特触发控制寄存器 FE1CH P47NCS P46NCS P45NCS P44NCS P43NCS P42NCS P41NCS P40NCS 0000,0000 P5NCS P5 口施密特触发控制寄存器 FE1DH - - P55NCS P54NCS P53NCS P52NCS P51NCS P50NCS xx00,0000 P6NCS P6 口施密特触发控制寄存器 FE1EH P67NCS P66NCS P65NCS P64NCS P63NCS P62NCS P61NCS P60NCS 0000,0000 P7NCS P7 口施密特触发控制寄存器 FE1FH P77NCS P76NCS P75NCS P74NCS P73NCS P72NCS P71NCS P70NCS 0000,0000 P0SR P0 口电平转换速率寄存器 FE20H P07SR P06SR P05SR P04SR P03SR P02SR P01SR P00SR 1111,1111 P1SR P1 口电平转换速率寄存器 FE21H P17SR P16SR P15SR P14SR P13SR P12SR P11SR P10SR 1111,1111 P2SR P2 口电平转换速率寄存器 FE22H P27SR P26SR P25SR P24SR P23SR P22SR P21SR P20SR 1111,1111 P3SR P3 口电平转换速率寄存器 FE23H P37SR P36SR P35SR P34SR P33SR P32SR P31SR P30SR 1111,1111 P4SR P4 口电平转换速率寄存器 FE24H P47SR P46SR P45SR P44SR P43SR P42SR P41SR P40SR 1111,1111 P5SR P5 口电平转换速率寄存器 FE25H - - P55SR P54SR P53SR P52SR P51SR P50SR xx11,1111 P6SR P6 口电平转换速率寄存器 FE26H P57SR P66SR P65SR P64SR P63SR P62SR P61SR P60SR 1111,1111 P7SR P7 口电平转换速率寄存器 FE27H P77SR P76SR P75SR P74SR P73SR P72SR P71SR P70SR 1111,1111 P0DR P0 口驱动电流控制寄存器 FE28H P07DR P06DR P05DR P04DR P03DR P02DR P01DR P00DR 1111,1111 P1DR P1 口驱动电流控制寄存器 FE29H P17DR P16DR P15DR P14DR P13DR P12DR P11DR P10DR 1111,1111 P2DR P2 口驱动电流控制寄存器 FE2AH P27DR P26DR P25DR P24DR P23DR P22DR P21DR P20DR 1111,1111 P3DR P3 口驱动电流控制寄存器 FE2BH P37DR P36DR P35DR P34DR P33DR P32DR P31DR P30DR 1111,1111 P4DR P4 口驱动电流控制寄存器 FE2CH P47DR P46DR P45DR P44DR P43DR P42DR P41DR P40DR 1111,1111 P5DR P5 口驱动电流控制寄存器 FE2DH - - P55DR P54DR P53DR P52DR P51DR P50DR xx11,1111 P6DR P6 口驱动电流控制寄存器 FE2EH P67DR P66DR P65DR P64DR P63DR P62DR P61DR P60DR 1111,1111 P7DR P7 口驱动电流控制寄存器 FE2FH P77DR P76DR P75DR P74DR P73DR P72DR P71DR P70DR 1111,1111 P0IE P0 口输入使能控制寄存器 FE30H P07IE P06IE P05IE P04IE P03IE P02IE P11IE P00IE 1111,1111 P1IE P1 口输入使能控制寄存器 FE31H P17IE P16IE P15IE P14IE P13IE P12IE P11IE P10IE 1111,1111 P2IE P2 口输入使能控制寄存器 FE32H P27IE P26IE P25IE P24IE P23IE P22IE P21IE P20IE 1111,1111 P3IE P3 口输入使能控制寄存器 FE33H P37IE P36IE P35IE P34IE P33IE P32IE P31IE P30IE 1111,1111 P4IE P4 口输入使能控制寄存器 FE34H P47IE P46IE P45IE P44IE P43IE P42IE P41IE P40IE 1111,1111 P5IE P5 口输入使能控制寄存器 FE35H - - P55IE P54IE P53IE P52IE P51IE P50IE xx11,1111 P6IE P6 口输入使能控制寄存器 FE36H P67IE P66IE P65IE P64IE P63IE P62IE P61IE P60IE 1111,1111 P7IE P7 口输入使能控制寄存器 FE37H P77IE P76IE P75IE P74IE P73IE P72IE P71IE P70IE 1111,1111 LCMIFCFG LCM 接口配置寄存器 FE50H LCMIFIE - D16_D8 M68_I80 0x00,0000 LCMIFCFG2 LCM 接口配置寄存器 2 FE51H - LCMIFCR LCM 接口控制寄存器 FE52H ENLCMIF - - - - LCMIFSTA LCM 接口状态寄存器 FE53H - - - - - LCMIDDATL LCM 接口低字节数据 FE54H LCMIFDAT[7:0] 0000,0000 LCMIDDATH LCM 接口高字节数据 FE55H LCMIFDAT[15:8] 0000,0000 RTCCR RTC 控制寄存器 FE60H - - - - - - - RUNRTC xxxx,xxx0 RTCCFG RTC 配置寄存器 FE61H - - - - - - RTCCKS SETRTC xxxx,xx00 RTCIEN RTC 中断使能寄存器 FE62H EALAI EDAYI EHOURI EMINI ESECI ESEC2I ESEC8I ESEC32I 0000,0000 RTCIF RTC 中断请求寄存器 FE63H ALAIF DAYIF HOURIF MINIF SECIF SEC2IF SEC8IF SEC32IF 0000,0000 深圳国芯人工智能有限公司 LCMIFIP[1:0] LCMIFCPS[1:0] LCMIFDPS[1:0] SETUPT[2:0] 国内分销商电话: 0513-5501 2928/2929/2966 HOLDT[1:0] x000,0000 CMD[2:0] - - 传真: 0513-5501 2926/2956/2947 0xxx,x000 LCMIFIF - 317 - xxxx,xxx0 STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 ALAHOUR RTC 闹钟的小时值 FE64H - - ALAMIN RTC 闹钟的分钟值 FE65H - - xx00,0000 ALASEC RTC 闹钟的秒值 FE66H - - xx00,0000 ALASSEC RTC 闹钟的 1/128 秒值 FE67H - x000,0000 INIYEAR RTC 年初始化 FE68H - x000,0000 INIMONTH RTC 月初始化 FE69H - - - INIDAY RTC 日初始化 FE6AH - - - xxx0,0000 INIHOUR RTC 小时初始化 FE6BH - - - xxx0,0000 INIMIN RTC 分钟初始化 FE6CH - - xx00,0000 INISEC RTC 秒初始化 FE6DH - - xx00,0000 INISSEC RTC1/128 秒初始化 FE6EH - x000,0000 YEAR RTC 的年计数值 FE70H - x000,0000 MONTH RTC 的月计数值 FE71H - - - DAY RTC 的日计数值 FE72H - - - xxx0,0000 HOUR RTC 的小时计数值 FE73H - - - xxx0,0000 MIN RTC 的分钟计数值 FE74H - - xx00,0000 SEC RTC 的秒计数值 FE75H - - xx00,0000 SSEC RTC 的 1/128 秒计数值 FE76H - I2C 配置寄存器 FE80H ENI2C MSSL I2CMSCR I2C 主机控制寄存器 FE81H EMSI - - - I2CMSST I2C 主机状态寄存器 FE82H MSBUSY MSIF - - - - MSACKI MSACKO 00xx,xx00 I2CSLCR I2C 从机控制寄存器 FE83H - ESTAI ERXI ETXI ESTOI - - SLRST x000,0xx0 I2CSLST I2C 从机状态寄存器 FE84H SLBUSY STAIF RXIF TXIF STOIF TXING SLACKI SLACKO 0000,0000 I2CSLADR I2C 从机地址寄存器 FE85H MA 0000,0000 I2CTXD I2C 数据发送寄存器 FE86H 0000,0000 I2CRXD I2C 数据接收寄存器 FE87H 0000,0000 I2C 主机辅助控制寄存器 FE88H TM2PS 定时器 2 时钟预分频寄存器 FEA2H 0000,0000 TM3PS 定时器 3 时钟预分频寄存器 FEA3H 0000,0000 TM4PS 定时器 4 时钟预分频寄存器 FEA4H 0000,0000 ADCTIM ADC 时序控制寄存器 FEA8H CSSETUP ADCEXCFG ADC 扩展配置寄存器 FEADH - CMPEXCFG 比较器扩展配置寄存器 FEAEH T3/T4 选择寄存器 FEACH I2CCFG I2CMSAUX T3T4PIN PWMA_ETRPS PWMA 的 ETR 选择寄存器 PWMA_ENO PWMA_PS PWMA 输出使能控制 FEB1H PWMA 输出脚选择寄存器 FEB2H FEB3H PWMB_ETRPS PWMB 的 ETR 选择寄存器 FEB4H PWMB_PS PWMB 输出使能控制 FEB5H PWMB 输出脚选择寄存器 FEB6H PWMB_IOAUX PWMB 辅助寄存器 - xxxx,0000 - xxxx,0000 x000,0000 MSSPEED[6:1] 0000,0000 MSCMD[3:0] 0xxx,0000 I2CSLADR[7:1] - - - - - - CSHOLD[1:0] - - - - - ENO4P - - CMPNS - - - - ENO3N C4PS[1:0] AUX4N ENO3P ENO2N C3PS[1:0] AUX4P AUX3N AUX3P ENO2P - AUX2P ENO8P - C8PS[1:0] FEB7H - PWMA 控制寄存器 1 FEC0H ARPE PWMA_CR2 PWMA 控制寄存器 2 FEC1H - ENO7P - C7PS[1:0] AUX8P - ENO6P T3T4SEL ETRAPS[1:0] ENO1N C2PS[1:0] AUX2N 00xx,x000 CMPPS[1:0] AUX1N ENO1P C6PS[1:0] 0000,0000 0000,0000 AUX1P ETRBPS[1:0] - xxxx,xxx0 xxxx,x000 C1PS[1:0] BRKBPS - xx00,x000 CVTIMESEL[2:0] - xxxx,xxx0 0010,1010 BRKAPS ENO4N WDTA SMPDUTY[4:0] ADCETRS [1:0] CHYS[1:0] PWMA_CR1 深圳国芯人工智能有限公司 xxx0,0000 FEB0H PWMA_IOAUX PWMA 辅助寄存器 PWMB_ENO - 0000,0000 xxxx,x000 ENO5P C5PS[1:0] x0x0,x0x0 0000,0000 AUX7P - AUX6P - AUX5P x0x0,x0x0 DIR OPM URS UDIS CEN 0000,0000 - COMS - CCPC x000,x0x0 CMS[1:0] MMS[2:0] 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 318 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com PWMA_SMCR PWMA 从模式控制寄存器 FEC2H MSM 技术支持: 19864585985 TS[2:0] - 选型顾问: 13922805190 SMS[2:0] 0000,x000 PWMA_ETR PWMA 外部触发寄存器 FEC3H ETP ECE PWMA_IER PWMA 中断使能寄存器 FEC4H BIE TIE COMIE CC4IE CC3IE CC2IE CC1IE UIE 0000,0000 PWMA_SR1 PWMA 状态寄存器 1 FEC5H BIF TIF COMIF CC4IF CC3IF CC2IF CC1IF UIF 0000,0000 PWMA_SR2 PWMA 状态寄存器 2 FEC6H - - - CC4OF CC3OF CC2OF CC1OF - xxx0,000x PWMA_EGR PWMA 事件发生寄存器 FEC7H BG TG COMG CC4G CC3G CC2G CC1G UG 0000,0000 OC1PE OC1FE PWMA 捕获模式寄存器 1 PWMA_CCMR1 OC1CE ETPS[1:0] ETF[3:0] OC1M[2:0] 0000,0000 CC1S[1:0] 0000,0000 CC1S[1:0] 0000,0000 CC2S[1:0] 0000,0000 CC2S[1:0] 0000,0000 CC3S[1:0] 0000,0000 CC3S[1:0] 0000,0000 CC4S[1:0] 0000,0000 CC4S[1:0] 0000,0000 FEC8H PWMA 比较模式寄存器 1 IC1F[3:0] PWMA 捕获模式寄存器 2 PWMA_CCMR2 OC2CE IC1PSC[1:0] OC2M[2:0] OC2PE OC2FE FEC9H PWMA 比较模式寄存器 2 IC2F[3:0] PWMA 捕获模式寄存器 3 PWMA_CCMR3 OC3CE IC2PSC[1:0] OC3M[2:0] OC3PE OC3FE FECAH PWMA 比较模式寄存器 3 IC3F[3:0] PWMA 捕获模式寄存器 4 PWMA_CCMR4 OC4CE IC3PSC[1:0] OC4M[2:0] OC4PE OC4FE FECBH PWMA 比较模式寄存器 4 IC4F[3:0] IC4PSC[1:0] PWMA_CCER1 PWMA 捕获比较使能寄存器 1 FECCH CC2NP CC2NE CC2P CC2E CC1NP CC1NE CC1P CC1E 0000,0000 PWMA_CCER2 PWMA 捕获比较使能寄存器 2 FECDH CC4NP CC4NE CC4P CC4E CC3NP CC3NE CC3P CC3E 0000,0000 PWMA_CNTRH PWMA 计数器高字节 FECEH 0000,0000 PWMA_CNTRL PWMA 计数器低字节 FECFH 0000,0000 PWMA_PSCRH PWMA 预分频高字节 FED0H 0000,0000 PWMA_PSCRL PWMA 预分频低字节 FED1H 0000,0000 PWMA_ARRH PWMA 自动重装寄存器高字节 FED2H 0000,0000 PWMA_ARRL PWMA 自动重装寄存器低字节 FED3H 0000,0000 FED4H 0000,0000 PWMA_CCR1H PWMA 比较捕获寄存器 1 高位 FED5H 0000,0000 PWMA_CCR1L PWMA 比较捕获寄存器 1 低位 FED6H 0000,0000 PWMA_CCR2H PWMA 比较捕获寄存器 2 高位 FED7H 0000,0000 PWMA_CCR2L PWMA 比较捕获寄存器 2 低位 FED8H 0000,0000 PWMA_CCR3H PWMA 比较捕获寄存器 3 高位 FED9H 0000,0000 PWMA_CCR3L PWMA 比较捕获寄存器 3 低位 FEDAH 0000,0000 PWMA_CCR4H PWMA 比较捕获寄存器 4 高位 FEDBH 0000,0000 PWMA_CCR4L PWMA 比较捕获寄存器 4 低位 FEDCH 0000,0000 PWMA_RCR PWMA 重复计数器寄存器 PWMA_BKR PWMA 刹车寄存器 FEDDH PWMA_DTR PWMA 死区控制寄存器 FEDEH PWMA_OISR PWMA 输出空闲状态寄存器 FEDFH OIS4N PWMB_CR1 PWMB 控制寄存器 1 FEE0H ARPE PWMB_CR2 PWMB 控制寄存器 2 FEE1H - FEE2H MSM PWMB_SMCR PWMB 从模式控制寄存器 MOE AOE BKP BKE OSSR OSSI LOCK[1:0] 0000,0000 DTG[7:0] OIS4 OIS3N 0000,0000 OIS3 OIS2N OIS2 OIS1N OIS1 0000,0000 DIR OPM URS UDIS CEN 0000,0000 MMS[2:0] - COMS - CCPC x000,x0x0 TS[2:0] - CMS[1:0] SMS[2:0] 0000,x000 PWMB_ETR PWMB 外部触发寄存器 FEE3H ETP ECE PWMB_IER PWMB 中断使能寄存器 FEE4H BIE TIE COMIE CC8IE CC7IE CC6IE CC5IE UIE 0000,0000 PWMB_SR1 PWMB 状态寄存器 1 FEE5H BIF TIF COMIF CC8IF CC7IF CC6IF CC5IF UIF 0000,0000 PWMB_SR2 PWMB 状态寄存器 2 FEE6H - - - CC8OF CC7OF CC6OF CC5OF - xxx0,000x PWMB_EGR PWMB 事件发生寄存器 FEE7H BG TG COMG CC8G CC7G CC6G CC5G UG 0000,0000 OC5PE OC5FE PWMB 捕获模式寄存器 1 PWMB_CCMR1 OC5CE ETPS[1:0] OC5M[2:0] ETF[3:0] 0000,0000 CC5S[1:0] 0000,0000 CC5S[1:0] 0000,0000 FEE8H PWMB 比较模式寄存器 1 深圳国芯人工智能有限公司 IC5F[3:0] 国内分销商电话: 0513-5501 2928/2929/2966 IC5PSC[1:0] 传真: 0513-5501 2926/2956/2947 - 319 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 OC6CE OC6PE PWMB 捕获模式寄存器 2 PWMB_CCMR2 OC6M[2:0] 选型顾问: 13922805190 OC6FE CC6S[1:0] 0000,0000 CC6S[1:0] 0000,0000 CC7S[1:0] 0000,0000 CC7S[1:0] 0000,0000 CC8S[1:0] 0000,0000 CC8S[1:0] 0000,0000 FEE9H PWMB 比较模式寄存器 2 IC6F[3:0] PWMB 捕获模式寄存器 3 PWMB_CCMR3 OC7CE IC6PSC[1:0] OC7M[2:0] OC7PE OC7FE FEEAH PWMB 比较模式寄存器 3 IC7F[3:0] PWMB 捕获模式寄存器 4 PWMB_CCMR4 OC8CE IC7PSC[1:0] OC8M[2:0] OC8PE OC8FE FEEBH PWMB 比较模式寄存器 4 IC8F[3:0] IC8PSC[1:0] PWMB_CCER1 PWMB 捕获比较使能寄存器 1 FEECH - - CC6P CC6E - - CC5P CC5E xx00,xx00 PWMB_CCER2 PWMB 捕获比较使能寄存器 2 FEEDH - - CC8P CC8E - - CC7P CC7E xx00,xx00 PWMB_CNTRH PWMB 计数器高字节 FEEEH 0000,0000 PWMB_CNTRL PWMB 计数器低字节 FEEFH 0000,0000 PWMB_PSCRH PWMB 预分频高字节 FEF0H 0000,0000 PWMB_PSCRL PWMB 预分频低字节 FEF1H 0000,0000 PWMB_ARRH PWMB 自动重装寄存器高字节 FEF2H 0000,0000 PWMB_ARRL PWMB 自动重装寄存器低字节 FEF3H 0000,0000 FEF4H 0000,0000 PWMB_CCR5H PWMB 比较捕获寄存器 1 高位 FEF5H 0000,0000 PWMB_CCR5L PWMB 比较捕获寄存器 1 低位 FEF6H 0000,0000 PWMB_CCR6H PWMB 比较捕获寄存器 2 高位 FEF7H 0000,0000 PWMB_CCR6L PWMB 比较捕获寄存器 2 低位 FEF8H 0000,0000 PWMB_CCR7H PWMB 比较捕获寄存器 3 高位 FEF9H 0000,0000 PWMB_CCR7L PWMB 比较捕获寄存器 3 低位 FEFAH 0000,0000 PWMB_CCR8H PWMB 比较捕获寄存器 4 高位 FEFBH 0000,0000 PWMB_CCR8L PWMB 比较捕获寄存器 4 低位 FEFCH 0000,0000 PWMB_RCR PWMB 重复计数器寄存器 PWMB_BKR PWMB 刹车寄存器 FEFDH PWMB_DTR PWMB 死区控制寄存器 FEFEH PWMB_OISR PWMB 输出空闲状态寄存器 FEFFH MD3 MDU 数据寄存器 FCF0H MD3[7:0] 0000,0000 MD2 MDU 数据寄存器 FCF1H MD2[7:0] 0000,0000 MD1 MDU 数据寄存器 FCF2H MD1[7:0] 0000,0000 MD0 MDU 数据寄存器 FCF3H MD0[7:0] 0000,0000 MD5 MDU 数据寄存器 FCF4H MD5[7:0] 0000,0000 MD4 MDU 数据寄存器 FCF5H MD4[7:0] 0000,0000 ARCON MDU 模式控制寄存器 FCF6H OPCON MDU 操作控制寄存器 FCF7H - MDOV - - - - RST ENOP x0xx,xx00 P0INTE P0 口中断使能寄存器 FD00H P07INTE P06INTE P05INTE P04INTE P03INTE P02INTE P01INTE P00INTE 0000,0000 P1INTE P1 口中断使能寄存器 FD01H P17INTE P16INTE P15INTE P14INTE P13INTE P12INTE P11INTE P10INTE 0000,0000 P2INTE P2 口中断使能寄存器 FD02H P27INTE P26INTE P25INTE P24INTE P23INTE P22INTE P21INTE P20INTE 0000,0000 P3INTE P3 口中断使能寄存器 FD03H P37INTE P36INTE P35INTE P34INTE P33INTE P32INTE P31INTE P30INTE 0000,0000 P4INTE P4 口中断使能寄存器 FD04H P47INTE P46INTE P45INTE P44INTE P43INTE P42INTE P41INTE P40INTE 0000,0000 P5INTE P5 口中断使能寄存器 FD05H - - P55INTE P54INTE P53INTE P52INTE P51INTE P50INTE xx00,0000 P6INTE P6 口中断使能寄存器 FD06H P67INTE P66INTE P65INTE P64INTE P63INTE P62INTE P61INTE P60INTE 0000,0000 P7INTE P7 口中断使能寄存器 FD07H P77INTE P76INTE P75INTE P74INTE P73INTE P72INTE P71INTE P70INTE 0000,0000 P0INTF P0 口中断标志寄存器 FD10H P07INTF P06INTF P05INTF P04INTF P03INTF P02INTF P01INTF P00INTF 0000,0000 P1INTF P1 口中断标志寄存器 FD11H P17INTF P16INTF P15INTF P14INTF P13INTF P12INTF P11INTF P10INTF 0000,0000 深圳国芯人工智能有限公司 MOE AOE BKP BKE OSSR OSSI LOCK[1:0] 0000,0000 DTG[7:0] - OIS8 - OIS7 - MODE[2:0] 0000,0000 OIS6 - OIS5 SC[4:0] 国内分销商电话: 0513-5501 2928/2929/2966 x0x0,x0x0 0000,0000 传真: 0513-5501 2926/2956/2947 - 320 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P2INTF P2 口中断标志寄存器 FD12H P27INTF P26INTF P25INTF P24INTF P23INTF P22INTF P21INTF P20INTF 0000,0000 P3INTF P3 口中断标志寄存器 FD13H P37INTF P36INTF P35INTF P34INTF P33INTF P32INTF P31INTF P30INTF 0000,0000 P4INTF P4 口中断标志寄存器 FD14H P47INTF P46INTF P45INTF P44INTF P43INTF P42INTF P41INTF P40INTF 0000,0000 P5INTF P5 口中断标志寄存器 FD15H - - P55INTF P54INTF P53INTF P52INTF P51INTF P50INTF xx00,0000 P6INTF P6 口中断标志寄存器 FD16H P67INTF P66INTF P65INTF P64INTF P63INTF P62INTF P61INTF P60INTF 0000,0000 P7INTF P7 口中断标志寄存器 FD17H P77INTF P76INTF P75INTF P74INTF P73INTF P72INTF P71INTF P70INTF 0000,0000 P0IM0 P0 口中断模式寄存器 0 FD20H P07IM0 P06IM0 P05IM0 P04IM0 P03IM0 P02IM0 P01IM0 P00IM0 0000,0000 P1IM0 P1 口中断模式寄存器 0 FD21H P17IM0 P16IM0 P15IM0 P14IM0 P13IM0 P12IM0 P11IM0 P10IM0 0000,0000 P2IM0 P2 口中断模式寄存器 0 FD22H P27IM0 P26IM0 P25IM0 P24IM0 P23IM0 P22IM0 P21IM0 P20IM0 0000,0000 P3IM0 P3 口中断模式寄存器 0 FD23H P37IM0 P36IM0 P35IM0 P34IM0 P33IM0 P32IM0 P31IM0 P30IM0 0000,0000 P4IM0 P4 口中断模式寄存器 0 FD24H P47IM0 P46IM0 P45IM0 P44IM0 P43IM0 P42IM0 P41IM0 P40IM0 0000,0000 P5IM0 P5 口中断模式寄存器 0 FD25H - - P55IM0 P54IM0 P53IM0 P52IM0 P51IM0 P50IM0 xx00,0000 P6IM0 P6 口中断模式寄存器 0 FD26H P67IM0 P66IM0 P65IM0 P64IM0 P63IM0 P62IM0 P61IM0 P60IM0 0000,0000 P7IM0 P7 口中断模式寄存器 0 FD27H P77IM0 P76IM0 P75IM0 P74IM0 P73IM0 P72IM0 P71IM0 P70IM0 0000,0000 P0IM1 P0 口中断模式寄存器 1 FD30H P07IM1 P06IM1 P05IM1 P04IM1 P03IM1 P02IM1 P01IM1 P00IM1 0000,0000 P1IM1 P1 口中断模式寄存器 1 FD31H P17IM1 P16IM1 P15IM1 P14IM1 P13IM1 P12IM1 P11IM1 P10IM1 0000,0000 P2IM1 P2 口中断模式寄存器 1 FD32H P27IM1 P26IM1 P25IM1 P24IM1 P23IM1 P22IM1 P21IM1 P20IM1 0000,0000 P3IM1 P3 口中断模式寄存器 1 FD33H P37IM1 P36IM1 P35IM1 P34IM1 P33IM1 P32IM1 P31IM1 P30IM1 0000,0000 P4IM1 P4 口中断模式寄存器 1 FD34H P47IM1 P46IM1 P45IM1 P44IM1 P43IM1 P42IM1 P41IM1 P40IM1 0000,0000 P5IM1 P5 口中断模式寄存器 1 FD35H - - P55IM1 P54IM1 P53IM1 P52IM1 P51IM1 P50IM1 xx00,0000 P6IM1 P6 口中断模式寄存器 1 FD36H P67IM1 P66IM1 P65IM1 P64IM1 P63IM1 P62IM1 P61IM1 P60IM1 0000,0000 P7IM1 P7 口中断模式寄存器 1 FD37H P77IM1 P76IM1 P75IM1 P74IM1 P73IM1 P72IM1 P71IM1 P70IM1 0000,0000 P0WKUE P0 口中断唤醒使能寄存器 FD40H P07WKUE P06WKUE P05WKUE P04WKUE P03WKUE P02WKUE P01WKUE P00WKUE 0000,0000 P1WKUE P1 口中断唤醒使能寄存器 FD41H P17WKUE P16WKUE P15WKUE P14WKUE P13WKUE P12WKUE P11WKUE P10WKUE 0000,0000 P2WKUE P2 口中断唤醒使能寄存器 FD42H P27WKUE P26WKUE P25WKUE P24WKUE P23WKUE P22WKUE P21WKUE P20WKUE 0000,0000 P3WKUE P3 口中断唤醒使能寄存器 FD43H P37WKUE P36WKUE P35WKUE P34WKUE P33WKUE P32WKUE P31WKUE P30WKUE 0000,0000 P4WKUE P4 口中断唤醒使能寄存器 FD44H P47WKUE P46WKUE P45WKUE P44WKUE P43WKUE P42WKUE P41WKUE P40WKUE 0000,0000 P5WKUE P5 口中断唤醒使能寄存器 FD45H - P55WKUE P54WKUE P53WKUE P52WKUE P51WKUE P50WKUE xx00,0000 P6WKUE P6 口中断唤醒使能寄存器 FD46H P67WKUE P66WKUE P65WKUE P64WKUE P63WKUE P62WKUE P61WKUE P60WKUE 0000,0000 P7WKUE P7 口中断唤醒使能寄存器 FD47H P77WKUE P76WKUE P75WKUE P74WKUE P73WKUE P72WKUE P71WKUE P70WKUE 0000,0000 PINIPL I/O 口中断优先级低寄存器 FD60H P7IP P6IP P5IP P4IP P3IP P2IP P1IP P0IP 0000,0000 PINIPH I/O 口中断优先级高寄存器 FD61H P7IPH P6IPH P5IPH P4IPH P3IPH P2IPH P1IPH P0IPH 0000,0000 COMEN COM 使能寄存器 FB00H C7EN C6EN C5EN C4EN C3EN C2EN C1EN C0EN 0000,0000 SEGENL SEG 使能寄存器 FB01H S7EN S6EN S5EN S4EN S3EN S2EN S1EN S0EN 0000,0000 SEGENH SEG 使能寄存器 FB02H S15EN S14EN S13EN S12EN S11EN S10EN S9EN S8EN 0000,0000 LEDCTRL LED 控制寄存器 FB03H LEDON - LED 时钟分频寄存器 FB04H 0000,0001 COM0_DA_L 共阳模式显示数据 FB10H 0000,0000 COM1_DA_L 共阳模式显示数据 FB11H 0000,0000 COM2_DA_L 共阳模式显示数据 FB12H 0000,0000 COM3_DA_L 共阳模式显示数据 FB13H 0000,0000 COM4_DA_L 共阳模式显示数据 FB14H 0000,0000 COM5_DA_L 共阳模式显示数据 FB15H 0000,0000 COM6_DA_L 共阳模式显示数据 FB16H 0000,0000 LEDCKS 深圳国芯人工智能有限公司 - LEDMODE[1:0] 国内分销商电话: 0513-5501 2928/2929/2966 - LEDDUTY[2:0] 传真: 0513-5501 2926/2956/2947 0x00,x000 - 321 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 共阳模式显示数据 FB17H 0000,0000 COM0_DA_H 共阳模式显示数据 FB18H 0000,0000 COM1_DA_H 共阳模式显示数据 FB19H 0000,0000 COM2_DA_H 共阳模式显示数据 FB1AH 0000,0000 COM3_DA_H 共阳模式显示数据 FB1BH 0000,0000 COM4_DA_H 共阳模式显示数据 FB1CH 0000,0000 COM5_DA_H 共阳模式显示数据 FB1DH 0000,0000 COM6_DA_H 共阳模式显示数据 FB1EH 0000,0000 COM7_DA_H 共阳模式显示数据 FB1FH 0000,0000 COM0_DC_L 共阴模式显示数据 FB20H 0000,0000 COM1_DC_L 共阴模式显示数据 FB21H 0000,0000 COM2_DC_L 共阴模式显示数据 FB22H 0000,0000 COM3_DC_L 共阴模式显示数据 FB23H 0000,0000 COM4_DC_L 共阴模式显示数据 FB24H 0000,0000 COM5_DC_L 共阴模式显示数据 FB25H 0000,0000 COM6_DC_L 共阴模式显示数据 FB26H 0000,0000 COM7_DC_L 共阴模式显示数据 FB27H 0000,0000 COM0_DC_H 共阴模式显示数据 FB28H 0000,0000 COM1_DC_H 共阴模式显示数据 FB29H 0000,0000 COM2_DC_H 共阴模式显示数据 FB2AH 0000,0000 COM3_DC_H 共阴模式显示数据 FB2BH 0000,0000 COM4_DC_H 共阴模式显示数据 FB2CH 0000,0000 COM5_DC_H 共阴模式显示数据 FB2DH 0000,0000 COM6_DC_H 共阴模式显示数据 FB2EH 0000,0000 COM7_DC_H 共阴模式显示数据 FB2FH 0000,0000 TSCHEN1 触摸按键使能寄存器 1 FB40H TKEN7 TKEN6 TKEN5 TKEN4 TKEN3 TKEN2 TKEN1 TKEN0 0000,0000 TSCHEN2 触摸按键使能寄存器 2 FB41H TKEN15 TKEN14 TKEN13 TKEN12 TKEN11 TKEN10 TKEN9 TKEN8 0000,0000 TSCFG1 触摸按键配置寄存器 1 FB42H - TSCFG2 触摸按键配置寄存器 2 FB43H - TSWUTC 触摸按键唤醒控制寄存器 FB44H TSCTRL 触摸按键控制寄存器 FB45H TSGO SINGLE TSWAIT TSWUCS TSSTA1 触摸按键状态寄存器 1 FB46H LEDWK - - - TSWKCHN[3:0] 0xxx,0000 TSSTA2 触摸按键状态寄存器 2 FB47H TSIF TSDOV - - TSDNCHN[3:0] 00xx,0000 触摸按键时间控制寄存器 FB48H 0000,0001 TSDATH 触摸按键数据高字节 FB49H 0000,0000 TSDATL 触摸按键数据低字节 FB4AH 0000,0000 TSTH00H 触摸按键 0 门槛值高字节 FB50H 0000,0000 TSTH00L 触摸按键 0 门槛值低字节 FB51H 0000,0000 TSTH01H 触摸按键 1 门槛值高字节 FB52H 0000,0000 TSTH01L 触摸按键 1 门槛值低字节 FB53H 0000,0000 TSTH02H 触摸按键 2 门槛值高字节 FB54H 0000,0000 TSTH02L 触摸按键 2 门槛值低字节 FB55H 0000,0000 TSTH03H 触摸按键 3 门槛值高字节 FB56H 0000,0000 TSTH03L 触摸按键 3 门槛值低字节 FB57H 0000,0000 COM7_DA_L TSRT 深圳国芯人工智能有限公司 SCR[2:0] - - - - - DT[2:0] - x000,x000 TSVR[1:0] xxxx,xx00 0000,0001 国内分销商电话: 0513-5501 2928/2929/2966 TSDCEN TSWUEN TSSAMP[1:0] 传真: 0513-5501 2926/2956/2947 0000,0000 - 322 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 TSTH04H 触摸按键 4 门槛值高字节 FB58H 0000,0000 TSTH04L 触摸按键 4 门槛值低字节 FB59H 0000,0000 TSTH05H 触摸按键 5 门槛值高字节 FB5AH 0000,0000 TSTH05L 触摸按键 5 门槛值低字节 FB5BH 0000,0000 TSTH06H 触摸按键 6 门槛值高字节 FB5CH 0000,0000 TSTH06L 触摸按键 6 门槛值低字节 FB5DH 0000,0000 TSTH07H 触摸按键 7 门槛值高字节 FB5EH 0000,0000 TSTH07L 触摸按键 7 门槛值低字节 FB5FH 0000,0000 TSTH08H 触摸按键 8 门槛值高字节 FB60H 0000,0000 TSTH08L 触摸按键 8 门槛值低字节 FB61H 0000,0000 TSTH09H 触摸按键 9 门槛值高字节 FB62H 0000,0000 TSTH09L 触摸按键 9 门槛值低字节 FB63H 0000,0000 TSTH10H 触摸按键 10 门槛值高字节 FB64H 0000,0000 TSTH10L 触摸按键 10 门槛值低字节 FB65H 0000,0000 TSTH11H 触摸按键 11 门槛值高字节 FB66H 0000,0000 TSTH11L 触摸按键 11 门槛值低字节 FB67H 0000,0000 TSTH12H 触摸按键 12 门槛值高字节 FB68H 0000,0000 TSTH12L 触摸按键 12 门槛值低字节 FB69H 0000,0000 TSTH13H 触摸按键 13 门槛值高字节 FB6AH 0000,0000 TSTH13L 触摸按键 13 门槛值低字节 FB6BH 0000,0000 TSTH14H 触摸按键 14 门槛值高字节 FB6CH 0000,0000 TSTH14L 触摸按键 14 门槛值低字节 FB6DH 0000,0000 TSTH15H 触摸按键 15 门槛值高字节 FB6EH 0000,0000 TSTH15L 触摸按键 15 门槛值低字节 FB6FH 0000,0000 LCDCFG LCD 配置寄存器 FB80H CKSEL - - - VRLPSEL LCDCFG2 LCD 配置寄存器 2 FB81H - - - - SEG3PS DBLEN 死区时间长度配置 FB82H - - - - - COMLENL COM 时间长度配置低位 FB83H COMLEN[7:0] 0000,0000 COMLENM COM 时间长度配置中位 FB84H COMLEN[15:8] 0000,0000 COMLENH COM 时间长度配置高位 FB85H BLINKRATE 闪烁率配置寄存器 FB86H LCDCR LCD 控制寄存器 FB87H - - - - - COMON COM 线使能寄存器 FB88H - - - - COM3 COM2 SEGON1 SEG 线使能寄存器 1 FB8AH SEG7 SEG6 SEG5 SEG4 SEG3 SEGON2 SEG 线使能寄存器 2 FB8BH SEG15 SEG14 SEG13 SEG12 SEGON3 SEG 线使能寄存器 3 FB8CH SEG23 SEG22 SEG21 SEGON4 SEG 线使能寄存器 4 FB8DH SEG31 SEG30 SEGON5 SEG 线使能寄存器 5 FB8EH SEG39 C0SEGV0 C0SEG7_0 数据寄存器 FB90H C0SEGV1 C0SEG15_8 数据寄存器 C0SEGV2 - - - - VLCDSEL[2:0] SEG2PS SEG1PS 0xxx,0000 SEG0PS DBLEN[2:0] xxxx,0000 xxxx,x000 COMLEN[19:16] xxxx,0000 BLANKRATE[7:0] 1000,0000 ENLCD xxxx,x000 COM1 COM0 xxxx,0000 SEG2 SEG1 SEG0 0000,0000 SEG11 SEG10 SEG9 SEG8 0000,0000 SEG20 SEG19 SEG18 SEG17 SEG16 0000,0000 SEG29 SEG28 SEG27 SEG26 SEG25 SEG24 0000,0000 SEG38 SEG37 SEG36 SEG35 SEG34 SEG33 SEG32 0000,0000 C0S7 C0S6 C0S5 C0S4 C0S3 C0S2 C0S1 C0S0 0000,0000 FB91H C0S15 C0S14 C0S13 C0S12 C0S11 C0S10 C0S9 C0S8 0000,0000 C0SEG23_16 数据寄存器 FB92H C0S23 C0S22 C0S21 C0S20 C0S19 C0S18 C0S17 C0S16 0000,0000 C0SEGV3 C0SEG31_24 数据寄存器 FB93H C0S31 C0S30 C0S29 C0S28 C0S27 C0S26 C0S25 C0S24 0000,0000 C0SEGV4 C0SEG39_32 数据寄存器 FB94H C0S39 C0S38 C0S37 C0S36 C0S35 C0S34 C0S33 C0S32 0000,0000 C1SEGV0 C1SEG7_0 数据寄存器 FB98H C1S7 C1S6 C1S5 C1S4 C1S3 C1S2 C1S1 C1S0 0000,0000 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 ACTMODE[1:0] 传真: 0513-5501 2926/2956/2947 - 323 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 C1SEGV1 C1SEG15_8 数据寄存器 FB99H C1S15 C1S14 C1S13 C1S12 C1S11 C1S10 C1S9 C1S8 0000,0000 C1SEGV2 C1SEG23_16 数据寄存器 FB9AH C1S23 C1S22 C1S21 C1S20 C1S19 C1S18 C1S17 C1S16 0000,0000 C1SEGV3 C1SEG31_24 数据寄存器 FB9BH C1S31 C1S30 C1S29 C1S28 C1S27 C1S26 C1S25 C1S24 0000,0000 C1SEGV4 C1SEG39_32 数据寄存器 FB9CH C1S39 C1S38 C1S37 C1S36 C1S35 C1S34 C1S33 C1S32 0000,0000 C2SEGV0 C2SEG7_0 数据寄存器 FBA0H C2S7 C2S6 C2S5 C2S4 C2S3 C2S2 C2S1 C2S0 0000,0000 C2SEGV1 C2SEG15_8 数据寄存器 FBA1H C2S15 C2S14 C2S13 C2S12 C2S11 C2S10 C2S9 C2S8 0000,0000 C2SEGV2 C2SEG23_16 数据寄存器 FBA2H C2S23 C2S22 C2S21 C2S20 C2S19 C2S18 C2S17 C2S16 0000,0000 C2SEGV3 C2SEG31_24 数据寄存器 FBA3H C2S31 C2S30 C2S29 C2S28 C2S27 C2S26 C2S25 C2S24 0000,0000 C2SEGV4 C2SEG39_32 数据寄存器 FBA4H C2S39 C2S38 C2S37 C2S36 C2S35 C2S34 C2S33 C2S32 0000,0000 C3SEGV0 C3SEG7_0 数据寄存器 FBA8H C3S7 C3S6 C3S5 C3S4 C3S3 C3S2 C3S1 C3S0 0000,0000 C3SEGV1 C3SEG15_8 数据寄存器 FBA9H C3S15 C3S14 C3S13 C3S12 C3S11 C3S10 C3S9 C3S8 0000,0000 C3SEGV2 C3SEG23_16 数据寄存器 FBAAH C3S23 C3S22 C3S21 C3S20 C3S19 C3S18 C3S17 C3S16 0000,0000 C3SEGV3 C3SEG31_24 数据寄存器 FBABH C3S31 C3S30 C3S29 C3S28 C3S27 C3S26 C3S25 C3S24 0000,0000 C3SEGV4 C3SEG39_32 数据寄存器 FBACH C3S39 C3S38 C3S37 C3S36 C3S35 C3S34 C3S33 C3S32 0000,0000 DMA_M2M_CFG M2M_DMA 配置寄存器 FA00H M2MIE - TXACO RXACO DMA_M2M_CR M2M_DMA 控制寄存器 FA01H ENM2M TRIG - - - - DMA_M2M_STA M2M_DMA 状态寄存器 FA02H - - - - - - DMA_M2M_AMT M2M_DMA 传输总字节数 FA03H 0000,0000 DMA_M2M_DONE M2M_DMA 传输完成字节数 FA04H 0000,0000 DMA_M2M_TXAH M2M_DMA 发送高地址 FA05H 0000,0000 DMA_M2M_TXAL M2M_DMA 发送低地址 FA06H 0000,0000 DMA_M2M_RXAH M2M_DMA 接收高地址 FA07H 0000,0000 DMA_M2M_RXAL M2M_DMA 接收低地址 FA08H 0000,0000 DMA_ADC_CFG ADC_DMA 配置寄存器 FA10H ADCIE - - - ADCMIP[1:0] DMA_ADC_CR ADC_DMA 控制寄存器 FA11H ENADC TRIG - - - - DMA_ADC_STA ADC_DMA 状态寄存器 FA12H - - - - - - DMA_ADC_RXAH ADC_DMA 接收高地址 FA17H 0000,0000 DMA_ADC_RXAL ADC_DMA 接收低地址 FA18H 0000,0000 DMA_ADC_CFG2 ADC_DMA 配置寄存器 2 FA19H - - - - DMA_ADC_CHSW0 ADC_DMA 通道使能 FA1AH CH15 CH14 CH13 CH12 CH11 CH10 CH9 CH8 1000,0000 DMA_ADC_CHSW1 ADC_DMA 通道使能 FA1BH CH7 CH6 CH5 CH4 CH3 CH2 CH1 CH0 0000,0001 DMA_SPI_CFG SPI_DMA 配置寄存器 FA20H SPIIE ACT_TX ACT_RX - DMA_SPI_CR SPI_DMA 控制寄存器 FA21H ENSPI TRIG_M TRIG_S - - - - CLRFIFO 000x,xxx0 DMA_SPI_STA SPI_DMA 状态寄存器 FA22H - - - - - TXOVW RXLOSS SPIIF xxxx,x000 DMA_SPI_AMT SPI_DMA 传输总字节数 FA23H 0000,0000 DMA_SPI_DONE SPI_DMA 传输完成字节数 FA24H 0000,0000 DMA_SPI_TXAH SPI_DMA 发送高地址 FA25H 0000,0000 DMA_SPI_TXAL SPI_DMA 发送低地址 FA26H 0000,0000 DMA_SPI_RXAH SPI_DMA 接收高地址 FA27H 0000,0000 DMA_SPI_RXAL SPI_DMA 接收低地址 FA28H 0000,0000 DMA_SPI_CFG2 SPI_DMA 配置寄存器 2 FA29H - - - - DMA_UR1T_CFG UR1T_DMA 配置寄存器 FA30H UR1TIE - - - DMA_UR1T_CR UR1T_DMA 控制寄存器 FA31H ENUR1T TRIG - - - DMA_UR1T_STA UR1T_DMA 状态寄存器 FA32H - - - - - 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 M2MIP[1:0] M2MPTY[1:0] 0x00,0000 - - 00xx,xxxx - M2MIF xxxx,xxx0 ADCPTY[1:0] 0xxx,0000 - - 00xx,xxxx - ADCIF xxxx,xxx0 CVTIMESEL[3:0] SPIIP[1:0] - xxxx,0000 SPIPTY[1:0] WRPSS 000x,0000 SSS[1:0] xxxx,x000 UR1TPTY[1:0] 0xxx,0000 - - - 00xx,xxxx TXOVW - UR1TIF xxxx,x0x0 UR1TIP[1:0] 传真: 0513-5501 2926/2956/2947 - 324 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 DMA_UR1T_AMT UR1T_DMA 传输总字节数 FA33H 0000,0000 DMA_UR1T_DONE UR1T_DMA 传输完成字节数 FA34H 0000,0000 DMA_UR1T_TXAH UR1T_DMA 发送高地址 FA35H 0000,0000 DMA_UR1T_TXAL UR1T_DMA 发送低地址 FA36H 0000,0000 DMA_UR1R_CFG UR1R_DMA 配置寄存器 FA38H UR1RIE - - - DMA_UR1R_CR UR1R_DMA 控制寄存器 FA39H ENUR1R - TRIG - - DMA_UR1R_STA UR1R_DMA 状态寄存器 FA3AH - - - - - DMA_UR1R_AMT UR1R_DMA 传输总字节数 FA3BH 0000,0000 DMA_UR1R_DONE UR1R_DMA 传输完成字节数 FA3CH 0000,0000 DMA_UR1R_TXAH UR1R_DMA 发送高地址 FA3DH 0000,0000 DMA_UR1R_TXAL UR1R_DMA 发送低地址 FA3EH 0000,0000 DMA_UR2T_CFG UR2T_DMA 配置寄存器 FA40H UR2TIE - - - DMA_UR2T_CR UR2T_DMA 控制寄存器 FA41H ENUR2T TRIG - - - DMA_UR2T_STA UR2T_DMA 状态寄存器 FA42H - - - - - DMA_UR2T_AMT UR2T_DMA 传输总字节数 FA43H 0000,0000 DMA_UR2T_DONE UR2T_DMA 传输完成字节数 FA44H 0000,0000 DMA_UR2T_TXAH UR2T_DMA 发送高地址 FA45H 0000,0000 DMA_UR2T_TXAL UR2T_DMA 发送低地址 FA46H 0000,0000 DMA_UR2R_CFG UR2R_DMA 配置寄存器 FA48H UR2RIE - - - DMA_UR2R_CR UR2R_DMA 控制寄存器 FA49H ENUR2R - TRIG - - DMA_UR2R_STA UR2R_DMA 状态寄存器 FA4AH - - - - - DMA_UR2R_AMT UR2R_DMA 传输总字节数 FA4BH 0000,0000 DMA_UR2R_DONE UR2R_DMA 传输完成字节数 FA4CH 0000,0000 DMA_UR2R_TXAH UR2R_DMA 发送高地址 FA4DH 0000,0000 DMA_UR2R_TXAL UR2R_DMA 发送低地址 FA4EH 0000,0000 DMA_UR3T_CFG UR3T_DMA 配置寄存器 FA50H UR3TIE - - - DMA_UR3T_CR UR3T_DMA 控制寄存器 FA51H ENUR3T TRIG - - - DMA_UR3T_STA UR3T_DMA 状态寄存器 FA52H - - - - - DMA_UR3T_AMT UR3T_DMA 传输总字节数 FA53H 0000,0000 DMA_UR3T_DONE UR3T_DMA 传输完成字节数 FA54H 0000,0000 DMA_UR3T_TXAH UR3T_DMA 发送高地址 FA55H 0000,0000 DMA_UR3T_TXAL UR3T_DMA 发送低地址 FA56H 0000,0000 DMA_UR3R_CFG UR3R_DMA 配置寄存器 FA58H UR3RIE - - - DMA_UR3R_CR UR3R_DMA 控制寄存器 FA59H ENUR3R - TRIG - - DMA_UR3R_STA UR3R_DMA 状态寄存器 FA5AH - - - - - DMA_UR3R_AMT UR3R_DMA 传输总字节数 FA5BH 0000,0000 DMA_UR3R_DONE UR3R_DMA 传输完成字节数 FA5CH 0000,0000 DMA_UR3R_TXAH UR3R_DMA 发送高地址 FA5DH 0000,0000 DMA_UR3R_TXAL UR3R_DMA 发送低地址 FA5EH 0000,0000 DMA_UR4T_CFG UR4T_DMA 配置寄存器 FA60H UR4TIE - - - DMA_UR4T_CR UR4T_DMA 控制寄存器 FA61H ENUR4T TRIG - - - DMA_UR4T_STA UR4T_DMA 状态寄存器 FA62H - - - - - DMA_UR4T_AMT UR4T_DMA 传输总字节数 FA63H 0000,0000 DMA_UR4T_DONE UR4T_DMA 传输完成字节数 FA64H 0000,0000 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 UR1RIP[1:0] UR1RPTY[1:0] 0xxx,0000 - - CLRFIFO 0x0x,xxx0 - RXLOSS UR1RIF xxxx,xx00 UR2TIP[1:0] UR2TPTY[1:0] 0xxx,0000 - - - 00xx,xxxx TXOVW - UR2TIF xxxx,x0x0 UR2RIP[1:0] UR2RPTY[1:0] 0xxx,0000 - - CLRFIFO 0x0x,xxx0 - RXLOSS UR2RIF xxxx,xx00 UR3TIP[1:0] UR3TPTY[1:0] 0xxx,0000 - - - 00xx,xxxx TXOVW - UR3TIF xxxx,x0x0 UR3RIP[1:0] UR3RPTY[1:0] 0xxx,0000 - - CLRFIFO 0x0x,xxx0 - RXLOSS UR3RIF xxxx,xx00 UR4TIP[1:0] UR4TPTY[1:0] 0xxx,0000 - - - 00xx,xxxx TXOVW - UR4TIF xxxx,x0x0 传真: 0513-5501 2926/2956/2947 - 325 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 DMA_UR4T_TXAH UR4T_DMA 发送高地址 FA65H 0000,0000 DMA_UR4T_TXAL UR4T_DMA 发送低地址 FA66H 0000,0000 DMA_UR4R_CFG UR4R_DMA 配置寄存器 FA68H UR4RIE - - - DMA_UR4R_CR UR4R_DMA 控制寄存器 FA69H ENUR4R - TRIG - - DMA_UR4R_STA UR4R_DMA 状态寄存器 FA6AH - - - - - DMA_UR4R_AMT UR4R_DMA 传输总字节数 FA6BH 0000,0000 DMA_UR4R_DONE UR4R_DMA 传输完成字节数 FA6CH 0000,0000 DMA_UR4R_TXAH UR4R_DMA 发送高地址 FA6DH 0000,0000 DMA_UR4R_TXAL UR4R_DMA 发送低地址 FA6EH 0000,0000 DMA_LCM_CFG LCM_DMA 配置寄存器 FA70H LCMIE - - - DMA_LCM_CR LCM_DMA 控制寄存器 FA71H ENLCM TRIGWC TRIGWD TRIGRC TRIGRD DMA_LCM_STA LCM_DMA 状态寄存器 FA72H - - - - - DMA_LCM_AMT LCM_DMA 传输总字节数 FA73H 0000,0000 DMA_LCM_DONE LCM_DMA 传输完成字节数 FA74H 0000,0000 DMA_LCM_TXAH LCM_DMA 发送高地址 FA75H 0000,0000 DMA_LCM_TXAL LCM_DMA 发送低地址 FA76H 0000,0000 DMA_LCM_RXAH LCM_DMA 接收高地址 FA77H 0000,0000 DMA_LCM_RXAL LCM_DMA 接收低地址 FA78H 0000,0000 UR4RIP[1:0] UR4RPTY[1:0] 0xxx,0000 - - CLRFIFO 0x0x,xxx0 - RXLOSS UR4RIF xxxx,xx00 LCMIP[1:0] LCMPTY[1:0] 0xxx,0000 - - - 0000,0xxx - TXOVW LCMIF xxxx,xx00 注:特殊功能寄存器初始值意义 0: 初始值为 0; 1: 初始值为 1; n: 初始值与 ISP 下载时的硬件选项有关; x: 不存在这个位,初始值不确定 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 326 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 9 I/O 口 产品线 最多 I/O 口数量 STC8H1K08 系列 17 STC8H1K28 系列 29 STC8H3K64S4 系列 45 STC8H3K64S2 系列 45 STC8H8K64U 系列 60 STC8H4K64TLR 系列 44 STC8H4K64TLCD 系列 60 STC8H4K64LCD 系列 61 STC8H 系列单片机所有的 I/O 口均有 4 种工作模式:准双向口/弱上拉(标准 8051 输出口模式) 、推 挽输出/强上拉、高阻输入(电流既不能流入也不能流出)、开漏输出。可使用软件对 I/O 口的工作模式 进行配置。 关于 I/O 的注意事项: 1、 P3.0 和 P3.0 口上电后的状态为弱上拉双向口模式 2、 除 P3.0 和 P3.1 外,其余所有 IO 口上电后的状态均为高阻输入状态,用户在使用 IO 口 前必须先设置 IO 口模式 3、 芯片上电时如果不需要使用 USB 进行 ISP 下载,P3.0/P3.1/P3.2 这 3 个 I/O 口不能同时 为低电平,否则会进入 USB 下载模式而无法运行用户代码 4、 芯片上电时,若 P3.0 和 P3.1 同时为低电平,P3.2 口会短时间由高阻输入状态切换到双 向口模式,用以读取 P3.2 口外部状态来判断是否需要进入 USB 下载模式 5、 当使用 P5.4 当作复位脚时,这个端口内部的 4K 上拉电阻会一直打开;但 P5.4 做普通 I/O 口时,基于这个 I/O 口与复位脚共享管脚的特殊考量,端口内部的 4K 上拉电阻依 然会打开大约 6.5 毫秒时间,再自动关闭(当用户的电路设计需要使用 P5.4 口驱动外 部电路时,请务必考虑上电瞬间会有 6.5 毫秒时间的高电平的问题) 9.1 I/O 口相关寄存器 位地址与符号 符号 描述 地址 复位值 B7 B6 B5 B4 B3 B2 B1 B0 P0 P0 端口 80H P07 P06 P05 P04 P03 P02 P01 P00 1111,1111 P1 P1 端口 90H P17 P16 P15 P14 P13 P12 P11 P10 1111,1111 P2 P2 端口 A0H P27 P26 P25 P24 P23 P22 P21 P20 1111,1111 P3 P3 端口 B0H P37 P36 P35 P34 P33 P32 P31 P30 1111,1111 P4 P4 端口 C0H P47 P46 P45 P44 P43 P42 P41 P40 1111,1111 P5 P5 端口 C8H - - P55 P54 P53 P52 P51 P50 xx11,1111 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 327 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P6 P6 端口 E8H P67 P66 P65 P64 P63 P62 P61 P60 1111,1111 P7 P7 端口 F8H P77 P76 P75 P74 P73 P72 P71 P70 1111,1111 P0M1 P0 口配置寄存器 1 93H P07M1 P06M1 P05M1 P04M1 P03M1 P02M1 P01M1 P00M1 1111,1111 P0M0 P0 口配置寄存器 0 94H P07M0 P06M0 P05M0 P04M0 P03M0 P02M0 P01M0 P00M0 0000,0000 P1M1 P1 口配置寄存器 1 91H P17M1 P16M1 P15M1 P14M1 P13M1 P12M1 P11M1 P10M1 P1M0 P1 口配置寄存器 0 92H P17M0 P16M0 P15M0 P14M0 P13M0 P12M0 P11M0 P10M0 0000,0000 P2M1 P2 口配置寄存器 1 95H P27M1 P26M1 P25M1 P24M1 P23M1 P22M1 P21M1 P20M1 P2M0 P2 口配置寄存器 0 96H P27M0 P26M0 P25M0 P24M0 P23M0 P22M0 P21M0 P20M0 0000,0000 P3M1 P3 口配置寄存器 1 B1H P37M1 P36M1 P35M1 P34M1 P33M1 P32M1 P31M1 P30M1 P3M0 P3 口配置寄存器 0 B2H P37M0 P36M0 P35M0 P34M0 P33M0 P32M0 P31M0 P30M0 0000,0000 P4M1 P4 口配置寄存器 1 B3H P47M1 P46M1 P45M1 P44M1 P43M1 P42M1 P41M1 P40M1 P4M0 P4 口配置寄存器 0 B4H P47M0 P46M0 P45M0 P44M0 P43M0 P42M0 P41M0 P40M0 0000,0000 P5M1 P5 口配置寄存器 1 C9H - - P55M1 P54M1 P53M1 P52M1 P51M1 P50M1 P5M0 P5 口配置寄存器 0 CAH - - P55M0 P54M0 P53M0 P52M0 P51M0 P50M0 xx00,0000 P6M1 P6 口配置寄存器 1 CBH P67M1 P66M1 P65M1 P64M1 P63M1 P62M1 P61M1 P60M1 P6M0 P6 口配置寄存器 0 CCH P67M0 P66M0 P65M0 P64M0 P63M0 P62M0 P61M0 P60M0 0000,0000 P7M1 P7 口配置寄存器 1 E1H P77M1 P76M1 P75M1 P74M1 P73M1 P72M1 P71M1 P70M1 P7M0 P7 口配置寄存器 0 E2H P77M0 P76M0 P75M0 P74M0 P73M0 P72M0 P71M0 P70M0 0000,0000 符号 描述 1111,1111 1111,1111 1111,1100 1111,1111 xx11,1111 1111,1111 1111,1111 位地址与符号 地址 复位值 B7 B6 B5 B4 B3 B2 B1 B0 P0PU P0 口上拉电阻控制寄存器 FE10H P07PU P06PU P05PU P04PU P03PU P02PU P01PU P00PU 0000,0000 P1PU P1 口上拉电阻控制寄存器 FE11H P17PU P16PU P15PU P14PU P13PU P12PU P11PU P10PU 0000,0000 P2PU P2 口上拉电阻控制寄存器 FE12H P27PU P26PU P25PU P24PU P23PU P22PU P21PU P20PU 0000,0000 P3PU P3 口上拉电阻控制寄存器 FE13H P37PU P36PU P35PU P34PU P33PU P32PU P31PU P30PU 0000,0000 P4PU P4 口上拉电阻控制寄存器 FE14H P47PU P46PU P45PU P44PU P43PU P42PU P41PU P40PU 0000,0000 P5PU P5 口上拉电阻控制寄存器 FE15H - - P55PU P54PU P53PU P52PU P51PU P50PU xx00,0000 P6PU P6 口上拉电阻控制寄存器 FE16H P67PU P66PU P65PU P64PU P63PU P62PU P61PU P60PU 0000,0000 P7PU P7 口上拉电阻控制寄存器 FE17H P77PU P76PU P75PU P74PU P73PU P72PU P71PU P70PU 0000,0000 P0NCS P0 口施密特触发控制寄存器 FE18H P07NCS P06NCS P05NCS P04NCS P03NCS P02NCS P01NCS P00NCS 0000,0000 P1NCS P1 口施密特触发控制寄存器 FE19H P17NCS P16NCS P15NCS P14NCS P13NCS P12NCS P11NCS P10NCS 0000,0000 P2NCS P2 口施密特触发控制寄存器 FE1AH P27NCS P26NCS P25NCS P24NCS P23NCS P22NCS P21NCS P20NCS 0000,0000 P3NCS P3 口施密特触发控制寄存器 FE1BH P37NCS P36NCS P35NCS P34NCS P33NCS P32NCS P31NCS P30NCS 0000,0000 P4NCS P4 口施密特触发控制寄存器 FE1CH P47NCS P46NCS P45NCS P44NCS P43NCS P42NCS P41NCS P40NCS 0000,0000 P5NCS P5 口施密特触发控制寄存器 FE1DH - - P54NCS P53NCS P52NCS P51NCS P50NCS xx00,0000 P6NCS P6 口施密特触发控制寄存器 FE1EH P67NCS P66NCS P65NCS P64NCS P63NCS P62NCS P61NCS P60NCS 0000,0000 P7NCS P7 口施密特触发控制寄存器 FE1FH P77NCS P76NCS P75NCS P74NCS P73NCS P72NCS P71NCS P70NCS 0000,0000 P0SR P0 口电平转换速率寄存器 FE20H P07SR P06SR P05SR P04SR P03SR P02SR P01SR P00SR 1111,1111 P1SR P1 口电平转换速率寄存器 FE21H P17SR P16SR P15SR P14SR P13SR P12SR P11SR P10SR 1111,1111 P2SR P2 口电平转换速率寄存器 FE22H P27SR P26SR P25SR P24SR P23SR P22SR P21SR P20SR 1111,1111 P3SR P3 口电平转换速率寄存器 FE23H P37SR P36SR P35SR P34SR P33SR P32SR P31SR P30SR 1111,1111 P4SR P4 口电平转换速率寄存器 FE24H P47SR P46SR P45SR P44SR P43SR P42SR P41SR P40SR 1111,1111 P5SR P5 口电平转换速率寄存器 FE25H - - P55SR P54SR P53SR P52SR P51SR P50SR xx11,1111 P6SR P6 口电平转换速率寄存器 FE26H P67SR P66SR P65SR P64SR P63SR P62SR P61SR P60SR 1111,1111 深圳国芯人工智能有限公司 P55NCS 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 328 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P7SR P7 口电平转换速率寄存器 FE27H P77SR P76SR P75SR P74SR P73SR P72SR P71SR P70SR 1111,1111 P0DR P0 口驱动电流控制寄存器 FE28H P07DR P06DR P05DR P04DR P03DR P02DR P01DR P00DR 1111,1111 P1DR P1 口驱动电流控制寄存器 FE29H P17DR P16DR P15DR P14DR P13DR P12DR P11DR P10DR 1111,1111 P2DR P2 口驱动电流控制寄存器 FE2AH P27DR P26DR P25DR P24DR P23DR P22DR P21DR P20DR 1111,1111 P3DR P3 口驱动电流控制寄存器 FE2BH P37DR P36DR P35DR P34DR P33DR P32DR P31DR P30DR 1111,1111 P4DR P4 口驱动电流控制寄存器 FE2CH P47DR P46DR P45DR P44DR P43DR P42DR P41DR P40DR 1111,1111 P5DR P5 口驱动电流控制寄存器 FE2DH - - P55DR P54DR P53DR P52DR P51DR P50DR xx11,1111 P6DR P6 口驱动电流控制寄存器 FE2EH P67DR P66DR P65DR P64DR P63DR P62DR P61DR P60DR 1111,1111 P7DR P7 口驱动电流控制寄存器 FE2FH P77DR P76DR P75DR P74DR P73DR P72DR P71DR P70DR 1111,1111 P0IE P0 口输入使能控制寄存器 FE30H P07IE P06IE P05IE P04IE P03IE P02IE P11IE P00IE 1111,1111 P1IE P1 口输入使能控制寄存器 FE31H P17IE P16IE P15IE P14IE P13IE P12IE P11IE P10IE 1111,1111 P2IE P2 口输入使能控制寄存器 FE32H P27IE P26IE P25IE P24IE P23IE P22IE P21IE P20IE 1111,1111 P3IE P3 口输入使能控制寄存器 FE33H P37IE P36IE P35IE P34IE P33IE P32IE P31IE P30IE 1111,1111 P4IE P4 口输入使能控制寄存器 FE34H P47IE P46IE P45IE P44IE P43IE P42IE P41IE P40IE 1111,1111 P5IE P5 口输入使能控制寄存器 FE35H - - P55IE P54IE P53IE P52IE P41IE P50IE xx11,1111 P6IE P6 口输入使能控制寄存器 FE36H P67IE P66IE P65IE P64IE P63IE P62IE P41IE P60IE 1111,1111 P7IE P7 口输入使能控制寄存器 FE37H P77IE P76IE P75IE P74IE P73IE P72IE P41IE P70IE 1111,1111 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 329 - STC8H 系列技术手册 9.1.1 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 端口数据寄存器(Px) 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 P0 80H P0.7 P0.6 P0.5 P0.4 P0.3 P0.2 P0.1 P0.0 P1 90H P1.7 P1.6 P1.5 P1.4 P1.3 P1.2 P1.1 P1.0 P2 A0H P2.7 P2.6 P2.5 P2.4 P2.3 P2.2 P2.1 P2.0 P3 B0H P3.7 P3.6 P3.5 P3.4 P3.3 P3.2 P3.1 P3.0 P4 C0H P4.7 P4.6 P4.5 P4.4 P4.3 P4.2 P4.1 P4.0 P5 C8H - - P55 P5.4 P5.3 P5.2 P5.1 P5.0 P6 E8H P6.7 P6.6 P6.5 P6.4 P6.3 P6.2 P6.1 P6.0 P7 F8H P7.7 P7.6 P7.5 P7.4 P7.3 P7.2 P7.1 P7.0 读写端口状态 写 0:输出低电平到端口缓冲区 写 1:输出高电平到端口缓冲区 读:直接读端口管脚上的电平 9.1.2 端口模式配置寄存器(PxM0,PxM1) 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 P0M0 94H P07M0 P06M0 P05M0 P04M0 P03M0 P02M0 P01M0 P00M0 P0M1 93H P07M1 P06M1 P05M1 P04M1 P03M1 P02M1 P01M1 P00M1 P1M0 92H P17M0 P16M0 P15M0 P14M0 P13M0 P12M0 P11M0 P10M0 P1M1 91H P17M1 P16M1 P15M1 P14M1 P13M1 P12M1 P11M1 P10M1 P2M0 96H P27M0 P26M0 P25M0 P24M0 P23M0 P22M0 P21M0 P20M0 P2M1 95H P27M1 P26M1 P25M1 P24M1 P23M1 P22M1 P21M1 P20M1 P3M0 B2H P37M0 P36M0 P35M0 P34M0 P33M0 P32M0 P31M0 P30M0 P3M1 B1H P37M1 P36M1 P35M1 P34M1 P33M1 P32M1 P31M1 P30M1 P4M0 B4H P47M0 P46M0 P45M0 P44M0 P43M0 P42M0 P41M0 P40M0 P4M1 B3H P47M1 P46M1 P45M1 P44M1 P43M1 P42M1 P41M1 P40M1 P5M0 CAH - - P55M0 P54M0 P53M0 P52M0 P51M0 P50M0 P5M1 C9H - - P55M1 P54M1 P53M1 P52M1 P51M1 P50M1 P6M0 CCH P67M0 P66M0 P65M0 P64M0 P63M0 P62M0 P61M0 P60M0 P6M1 CBH P67M1 P66M1 P65M1 P64M1 P63M1 P62M1 P61M1 P60M1 P7M0 E2H P77M0 P76M0 P75M0 P74M0 P73M0 P72M0 P71M0 P70M0 P7M1 E1H P77M1 P76M1 P75M1 P74M1 P73M1 P72M1 P71M1 P70M1 配置端口的模式 PnM1.x PnM0.x Pn.x 口工作模式 0 0 准双向口 0 1 推挽输出 1 0 高阻输入 1 1 开漏输出 注意:当有I/O口被选择为ADC输入通道时,必须设置PxM0/PxM1寄存器将I/O口模式设置为输入模式。另 外如果MCU进入掉电模式/时钟停振模式后,仍需要使能ADC通道,则需要设置PxIE寄存器关闭数字输入, 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 330 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 才能保证不会有额外的耗电 9.1.3 端口上拉电阻控制寄存器(PxPU) 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 P0PU FE10H P07PU P06PU P05PU P04PU P03PU P02PU P01PU P00PU P1PU FE11H P17PU P16PU P15PU P14PU P13PU P12PU P11PU P10PU P2PU FE12H P27PU P26PU P25PU P24PU P23PU P22PU P21PU P20PU P3PU FE13H P37PU P36PU P35PU P34PU P33PU P32PU P31PU P30PU P4PU FE14H P47PU P46PU P45PU P44PU P43PU P42PU P41PU P40PU P5PU FE15H - - P55PU P54PU P53PU P52PU P51PU P50PU P6PU FE16H P67PU P66PU P65PU P64PU P63PU P62PU P61PU P60PU P7PU FE17H P77PU P76PU P75PU P74PU P73PU P72PU P71PU P70PU 端口内部4.1K上拉电阻控制位(注:P3.0和P3.1口上的上拉电阻可能会略小一些) 0:禁止端口内部的 4.1K 上拉电阻 1:使能端口内部的 4.1K 上拉电阻 9.1.4 端口施密特触发控制寄存器(PxNCS) 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 P0NCS FE18H P07NCS P06NCS P05NCS P04NCS P03NCS P02NCS P01NCS P00NCS P1NCS FE19H P17NCS P16NCS P15NCS P14NCS P13NCS P12NCS P11NCS P10NCS P2NCS FE1AH P27NCS P26NCS P25NCS P24NCS P23NCS P22NCS P21NCS P20NCS P3NCS FE1BH P37NCS P36NCS P35NCS P34NCS P33NCS P32NCS P31NCS P30NCS P4NCS FE1CH P47NCS P46NCS P45NCS P44NCS P43NCS P42NCS P41NCS P40NCS P5NCS FE1DH - - P55NCS P54NCS P53NCS P52NCS P51NCS P50NCS P6NCS FE1EH P67NCS P66NCS P65NCS P64NCS P63NCS P62NCS P61NCS P60NCS P7NCS FE1FH P77NCS P76NCS P75NCS P74NCS P73NCS P72NCS P71NCS P70NCS 端口施密特触发控制位 0:使能端口的施密特触发功能。(上电复位后默认使能施密特触发) 1:禁止端口的施密特触发功能。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 331 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 端口电平转换速度控制寄存器(PxSR) 9.1.5 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 复位值 P0SR FE20H P07SR P06SR P05SR P04SR P03SR P02SR P01SR P00SR 1111,1111 P1SR FE21H P17SR P16SR P15SR P14SR P13SR P12SR P11SR P10SR 1111,1111 P2SR FE22H P27SR P26SR P25SR P24SR P23SR P22SR P21SR P20SR 1111,1111 P3SR FE23H P37SR P36SR P35SR P34SR P33SR P32SR P31SR P30SR 1111,1111 P4SR FE24H P47SR P46SR P45SR P44SR P43SR P42SR P41SR P40SR 1111,1111 P5SR FE25H - - P55SR P54SR P53SR P52SR P51SR P50SR xx11,1111 P6SR FE26H P57SR P66SR P65SR P64SR P63SR P62SR P61SR P60SR 1111,1111 P7SR FE27H P77SR P76SR P75SR P74SR P73SR P72SR P71SR P70SR 1111,1111 控制端口电平转换的速度 0:电平转换速度快,相应的上下冲会比较大 1:电平转换速度慢,相应的上下冲比较小 9.1.6 端口驱动电流控制寄存器(PxDR) 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 复位值 P0DR FE28H P07DR P06DR P05DR P04DR P03DR P02DR P01DR P00DR 1111,1111 P1DR FE29H P17DR P16DR P15DR P14DR P13DR P12DR P11DR P10DR 1111,1111 P2DR FE2AH P27DR P26DR P25DR P24DR P23DR P22DR P21DR P20DR 1111,1111 P3DR FE2BH P37DR P36DR P35DR P34DR P33DR P32DR P31DR P30DR 1111,1111 P4DR FE2CH P47DR P46DR P45DR P44DR P43DR P42DR P41DR P40DR 1111,1111 P5DR FE2DH - - P55DR P54DR P53DR P52DR P51DR P50DR xx11,1111 P6DR FE2EH P67DR P66DR P65DR P64DR P63DR P62DR P61DR P60DR 1111,1111 P7DR FE2FH P77DR P76DR P75DR P74DR P73DR P72DR P71DR P70DR 1111,1111 控制端口的驱动能力 0:增强驱动能力 1:一般驱动能力 9.1.7 端口数字信号输入使能控制寄存器(PxIE) 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 P0IE FE30H P07IE P06IE P05IE P04IE P03IE P02IE P11IE P00IE P1IE FE31H P17IE P16IE P15IE P14IE P13IE P12IE P11IE P10IE P2IE FE32H P27IE P26IE P25IE P24IE P23IE P22IE P21IE P20IE P3IE FE33H P37IE P36IE P35IE P34IE P33IE P32IE P31IE P30IE P4IE FE34H P47IE P46IE P45IE P44IE P43IE P42IE P41IE P40IE P5IE FE35H - - P55IE P54IE P53IE P52IE P41IE P50IE P6IE FE36H P67IE P66IE P65IE P64IE P63IE P62IE P41IE P60IE P7IE FE37H P77IE P76IE P75IE P74IE P73IE P72IE P41IE P70IE 数字信号输入使能控制 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 332 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 0:禁止数字信号输入。若 I/O 被当作比较器输入口、ADC 输入口、触摸按键输入口或者为外部晶 振接入脚等模拟口时,进入时钟停振模式前,必须设置为 0,否则会有额外的耗电。 1:使能数字信号输入。若 I/O 被当作数字口时,必须设置为 1,否 MCU 无法读取外部端口的电平。 特别注意:对于具有 RTC 功能的 MCU,当 RTC 的时钟源选择外部 32.768K 的晶振时,需要将晶振 接入脚 P1.6 和 P1.7 的数字通道关闭,否则进入 STOP 模式后会有额外的漏电。(将寄存器 P1IE 的 bit6 和 bit7 都设置为 0 即可关闭 P1.6 和 P1.7 的数字通道) 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 333 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 配置 I/O 口 9.2 每个 I/O 的配置都需要使用两个寄存器进行设置。 以 P0 口为例,配置 P0 口需要使用 P0M0 和 P0M1 两个寄存器进行配置,如下图所示: 配置 P0.7 配置 P0.5 配置 P0.3 配置 P0.1 P0M0 7 6 5 4 3 2 1 0 P0M1 7 6 5 4 3 2 1 0 配置 P0.6 配置 P0.4 配置 P0.2 配置 P0.0 即 P0M0 的第 0 位和 P0M1 的第 0 位组合起来配置 P0.0 口的模式 即 P0M0 的第 1 位和 P0M1 的第 1 位组合起来配置 P0.1 口的模式 其他所有 I/O 的配置都与此类似。 PnM0 与 PnM1 的组合方式如下表所示 PnM1 PnM0 0 0 I/O 口工作模式 准双向口(传统8051端口模式,弱上拉) 灌电流可达20mA,拉电流为270~150μA(存在制造误差) 0 1 推挽输出(强上拉输出,可达20mA,要加限流电阻) 1 0 高阻输入(电流既不能流入也不能流出) 开漏输出(Open-Drain),内部上拉电阻断开 1 1 开漏模式既可读外部状态也可对外输出(高电平或低电 平)。如要正确读外部状态或需要对外输出高电平,需外加 上拉电阻,否则读不到外部状态,也对外输不出高电平。 注:n = 0,1,2,3,4,5,6,7 注意: 虽然每个 I/O 口在弱上拉(准双向口)/强推挽输出/开漏模式时都能承受 20mA 的灌电流(还是要加限流 电阻,如 1K、560Ω、472Ω 等),在强推挽输出时能输出 20mA 的拉电流(也要加限流电阻) ,但整个芯片的 工作电流推荐不要超过 70mA, 即从 Vcc 流入的电流建议不要超过 70mA, 从 Gnd 流出电流建议不要超过 70mA, 整体流入/流出电流建议都不要超过 70mA。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 334 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 I/O 的结构图 9.3 9.3.1 准双向口(弱上拉) 准双向口(弱上拉)输出类型可用作输出和输入功能而不需重新配置端口输出状态。这是因为当端 口输出为 1 时驱动能力很弱,允许外部装置将其拉低。当引脚输出为低时,它的驱动能力很强,可吸收 相当大的电流。准双向口有 3 个上拉晶体管适应不同的需要。 在 3 个上拉晶体管中,有 1 个上拉晶体管称为“弱上拉”,当端口寄存器为 1 且引脚本身也为 1 时打 开。此上拉提供基本驱动电流使准双向口输出为 1。如果一个引脚输出为 1 而由外部装置下拉到低时, 弱上拉关闭而“极弱上拉”维持开状态,为了把这个引脚强拉为低,外部装置必须有足够的灌电流能力 使引脚上的电压降到门槛电压以下。对于 5V 单片机,“弱上拉”晶体管的电流约 250uA;对于 3.3V 单 片机,“弱上拉”晶体管的电流约 150uA。 第 2 个上拉晶体管,称为“极弱上拉”,当端口锁存为 1 时打开。当引脚悬空时,这个极弱的上拉源 产生很弱的上拉电流将引脚上拉为高电平。对于 5V 单片机,“极弱上拉”晶体管的电流约 18uA;对于 3.3V 单片机, “极弱上拉”晶体管的电流约 5uA。 第 3 个上拉晶体管称为“强上拉”。当端口锁存器由 0 到 1 跳变时,这个上拉用来加快准双向口由逻 辑 0 到逻辑 1 转换。当发生这种情况时,强上拉打开约 2 个时钟以使引脚能够迅速地上拉到高电平。 准双向口(弱上拉)带有一个施密特触发输入以及一个干扰抑制电路。准双向口(弱上拉)读外部 状态前,要先锁存为 ‘1’,才可读到外部正确的状态. 准双向口(弱上拉)输出如下图所示: VCC 2个CPU 时钟延时 强 VCC 极弱 VCC 弱 端口 引脚 端口锁存数据 输入数据 干扰抑制 9.3.2 推挽输出 强推挽输出配置的下拉结构与开漏输出以及准双向口的下拉结构相同,但当锁存器为 1 时提供持续 的强上拉。推挽模式一般用于需要更大驱动电流的情况。 强推挽引脚配置如下图所示: VCC 强 端口 引脚 端口锁存数据 输入数据 干扰抑制 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 335 - STC8H 系列技术手册 9.3.3 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 高阻输入 电流既不能流入也不能流出 输入口带有一个施密特触发输入以及一个干扰抑制电路 高阻输入引脚配置如下图所示: 端口 引脚 输入数据 干扰抑制 9.3.4 开漏输出 开漏模式既可读外部状态也可对外输出(高电平或低电平)。如要正确读外部状态或需要对外输出高 电平,需外加上拉电阻。 当端口锁存器为 0 时,开漏输出关闭所有上拉晶体管。当作为一个逻辑输出高电平时,这种配置方 式必须有外部上拉,一般通过电阻外接到 Vcc。如果外部有上拉电阻,开漏的 I/O 口还可读外部状态, 即此时被配置为开漏模式的 I/O 口还可作为输入 I/O 口。这种方式的下拉与准双向口相同。 开漏端口带有一个施密特触发输入以及一个干扰抑制电路。 输出端口配置如下图所示: 端口 引脚 端口锁存数据 输入数据 干扰抑制 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 336 - STC8H 系列技术手册 9.3.5 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 新增 4.1K 上拉电阻 STC8 系列所有的 I/O 口内部均可使能一个大约 4.1K 的上拉电阻(由于制造误差,上拉电阻的范围 可能为 3K~5K) VCC VCC VCC VCC 新增 4.1K 上拉电阻 2个CPU 时钟延时 强 极弱 弱 端口 引脚 端口锁存数据 PxPU控制位 输入数据 干扰抑制 端口上拉电阻控制寄存器 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 P0PU FE10H P07PU P06PU P05PU P04PU P03PU P02PU P01PU P00PU P1PU FE11H P17PU P16PU P15PU P14PU P13PU P12PU P11PU P10PU P2PU FE12H P27PU P26PU P25PU P24PU P23PU P22PU P21PU P20PU P3PU FE13H P37PU P36PU P35PU P34PU P33PU P32PU P31PU P30PU P4PU FE14H P47PU P46PU P45PU P44PU P43PU P42PU P41PU P40PU P5PU FE15H - - - P54PU P53PU P52PU P51PU P50PU P6PU FE16H P67PU P66PU P65PU P64PU P63PU P62PU P61PU P60PU P7PU FE17H P77PU P76PU P75PU P74PU P73PU P72PU P71PU P70PU 端口内部4.1K上拉电阻控制位(注:P3.0和P3.1口上的上拉电阻可能会略小一些) 0:禁止端口内部的 4.1K 上拉电阻 1:使能端口内部的 4.1K 上拉电阻 9.3.6 如何设置 I/O 口对外输出速度 当用户需要 I/O 口对外输出较快的频率时,可通过加大 I/O 口驱动电流以及增加 I/O 口电平转换速度 以达到提高 I/O 口对外输出速度 设置 PxSR 寄存器,可用于控制 I/O 口电平转换速度,设置为 0 时相应的 I/O 口为快速翻转,设置为 1 时为慢速翻转。 设置 PxDR 寄存器,可用于控制 I/O 口驱动电流大小,设置为 1 时 I/O 输出为一般驱动电流,设置 为 0 时为强驱动电流 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 337 - STC8H 系列技术手册 9.3.7 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 如何设置 I/O 口电流驱动能力 若需要改变 I/O 口的电流驱动能力,可通过设置 PxDR 寄存器来实现 设置 PxDR 寄存器,可用于控制 I/O 口驱动电流大小,设置为 1 时 I/O 输出为一般驱动电流,设置 为 0 时为强驱动电流 9.3.8 如何降低 I/O 口对外辐射 由于设置 PxSR 寄存器,可用于控制 I/O 口电平转换速度,设置 PxDR 寄存器,可用于控制 I/O 口驱 动电流大小 当需要降低 I/O 口对外的辐射时,需要将 PxSR 寄存器设置为 1 以降低 I/O 口电平转换速度,同时需 要将 PxDR 寄存器设为 1 以降低 I/O 驱动电流,最终达到降低 I/O 口对外辐射 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 338 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 范例程序 9.4 端口模式设置 9.4.1 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P0M0 P0M1 P1M0 P1M1 P2M0 P2M1 P3M0 P3M1 P4M0 P4M1 P5M0 P5M1 P6M0 P6M1 P7M0 P7M1 = = = = = = = = = = = = = = = = 0x94; 0x93; 0x92; 0x91; 0x96; 0x95; 0xb2; 0xb1; 0xb4; 0xb3; 0xca; 0xc9; 0xcc; 0xcb; 0xe2; 0xe1; void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0xff; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0xff; P3M0 = 0xff; P3M1 = 0xff; //设置 P0.0~P0.7 为双向口模式 //设置 P1.0~P1.7 为推挽输出模式 //设置 P2.0~P2.7 为高阻输入模式 //设置 P3.0~P3.7 为开漏模式 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz P0M0 P0M1 P1M0 P1M1 P2M0 P2M1 P3M0 P3M1 P4M0 P4M1 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 深圳国芯人工智能有限公司 094H 093H 092H 091H 096H 095H 0B2H 0B1H 0B4H 0B3H 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 339 - STC8H 系列技术手册 P5M0 P5M1 P6M0 P6M1 P7M0 P7M1 官方网站: www.STCMCUDATA.com DATA DATA DATA DATA DATA DATA 0CAH 0C9H 0CCH 0CBH 0E2H 0E1H ORG LJMP 0000H MAIN ORG 0100H MOV SP, #5FH MOV MOV MOV MOV MOV MOV MOV MOV P0M0,#00H P0M1,#00H P1M0,#0FFH P1M1,#00H P2M0,#00H P2M1,#0FFH P3M0,#0FFH P3M1,#0FFH JMP $ 技术支持: 19864585985 选型顾问: 13922805190 MAIN: ;设置 P0.0~P0.7 为双向口模式 ;设置 P1.0~P1.7 为推挽输出模式 ;设置 P2.0~P2.7 为高阻输入模式 ;设置 P3.0~P3.7 为开漏模式 END 双向口读写操作 9.4.2 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sbit P0M0 P0M1 P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 P00 = = = = = = = = = = = = = = = 0x94; 0x93; 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; P0^0; void main() { P0M0 = 0x00; P0M1 = 0x00; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 340 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; P0M0 = 0x00; P0M1 = 0x00; //设置 P0.0~P0.7 为双向口模式 P00 = 1; P00 = 0; //P0.0 口输出高电平 //P0.0 口输出低电平 P00 = 1; _nop_(); _nop_(); CY = P00; //读取端口前先使能内部弱上拉电阻 //等待两个时钟 // //读取端口状态 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz P0M0 P0M1 P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 094H 093H 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP 0000H MAIN ORG 0100H MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H MAIN: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 341 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 MOV MOV MOV P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV P0M0,#00H P0M1,#00H ;设置 P0.0~P0.7 为双向口模式 SETB CLR P0.0 P0.0 ;P0.0 口输出高电平 ;P0.0 口输出低电平 SETB NOP NOP MOV P0.0 ;读取端口前先使能内部弱上拉电阻 ;等待两个时钟 C,P0.0 ;读取端口状态 JMP $ 选型顾问: 13922805190 END 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 342 - STC8H 系列技术手册 9.5 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 一种典型三极管控制电路 如果上拉控制,建议加上拉电阻 R1(3.3K~10K),如果不加上拉电阻 R1(3.3K~10K),建议 R2 的值在 15K 以上,或用强推挽输出。 9.6 典型发光二极管控制电路 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 343 - STC8H 系列技术手册 9.7 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 混合电压供电系统 3V/5V 器件 I/O 口互连 STC 系列宽电压单片机工作在 5V 时,如需要直接连接 3.3V 器件时,为防止 3.3V 器件承受不了 5V,可将 相应的单片机 I/O 口先串一个 330Ω 的限流电阻到 3.3V 器件 I/O 口,程序初始化时将单片机的 I/O 口设置成 开漏配置,断开内部上拉电阻,相应的 3.3V 器件 I/O 口外部加 10K 上拉电阻到 3.3V 器件的 Vcc,这样高电平 是 3.3V,低电平是 0V,输入输出一切正常。 STC 宽电压单片机工作在 3V 时,如需要直接连接 5V 器件时,为防止 3V 单片机承受不了 5V,如果相应 的 I/O 口是输入,可在该 I/O 口上串接一个隔离二极管,隔离高压部分。外部信号电压高于单片机工作电压 时截止,I/O 口因内内部上拉到高电平,所以读 I/O 口状态是高电平;外部信号电压为低时导通,I/O 口被钳 位在 0.7V,小于 0.8V 时单片机读 I/O 口状态是低电平。 STC 宽电压单片机工作在 3V 时,如需要直接连接 5V 器件时,为防止 3V 单片机承受不了 5V,如果相应 的 I/O 口是输出,可用一个 NPN 三极管隔离,电路如下: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 344 - STC8H 系列技术手册 9.8 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 如何让 I/O 口上电复位时为低电平 普通 8051 单片机上电复位时普通 I/O 口为弱上拉(准双向口)高电平输出,而很多实际应用要求上电时某 些 I/O 口为低电平输出,否则所控制的系统(如马达)就会误动作,现 STC 的单片机由于既有弱上拉输出又有 强推挽输出,就可以很轻松的解决此问题。 现可在 STC 的单片机 I/O 口上加一个下拉电阻(10K 左右),这样上电复位时,除了下载口 P3.0 和 P3.1 为弱上拉(准双向口)外,其他 I/O 口均为高阻输入模式,而外部有下拉电阻,所以该 I/O 口上电复位时外部 为低电平。如果要将此 I/O 口驱动为高电平,可将此 I/O 口设置为强推挽输出,而强推挽输出时,I/O 口驱动 电流可达 20mA,故肯定可以将该口驱动为高电平输出。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 345 - STC8H 系列技术手册 9.9 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 利用 74HC595 驱动 8 个数码管(串行扩展,3 根线)的线路图 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 346 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 9.10 I/O 口直接驱动 LED 数码管应用线路图 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 347 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 9.11 用 STC 系列 MCU 的 I/O 口直接驱动段码 LCD 当产品需要段码 LCD 显示时,如果使用不带 LCD 驱动器的 MCU,则需要外接 LCD 驱动 IC,这会 增加成本。事实上,很多小项目,比如大量的小家电,需要显示的段码不多,常见的是 4 个 8 带小数点 或时钟的冒号“:”,这样如果使用 IO 口直接扫描显示,则会降低成本,工作更可靠。 但是,本方案不合适驱动太多的段( 占用 IO 太多),也不合适非常低功耗的场合(驱动会有几百 uA 电流)。 段码 LCD 驱动简单原理:如图 1 所示。 LCD 是一种特殊的液态晶体,在电场的作用下晶体的排列方向会发生扭转,因而改变其透光性,从 而可以看到显示内容。LCD 有一个扭转电压阀值,当 LCD 两端电压高于此阀值时,显示内容,低于此 阀值时,不显示。通常 LCD 有 3 个参数:工作电压、DUTY(对应 COM 数)和 BIAS(即偏压,对应 阀值),比如 3.0V、1/4 DUTY、1/3 BIAS,表示 LCD 显示电压为 3.0V,4 个 COM,阀值大约是 1.5V, 当加在某段 LCD 两端电压为 3.0V 时显示,而加 1.0V 时不显示。但是 LCD 对于驱动电压的反应不是很 敏感的,比如加 2V 时,可能会微弱显示,这就是通常说的“鬼影”。所以要保证驱动显示时,要大于阀 值电压比较多,而不显示时,要用比阀值小比较多的电压。 注意:LCD 要用交流驱动,其两端不能加直流电压,否则时间稍长就会损坏,所以要保证加在 LCD 两端的驱动电压的平均电压为 0。LCD 使用时分割扫描法,任何时候一个 COM 扫描有效,另外的 COM 处于无效状态。 驱动 1/4Duty 1/2BIAS 3V 的方案电路见图 1,LCD 扫描原理见图 3,MCU 为 3.0V 或 3.3V 工作,并 且每个 COM 都串一个 20K 电阻接到一个电容 C1,RC 滤波后得到一个中点电压 1/2VDD。在轮到某个 COM 扫描时,连接的 IO 设置成推挽输出,其余 COM 设置成高阻,如果与本 COM 连接的 SEG 不显示, 则 SEG 输出与 COM 同相,如果显示,则反相。扫描完后,这个 COM 的 IO 就设置成高阻。每个 COM 通过 20K 电阻连接到电容 C1 上的 1/2VDD 电压,而 SEG 根据是否显示输出高低电平,这样加在 LCD 段上的电压,显示时是+-VDD,不显示时是+-1/2VDD,保证了 LCD 两端平均直流电压为 0。 驱动 1/4Duty 1/3BIAS 3V 的方案电路见图 4,LCD 扫描原理见图 5,MCU 为 5V 工作,SEG 接的 IO 通过电阻分压输出 1.5V、3.5V,COM 接的 IO 通过电阻分压输出 0.5V、2.5V(高阻时)、4.5V,分压电 阻公共点接到一个电容 C1,RC 滤波后得到一个中点电压 1/2VDD。在轮到某个 COM 扫描时,设置成推 挽输出,如果与本 COM 连接的 SEG 不显示,则 SEG 输出与 COM 同相,如果显示,则反相。扫描完后, 这个 COM 的 IO 就设置成高阻,这样这个 COM 就通过 47K 电阻连接到 2.5V 电压,而 SEG 根据是否显 示输出高低电平,这样加在 LCD 上的电压,显示时是+-3.0V,不显示时是+-1.0V,完全满足 LCD 的扫 描要求。 当需要睡眠省电时,把所有 COM 和 SEG 驱动 IO 全部输出低电平,LCD 驱动部分不会增加额 外电流。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 348 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 图 1:驱动 1/4Duty 1/2BIAS 3V LCD 的电路 图 2:段码名称图 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 349 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 图 3:1/4Duty 1/2BIAS 扫描原理图 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 350 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 图 4:驱动 1/4Duty 1/3BIAS 3V LCD 的电路 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 351 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 图 5:1/4Duty 1/3BIAS 扫描原理图 为了使用方便,显示内容放在一个显存中,其中的各个位与 LCD 的段一一对应,见图 6。 图 6:LCD 真值表和显存影射表 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 352 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 图 7:驱动效果照片 本 LCD 扫描程序仅需要两个函数: 1、 LCD 段码扫描函数 void LCD_scan(void) 程序隔一定的时间调用这个函数,就会将 LCD 显示缓冲的内容显示到 LCD 上,全部扫描一次需要 8 个 调用周期,调用间隔一般是 1~2ms,假如使用 1ms,则扫描周期就是 8ms,刷新率就是 125HZ。 2、 LCD 段码显示缓冲装载函数 void LCD_load(u8 n,u8 dat) 本函数用来将显示的数字或字符放在 LCD 显示缓冲中,比如 LCD_load(1,6),就是要在第一个数字位置 显示数字 6,支持显示 0~9,A~F,其它字符用户可以自己添加。 另外,用宏来显示、熄灭或闪烁冒号或小数点。 C 语言代码 /*****************功能说明****************** 用 STC15 系列测试 I/O 直接驱动段码 LCD(6 个 8 字 LCD, 1/4 Dutys, 1/3 bias)。 上电后显示一个时间(时分秒). P3.2 对地接一个开关,用来进入睡眠或唤醒. ******************************************/ #include "reg51.h" #include "intrins.h" typedef typedef typedef unsigned char unsigned int unsigned long u8; u16; u32; sfr AUXR = 0x8e; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 353 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 sfr P1M1 = 0x91; sfr P1M0 = 0x92; sfr P2M1 = 0x95; sfr P2M0 = 0x96; /********************本地常量声明**************************/ #define MAIN_Fosc 11059200L //定义主时钟 #define DIS_BLACK #define DIS_ #define DIS_A #define DIS_B #define DIS_C #define DIS_D #define DIS_E #define DIS_F 0x10 0x11 0x0A 0x0B 0x0C 0x0D 0x0E 0x0F #define LCD_SET_DP2 #define LCD_CLR_DP2 #define LCD_FLASH_DP2 LCD_buff[0] |= 0x08 LCD_buff[0] &= ~0x08 LCD_buff[0] ^= 0x08 #define LCD_SET_DP4 #define LCD_CLR_DP4 #define LCD_FLASH_DP4 LCD_buff[4] |= 0x80 LCD_buff[4] &= ~0x80 LCD_buff[4] ^= 0x80 #define LCD_SET_2M #define LCD_CLR_2M #define LCD_FLASH_2M LCD_buff[0] |= 0x20 LCD_buff[0] &= ~0x20 LCD_buff[0] ^= 0x20 #define LCD_SET_4M #define LCD_CLR_4M #define LCD_FLASH_4M LCD_buff[0] |= 0x02 LCD_buff[0] &= ~0x02 LCD_buff[0] ^= 0x02 #define LCD_SET_DP5 #define LCD_CLR_DP5 #define LCD_FLASH_DP5 LCD_buff[4] |= 0x20 LCD_buff[4] &= ~0x20 LCD_buff[4] ^= 0x20 #define P1n_standard(bitn) #define P1n_push_pull(bitn) #define P1n_pure_input(bitn) #define P1n_open_drain(bitn) P1M1 &= ~(bitn), P1M0 &= ~(bitn) P1M1 &= ~(bitn), P1M0 |= (bitn) P1M1 |= (bitn), P1M0 &= ~(bitn) P1M1 |= (bitn), P1M0 |= (bitn) #define P2n_standard(bitn) #define P2n_push_pull(bitn) #define P2n_pure_input(bitn) #define P2n_open_drain(bitn) P2M1 &= ~(bitn), P2M0 &= ~(bitn) P2M1 &= ~(bitn), P2M0 |= (bitn) P2M1 |= (bitn), P2M0 &= ~(bitn) P2M1 |= (bitn), P2M0 |= (bitn) /********************本地变量声明**************************/ u8 cnt_500ms; u8 second,minute,hour; bit B_Second; bit B_2ms; u8 LCD_buff[8]; u8 scan_index; /********************本地函数声明**************************/ void LCD_load(u8 n,u8 dat); void LCD_scan(void); void LoadRTC(void); 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 354 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 void delay_ms(u8 ms); /********************主函数**************************/ void main(void) { u8 i; AUXR = 0x80; TMOD = 0x00; TL0 = (65536 - (MAIN_Fosc / 500)); TH0 = (65536 - (MAIN_Fosc / 500)) >> 8; TR0 = 1; ET0 = 1; EA = 1; //初始化 LCD 显存 for(i=0; i= 250) { cnt_500ms = 0; // LCD_FLASH_2M; // LCD_FLASH_4M; B_Second = ~B_Second; if(B_Second) { if(++second >= 60) { second = 0; if(++minute >= 60) { minute = 0; if(++hour >= 24) hour = 0; } } LoadRTC(); } //500ms 到 //闪烁时分间隔: //闪烁分秒间隔: //1 分钟到 //1 小时到 //24 小时到 //显示时间 } if(!INT0) { 深圳国芯人工智能有限公司 //键按下,准备睡眠 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 355 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 LCD_CLR_2M; LCD_CLR_4M; LCD_load(1,DIS_BLACK); LCD_load(2,DIS_BLACK); LCD_load(3,0); LCD_load(4,0x0F); LCD_load(5,0x0F); LCD_load(6,DIS_BLACK); //显示时分间隔: //显示分秒间隔: while(!INT0) delay_ms(10); delay_ms(50); while(!INT0) delay_ms(10); //等待释放按键 TR0 = 0; IE0 = 0; EX0 = 1; IT0 = 1; //关闭定时器 //外中断 0 标志位 //INT0 Enable //INT0 下降沿中断 P1n_push_pull(0xff); P2n_push_pull(0xff); P1 = 0; P2 = 0; //com 和 seg 全部输出 0 PCON |= 0x02; _nop_(); _nop_(); _nop_(); //Sleep LCD_SET_2M; LCD_SET_4M; LoadRTC(); TR0 = 1; while(!INT0) delay_ms(10); delay_ms(50); while(!INT0) delay_ms(10); //显示时分间隔: //显示分秒间隔: //显示时间 //打开定时器 //等待释放按键 选型顾问: 13922805190 //再次等待释放按键 //再次等待释放按键 } } } } /********************延时函数**************************/ void delay_ms(u8 ms) { unsigned int i; do{ i = MAIN_Fosc / 13000; while(--i); //14T per loop }while(--ms); } /********************* Timer0 中断函数************************/ void timer0_int (void) interrupt 1 { LCD_scan(); B_2ms = 1; } /********************* INT0 中断函数 *************************/ void INT0_int (void) interrupt 0 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 356 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 { EX0 = 0; IE0 = 0; } /****************** LCD 段码扫描函数 ***************************/ void LCD_scan(void) //5us @22.1184MHZ { u8 code T_COM[4]={0x08,0x04,0x02,0x01}; u8 j; j = scan_index >> 1; P2n_pure_input(0x0f); if(scan_index & 1) { P1 = ~LCD_buff[j]; P2 = ~(LCD_buff[j|4] & 0xf0); } else { P1 = LCD_buff[j]; P2 = LCD_buff[j|4] & 0xf0; } P2n_push_pull(T_COM[j]); if(++scan_index >= 8) scan_index = 0; //全部 COM 输出高阻, COM 为中点电压 //反相扫描 //正相扫描 //某个 COM 设置为推挽输出 } /****************** 对第 1~6 数字装载显示函数 ***************************/ void LCD_load(u8 n, u8 dat) //n 为第几个数字,dat 为要显示的数字 { u8 code t_display[]={ //标准字库 // 0 1 2 3 4 5 6 7 8 9 A B C D E F 0x3F,0x06,0x5B,0x4F,0x66,0x6D,0x7D,0x07,0x7F,0x6F,0x77,0x7C,0x39,0x5E,0x79,0x71, //black 0x00,0x40 }; u8 code T_LCD_mask[4] = {~0xc0,~0x30,~0x0c,~0x03}; u8 code T_LCD_mask4[4] = {~0x40,~0x10,~0x04,~0x01}; u8 i,k; u8 *p; if((n == 0) || (n > 6)) return; i = t_display[dat]; if(n >2*n); p++; 深圳国芯人工智能有限公司 //D 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 357 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com k = 0; if(i & 0x04) k |= 0x40; if(i & 0x10) k |= 0x80; *p = (*p & T_LCD_mask[n]) | (k>>2*n); p++; k = 0; if(i & 0x02) k |= 0x40; if(i & 0x40) k |= 0x80; *p = (*p & T_LCD_mask[n]) | (k>>2*n); p++; k = 0; if(i & 0x01) k |= 0x40; if(i & 0x20) k |= 0x80; *p = (*p & T_LCD_mask[n]) | (k>>2*n); 技术支持: 19864585985 选型顾问: 13922805190 //C //E //B //G //A //F } /********************显示时间 **************************/ void LoadRTC(void) { LCD_load(1,hour/10); LCD_load(2,hour%10); LCD_load(3,minute/10); LCD_load(4,minute%10); LCD_load(5,second/10); LCD_load(6,second%10); } 汇编代码 ;用 STC8 系列测试 I/O 直接驱动段码 LCD(6 个 8 字 LCD, 1/4 Dutys, 1/3 bias)。 ;上电后显示一个时间(时分秒). ;************************************************************ P0M1 DATA 0x93 P0M0 DATA 0x94 P1M1 DATA 0x91 P1M0 DATA 0x92 P2M1 DATA 0x95 P2M0 DATA 0x96 P3M1 DATA 0xB1 P3M0 DATA 0xB2 P4M1 DATA 0xB3 P4M0 DATA 0xB4 P5M1 DATA 0xC9 P5M0 DATA 0xC P6M1 DATA 0xCB P6M0 DATA 0xCC P7M1 DATA 0xE1 P7M0 DATA 0xE2 AUXR DATA 0x8E INT_CLKO DATA 0x8F IE2 DATA 0xAF P4 DATA 0xC0 T2H DATA 0xD6 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 358 - STC8H 系列技术手册 T2L DATA 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 0xD7 ;************************************************************ DIS_BLACK EQU 010H DIS_ EQU 011H DIS_A EQU 00AH DIS_B EQU 00BH DIS_C EQU 00CH DIS_D EQU 00DH DIS_E EQU 00EH DIS_F EQU 00FH B_2ms B_Second cnt_500ms second minute hour scan_index BIT BIT DATA DATA DATA DATA DATA 20H.0 20H.1 30H 31H 32H 33H 34H ;2ms 信号 ;秒信号 LCD_buff DATA 40H ;40H~47H ;************************************************************ ORG 0000H LJMP F_Main ORG LJMP 000BH F_Timer0_Interrupt ;************************************************************ ORG 0100H F_Main: CLR A MOV P3M1, A ;设置为准双向口 MOV P3M0, A MOV P5M1, A ;设置为准双向口 MOV P5M0, A MOV MOV ANL ORL ORL ANL MOV MOV USING P1M1, #0 P1M0, #0ffh P2M1, #NOT 0f0h P2M0, #0f0h P2M1, #00fH P2M0, #0f0H SP, #0D0H PSW, #0 0 ; segment 设置为推挽输出 ; segment 设置为推挽输出 ;全部 COM 输出高阻, COM 为中点电压 ;选择第 0 组 R0~R7 ;************************************************************ MOV R2, #8 MOV R0, #LCD_buff L_ClearLcdRam: MOV @R0, #0 INC R0 DJNZ R2, L_ClearLcdRam LCALL SETB 深圳国芯人工智能有限公司 F_Timer0_init EA 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 359 - STC8H 系列技术手册 ; ; 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 ORL ORL LCD_buff, #020H LCD_buff, #002H ;显示时分间隔: ;显示分秒间隔: MOV MOV MOV LCALL hour, #12 minute, #00 second, #00 F_LoadRTC ;显示时间 选型顾问: 13922805190 ;************************************************************ L_Main_Loop: JNB B_2ms, L_Main_Loop ;2ms 节拍到 CLR B_2ms INC MOV CJNE cnt_500ms A, cnt_500ms A, #250, L_Main_Loop MOV cnt_500ms, #0; XRL XRL LCD_buff, #020H LCD_buff, #002H CPL JNB B_Second B_Second, L_Main_Loop INC MOV CJNE MOV INC MOV CJNE MOV INC MOV CJNE MOV second A, second A, #60, L_Main_Load second, #0 minute A, minute A, #60, L_Main_Load minute, #0; hour A, hour A, #24, L_Main_Load hour, #0 LCALL LJMP F_LoadRTC L_Main_Loop ;500ms 到 ;闪烁时分间隔: ;闪烁分秒间隔: ; 1 分钟到 ;24 小时到 L_Main_Load: ;显示时间 ;************************************************************ F_Timer0_init: CLR ANL SETB ORL ANL ORL MOV MOV SETB RET TR0 TMOD, #0f0H ET0 TMOD, #0 INT_CLKO, #NOT 0x01 AUXR, #0x80 TH0, #HIGH (-22118) TL0, #LOW (-22118) TR0 ; 停止计数 ; 允许中断 ; 工作模式, 0: 16 位自动重装 ; 不输出时钟 ; 1T mode ; 2ms ; ; 开始运行 ;************************************************************ F_Timer0_Interrupt: ;Timer0 1ms 中断函数 PUSH PSW ;PSW 入栈 PUSH ACC ;ACC 入栈 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 360 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com PUSH PUSH PUSH PUSH AR0 AR7 DPH DPL LCALL SETB F_LCD_scan B_2ms POP POP POP POP POP POP RETI DPL DPH AR7 AR0 ACC PSW 技术支持: 19864585985 选型顾问: 13922805190 ;ACC 出栈 ;PSW 出栈 ;******************** 显示时间 ************************** F_LoadRTC: MOV R6, #1 ;LCD_load(1,hour/10); MOV A, hour MOV B, #10 DIV AB MOV R7, A LCALL F_LCD_load ;R6 为第几个数字,为 1~6,R7 为要显示的数字 MOV MOV MOV DIV MOV LCALL R6, #2 A, hour B, #10 AB R7, B F_LCD_load ;LCD_load(2,hour%10); MOV MOV MOV DIV MOV LCALL R6, #3 A, minute B, #10 AB R7, A F_LCD_load ;LCD_load(3,minute/10); MOV MOV MOV DIV MOV LCALL R6, #4 A, minute B, #10 AB R7, B F_LCD_load ;LCD_load(4,minute%10); MOV MOV MOV DIV MOV LCALL R6, #5 A, second B, #10 AB R7, A F_LCD_load ;LCD_load(5,second/10); MOV MOV MOV DIV MOV LCALL R6, #6 A, second B, #10 AB R7, B F_LCD_load ;LCD_load(6,second%10); 深圳国芯人工智能有限公司 ;R6 为第几个数字,为 1~6,R7 为要显示的数字 ;R6 为第几个数字,为 1~6,R7 为要显示的数字 ;R6 为第几个数字,为 1~6,R7 为要显示的数字 ;R6 为第几个数字,为 1~6,R7 为要显示的数字 ;R6 为第几个数字,为 1~6,R7 为要显示的数字 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 361 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 RET ;************************************************************ T_COM: DB 008H, 004H, 002H, 001H F_LCD_scan: MOV CLR RRC MOV ADD MOV ORL ANL A, scan_index C A R7, A A, #LCD_buff R0, A P2M1, #00fH P2M0, #0f0H MOV JNB MOV CPL MOV MOV ADD MOV MOV ANL CPL MOV SJMP A, scan_index ACC.0, L_LCD_Scan2 A, @R0 A P1, A A, R0 A, #4 R0, A A, @R0 A, #0f0H A P2, A L_LCD_Scan3 MOV MOV MOV ADD MOV MOV ANL MOV A, @R0 P1, A A, R0 A, #4 R0, A A, @R0 A, #0f0H P2, A MOV MOV MOVC ORL CPL ANL DPTR, #T_COM A, R7 A, @A+DPTR P2M0, A A P2M1, A ;某个 COM 设置为推挽输出 INC MOV CJNE MOV scan_index A, scan_index A, #8, L_QuitLcdScan scan_index, #0 ;if(++scan_index == 8) L_LCD_Scan2: ;j = scan_index >> 1; ;R7 = j ;R0 = LCD_buff[j] ;全部 COM 输出高阻, COM 为中点电压 ;if(scan_index & 1) //反相扫描 ;P1 = ~LCD_buff[j]; ;P2 = ~(LCD_buff[j|4] & 0xf0); ;正相扫描 ;P1 = LCD_buff[j]; ;P2 = (LCD_buff[j|4] & 0xf0); L_LCD_Scan3: scan_index = 0; L_QuitLcdScan: RET ;******************* 标准字库 ************************** T_Display: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 362 - STC8H 系列技术手册 ; DB ; DB 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 0 1 2 3 4 5 6 7 8 9 A B C D E F 03FH,006H,05BH,04FH,066H,06DH,07DH,007H,07FH,06FH,077H,07CH,039H,05EH,079H,071H black 000H,040H ;****************** 对第 1~6 数字装载显示函数 算法简单 *************************** F_LCD_load: ;R6 为第几个数字,为 1~6,R7 为要显示的数字 MOV DPTR, #T_Display ;i = t_display[dat]; MOV A, R7 MOVC A, @A+DPTR MOV B, A ;要显示的数字 MOV CJNE MOV MOV MOV MOV MOV A, R6 A, #1, L_NotLoadChar1 R0, A, @R0 C, B.3 ACC.6, C @R0, A INC MOV MOV MOV MOV MOV MOV R0 A, @R0 C, B.2 ACC.6, C C, B.4 ACC.7, C @R0, A INC MOV MOV MOV MOV MOV MOV R0 A, @R0 C, B.1 ACC.6, C C, B.6 ACC.7, C @R0, A INC MOV MOV MOV MOV MOV MOV RET R0 A, @R0 C, B.0 ACC.6, C C, B.5 ACC.7, C @R0, A L_NotLoadChar1: CJNE MOV MOV MOV MOV MOV INC MOV MOV MOV MOV MOV 深圳国芯人工智能有限公司 A, #2, L_NotLoadChar2 R0,#LCD_buff A, @R0 C, B.3 ACC.4, C @R0, A R0 A, @R0 C, B.2 ACC.4, C C, B.4 ACC.5, C #LCD_buff ;D ;C ;E ;B ;G ;A ;F ;D ;C ;E 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 363 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com MOV @R0, A INC MOV MOV MOV MOV MOV MOV R0 A, @R0 C, B.1 ACC.4, C C, B.6 ACC.5, C @R0, A INC MOV MOV MOV MOV MOV MOV RET R0 A, @R0 C, B.0 ACC.4, C C, B.5 ACC.5, C @R0, A L_NotLoadChar2: CJNE MOV MOV MOV MOV MOV A, #3, L_NotLoadChar3 R0,#LCD_buff A, @R0 C, B.3 ACC.2, C @R0, A INC MOV MOV MOV MOV MOV MOV R0 A, @R0 C, B.2 ACC.2, C C, B.4 ACC.3, C @R0, A INC MOV MOV MOV MOV MOV MOV R0 A, @R0 C, B.1 ACC.2, C C, B.6 ACC.3, C @R0, A INC MOV MOV MOV MOV MOV MOV RET R0 A, @R0 C, B.0 ACC.2, C C, B.5 ACC.3, C @R0, A L_NotLoadChar3: CJNE MOV MOV MOV MOV MOV 深圳国芯人工智能有限公司 A, #4, L_NotLoadChar4 R0,#LCD_buff A, @R0 C, B.3 ACC.0, C @R0, A 技术支持: 19864585985 选型顾问: 13922805190 ;B ;G ;A ;F ;D ;C ;E ;B ;G ;A ;F ;D 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 364 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com INC MOV MOV MOV MOV MOV MOV R0 A, @R0 C, B.2 ACC.0, C C, B.4 ACC.1, C @R0, A INC MOV MOV MOV MOV MOV MOV R0 A, @R0 C, B.1 ACC.0, C C, B.6 ACC.1, C @R0, A INC MOV MOV MOV MOV MOV MOV RET R0 A, @R0 C, B.0 ACC.0, C C, B.5 ACC.1, C @R0, A L_NotLoadChar4: CJNE MOV MOV MOV MOV MOV A, #5, L_NotLoadChar5 R0,#LCD_buff+4 A, @R0 C, B.3 ACC.6, C @R0, A INC MOV MOV MOV MOV MOV MOV R0 A, @R0 C, B.2 ACC.6, C C, B.4 ACC.7, C @R0, A INC MOV MOV MOV MOV MOV MOV R0 A, @R0 C, B.1 ACC.6, C C, B.6 ACC.7, C @R0, A INC MOV MOV MOV MOV MOV MOV RET R0 A, @R0 C, B.0 ACC.6, C C, B.5 ACC.7, C @R0, A 深圳国芯人工智能有限公司 技术支持: 19864585985 选型顾问: 13922805190 ;C ;E ;B ;G ;A ;F ;D ;C ;E ;B ;G ;A ;F 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 365 - STC8H 系列技术手册 L_NotLoadChar5: CJNE MOV MOV MOV MOV MOV 官方网站: www.STCMCUDATA.com A, #6, L_NotLoadChar6 R0,#LCD_buff+4 A, @R0 C, B.3 ACC.4, C @R0, A INC MOV MOV MOV MOV MOV MOV R0 A, @R0 C, B.2 ACC.4, C C, B.4 ACC.5, C @R0, A INC MOV MOV MOV MOV MOV MOV R0 A, @R0 C, B.1 ACC.4, C C, B.6 ACC.5, C @R0, A INC MOV MOV MOV MOV MOV MOV RET L_NotLoadChar6: RET R0 A, @R0 C, B.0 ACC.4, C C, B.5 ACC.5, C @R0, A 技术支持: 19864585985 选型顾问: 13922805190 ;D ;C ;E ;B ;G ;A ;F END 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 366 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 10 指令系统 助记符 指令说明 字节 时钟 ADD A,Rn 寄存器内容加到累加器 1 1 ADD A,direct 直接地址单元的数据加到累加器 2 1 ADD A,@Ri 间接地址单元的数据加到累加器 1 1 ADD A,#data 立即数加到累加器 2 1 ADDC A,Rn 寄存器带进位加到累加器 1 1 ADDC A,direct 直接地址单元的数据带进位加到累加器 2 1 ADDC A,@Ri 间接地址单元的数据带进位加到累加器 1 1 ADDC A,#data 立即数带进位加到累加器 2 1 SUBB A,Rn 累加器带借位减寄存器内容 1 1 SUBB A,direct 累加器带借位减直接地址单元的内容 2 1 SUBB A,@Ri 累加器带借位减间接地址单元的内容 1 1 SUBB A,#data 累加器带借位减立即数 2 1 INC A 累加器加1 1 1 INC Rn 寄存器加1 1 1 INC direct 直接地址单元加1 2 1 INC @Ri 间接地址单元加1 1 1 DEC A 累加器减1 1 1 DEC Rn 寄存器减1 1 1 DEC direct 直接地址单元减1 2 1 DEC @Ri 间接地址单元减1 1 1 INC DPTR 地址寄存器DPTR加1 1 1 MUL AB A乘以B,B存放高字节,A存放低字节 1 2 DIV AB A除以B,B存放余数,A存放商 1 6 DA A 累加器十进制调整 1 3 ANL A,Rn 累加器与寄存器相与 1 1 ANL A,direct 累加器与直接地址单元相与 2 1 ANL A,@Ri 累加器与间接地址单元相与 1 1 ANL A,#data 累加器与立即数相与 2 1 ANL direct,A 直接地址单元与累加器相与 2 1 ANL direct,#data 直接地址单元与立即数相与 3 1 ORL A,Rn 累加器与寄存器相或 1 1 ORL A,direct 累加器与直接地址单元相或 2 1 ORL A,@Ri 累加器与间接地址单元相或 1 1 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 367 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 ORL A,#data 累加器与立即数相或 2 1 ORL direct,A 直接地址单元与累加器相或 2 1 ORL direct,#data 直接地址单元与立即数相或 3 1 XRL A,Rn 累加器与寄存器相异或 1 1 XRL A,direct 累加器与直接地址单元相异或 2 1 XRL A,@Ri 累加器与间接地址单元相异或 1 1 XRL A,#data 累加器与立即数相异或 2 1 XRL direct,A 直接地址单元与累加器相异或 2 1 XRL direct,#data 直接地址单元与立即数相异或 3 1 CLR A 累加器清0 1 1 CPL A 累加器取反 1 1 RL A 累加器循环左移 1 1 RLC A 累加器带进位循环左移 1 1 RR A 累加器循环右移 1 1 RRC A 累加器带进位循环右移 1 1 SWAP A 累加器高低半字节交换 1 1 CLR C 清零进位位 1 1 CLR bit 清0直接地址位 2 1 SETB C 置1进位位 1 1 SETB bit 置1直接地址位 2 1 CPL C 进位位求反 1 1 CPL bit 直接地址位求反 2 1 ANL C,bit 进位位和直接地址位相与 2 1 ANL C,/bit 进位位和直接地址位的反码相与 2 1 ORL C,bit 进位位和直接地址位相或 2 1 ORL C,/bit 进位位和直接地址位的反码相或 2 1 MOV C,bit 直接地址位送入进位位 2 1 MOV bit,C 进位位送入直接地址位 2 1 MOV A,Rn 寄存器内容送入累加器 1 1 MOV A,direct 直接地址单元中的数据送入累加器 2 1 MOV A,@Ri 间接地址中的数据送入累加器 1 1 MOV A,#data 立即数送入累加器 2 1 MOV Rn,A 累加器内容送入寄存器 1 1 MOV Rn,direct 直接地址单元中的数据送入寄存器 2 1 MOV Rn,#data 立即数送入寄存器 2 1 MOV direct,A 累加器内容送入直接地址单元 2 1 MOV direct,Rn 寄存器内容送入直接地址单元 2 1 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 368 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 MOV direct,direct 直接地址单元中的数据送入另一个直接地址单元 3 1 MOV direct,@Ri 间接地址中的数据送入直接地址单元 2 1 MOV direct,#data 立即数送入直接地址单元 3 1 MOV @Ri,A 累加器内容送间接地址单元 1 1 MOV @Ri,direct 直接地址单元数据送入间接地址单元 2 1 MOV @Ri,#data 立即数送入间接地址单元 2 1 MOV DPTR,#data16 16位立即数送入数据指针 3 1 MOVC A,@A+DPTR 以DPTR为基地址变址寻址单元中的数据送入累加器 1 4 MOVC A,@A+PC 以PC为基地址变址寻址单元中的数据送入累加器 1 3 MOVX A,@Ri 扩展地址(8位地址)的内容送入累加器A中 1 3[1] MOVX A,@DPTR 扩展RAM(16位地址)的内容送入累加器A中 1 2[1] MOVX @Ri,A 将累加器A的内容送入扩展RAM(8位地址)中 1 3[1] MOVX @DPTR,A 将累加器A的内容送入扩展RAM(16位地址)中 1 2[1] PUSH direct 直接地址单元中的数据压入堆栈 2 1 POP direct 栈底数据弹出送入直接地址单元 2 1 XCH A,Rn 寄存器与累加器交换 1 1 XCH A,direct 直接地址单元与累加器交换 2 1 XCH A,@Ri 间接地址与累加器交换 1 1 XCHD A,@Ri 间接地址的低半字节与累加器交换 1 1 ACALL addr11 短调用子程序 2 3 LCALL addr16 长调用子程序 3 3 RET 子程序返回 1 3 RETI 中断返回 1 3 AJMP addr11 短跳转 2 3 LJMP addr16 长跳转 3 3 SJMP rel 相对跳转 2 3 JMP @A+DPTR 相对于DPTR的间接跳转 1 4 JZ rel 累加器为零跳转 2 1/3[2] JNZ rel 累加器非零跳转 2 1/3[2] JC rel 进位位为1跳转 2 1/3[2] JNC rel 进位位为0跳转 2 1/3[2] JB bit,rel 直接地址位为1则跳转 3 1/3[2] JNB bit,rel 直接地址位为0则跳转 3 1/3[2] JBC bit,rel 直接地址位为1则跳转,该位清0 3 1/3[2] CJNE A,direct,rel 累加器与直接地址单元不相等跳转 3 2/3[3] CJNE A,#data,rel 累加器与立即数不相等跳转 3 1/3[2] CJNE Rn,#data,rel 寄存器与立即数不相等跳转 3 2/3[3] 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 369 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 CJNE @Ri,#data,rel 间接地址单元与立即数不相等跳转 3 2/3[3] DJNZ Rn,rel 寄存器减1后非零跳转 2 2/3[3] DJNZ direct,rel 直接地址单元减1后非零跳转 3 2/3[3] 空操作 1 1 NOP :访问外部扩展 RAM 时,指令的执行周期与寄存器 BUS_SPEED 中的 SPEED[2:0]位有关 :对于条件跳转语句的执行时间会依据条件是否满足而不同。当条件不满足时,不会发生跳转而继续执 行下一条指令,此时条件跳转语句的执行时间为 1 个时钟;当条件满足时,则会发生跳转,此时条件跳 转语句的执行时间为 3 个时钟。 [3] :对于条件跳转语句的执行时间会依据条件是否满足而不同。当条件不满足时,不会发生跳转而继续执 行下一条指令,此时条件跳转语句的执行时间为 2 个时钟;当条件满足时,则会发生跳转,此时条件跳 转语句的执行时间为 3 个时钟。 [1] [2] 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 370 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 11 中断系统 (C 语言程序中使用中断号大于 31 的中断时,在 Keil 中编译会报错,解决办法请参考附录) 中断系统是为使 CPU 具有对外界紧急事件的实时处理能力而设置的。 当中央处理机 CPU 正在处理某件事的时候外界发生了紧急事件请求,要求 CPU 暂停当前的工作, 转而去处理这个紧急事件,处理完以后,再回到原来被中断的地方,继续原来的工作,这样的过程称为 中断。实现这种功能的部件称为中断系统,请示 CPU 中断的请求源称为中断源。微型机的中断系统一般 允许多个中断源,当几个中断源同时向 CPU 请求中断,要求为它服务的时候,这就存在 CPU 优先响应 哪一个中断源请求的问题。通常根据中断源的轻重缓急排队,优先处理最紧急事件的中断请求源,即规 定每一个中断源有一个优先级别。CPU 总是先响应优先级别最高的中断请求。 当 CPU 正在处理一个中断源请求的时候(执行相应的中断服务程序),发生了另外一个优先级比它 还高的中断源请求。如果 CPU 能够暂停对原来中断源的服务程序,转而去处理优先级更高的中断请求源, 处理完以后,再回到原低级中断服务程序,这样的过程称为中断嵌套。这样的中断系统称为多级中断系 统,没有中断嵌套功能的中断系统称为单级中断系统。 用户可以用关总中断允许位(EA/IE.7)或相应中断的允许位屏蔽相应的中断请求,也可以用打开相 应的中断允许位来使 CPU 响应相应的中断申请,每一个中断源可以用软件独立地控制为开中断或关中断 状态,部分中断的优先级别均可用软件设置。高优先级的中断请求可以打断低优先级的中断,反之,低 优先级的中断请求不可以打断高优先级的中断。当两个相同优先级的中断同时产生时,将由查询次序来 决定系统先响应哪个中断。 11.1 STC8H 系列中断源 下表中√表示对应的系列有相应的中断源 STC8H1K16 STC8H1K08 STC8H3K64S4 STC8H3K64S2 STC8H8K64U STC8H8K64U STC8H4K64TLR STC8H4K64TLCD 系列 系列 系列 系列 -A系列 -B系列 系列 系列 √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ 定时器 1 中断(Timer1) √ √ √ √ √ √ √ √ 串口 1 中断(UART1) √ √ √ √ √ √ √ √ 模数转换中断(ADC) √ √ √ √ √ √ √ √ 低压检测中断(LVD) √ √ √ √ √ √ √ √ 捕获中断(CCP/PCA/PWM) √ √ √ √ √ √ √ √ 串口 2 中断(UART2) √ √ √ √ √ √ √ √ 串行外设接口中断(SPI) √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ 中断源 外部中断 0 中断(INT0) 支持下降沿和边沿中断 定时器 0 中断(Timer0) 外部中断 1 中断(INT1) 支持下降沿和边沿中断 外部中断 2 中断(INT2) 支持下降沿中断 外部中断 3 中断(INT3) 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 371 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 支持下降沿中断 定时器 2 中断(Timer2) √ √ √ √ √ √ √ √ 外部中断 4 中断(INT4) √ √ √ √ √ √ √ √ 串口 3 中断(UART3) √ √ √ √ √ 串口 4 中断(UART4) √ √ √ √ √ 定时器 3 中断(Timer3) √ √ √ √ √ √ √ 定时器 4 中断(Timer4) √ √ √ √ √ √ √ 比较器中断(CMP) √ √ √ √ √ √ √ √ I2C 总线中断 √ √ √ √ √ √ √ √ PWMA √ √ √ √ √ √ √ √ PWMB √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ USB 中断 触摸按键中断 RTC 中断 P0 口中断 支持下降沿、上升沿、高电平和低电平中断 P1 口中断 支持下降沿、上升沿、高电平和低电平中断 P2 口中断 支持下降沿、上升沿、高电平和低电平中断 P3 口中断 支持下降沿、上升沿、高电平和低电平中断 P4 口中断 支持下降沿、上升沿、高电平和低电平中断 P5 口中断 支持下降沿、上升沿、高电平和低电平中断 P6 口中断 支持下降沿、上升沿、高电平和低电平中断 P7 口中断 支持下降沿、上升沿、高电平和低电平中断 √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ √ DMA_M2M 中断 √ √ √ DMA_ADC 中断 √ √ √ DMA_SPI 中断 √ √ √ DMA_UR1T 中断 √ √ √ DMA_UR1R 中断 √ √ √ DMA_UR2T 中断 √ √ √ DMA_UR2R 中断 √ √ √ DMA_UR3T 中断 √ √ √ DMA_UR3R 中断 √ √ √ DMA_UR4T 中断 √ √ √ 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 372 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 DMA_UR4R 中断 √ √ √ DMA_LCM 中断 √ √ √ LCM 中断 √ √ √ 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 373 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 11.2 STC8H 中断结构图 中断请求 中断允许控制 中断优先级控制 最低优先级 较低优先级 较高优先级 最高优先级 TCON.0/IT0=0 EX0 INT0 EA PX0/PX0H IE0 0 1 2 3 TCON.0/IT0=1 ET0 Timer0 EA PT0/PT0H TF0 0 1 2 3 TCON.2/IT1=0 EX1 INT1 EA PX1/PX1H IE1 0 1 2 3 TCON.2/IT1=1 Timer1 EA PT1/PT1H 0 ES EA PS/PSH 0 TF1 RI UART1 ET1 TI EADC ADC ADC_Flag LVD LVDF ELVD S2RI UART2 ES2 S2TI SPI ESPI EX2 INT2IF INT3 INT3IF Timer2 S3RI S3TI S4RI EA EA PS2/PS2H PSPI/PSPIH 优先级固定为最低优先级 EX3 EA 优先级固定为最低优先级 ET2 EA 优先级固定为最低优先级 EX4 EA ES3 EA ES4 S4TI ET3 T3IF Timer4 T4IF ET4 PIE EA EA EA EA CMPIF NIE PWMA_IER PWMA PLVD/PLVDH INT4IF Timer3 CMP PADC/PADCH EA T2IF INT4 UART4 EA SPIF INT2 UART3 EA PWMA_SR PWMB_SR 0 0 0 PS3/PS3H 优先级固定为最低优先级 0 1 1 2 2 2 2 3 3 3 3 3 3 1 1 1 2 2 2 3 3 3 0 0 PCMP/PCMPH 0 PPWMA/PPWMAH 0 PPWMB/PPWMBH 0 EA 1 2 0 0 优先级固定为最低优先级 1 2 0 0 PS4/PS4H 1 0 PX4/PX4H PWMB_IER PWMB 0 1 1 1 1 2 2 2 3 3 3 EMSI MSIF ESTAI STAIF I2C ESTOI STOIF PI2C/PI2CH ERXI 0 1 2 3 RXIF ETXI TXIF 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 374 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 11.3 STC8H 系列中断列表 中断源 中断向量 次序 优先级设置 优先级 中断请求位 中断允许位 INT0 0003H 0 PX0PX0H 0/1/2/3 IE0 EX0 Timer0 000BH 1 PT0,PT0H 0/1/2/3 TF0 ET0 INT1 0013H 2 PX1,PX1H 0/1/2/3 IE1 EX1 Timer1 001BH 3 PT1,PT1H 0/1/2/3 TF1 ET1 UART1 0023H 4 PS,PSH 0/1/2/3 RI || TI ES ADC 002BH 5 PADC,PADCH 0/1/2/3 ADC_FLAG EADC LVD 0033H 6 PLVD,PLVDH 0/1/2/3 LVDF ELVD CF ECF CCF0 ECCF0 CCF1 ECCF1 CCF2 ECCF2 CCF3 ECCF3 PCA 003BH 7 PPCA,PPCAH 0/1/2/3 UART2 0043H 8 PS2,PS2H 0/1/2/3 S2RI || S2TI ES2 SPI 004BH 9 PSPI,PSPIH 0/1/2/3 SPIF ESPI INT2 0053H 10 0 INT2IF EX2 INT3 005BH 11 0 INT3IF EX3 Timer2 0063H 12 0 T2IF ET2 INT4 0083H 16 PX4,PX4H 0/1/2/3 INT4IF EX4 UART3 008BH 17 PS3,PS3H 0/1/2/3 S3RI || S3TI ES3 UART4 0093H 18 PS4,PS4H 0/1/2/3 S4RI || S4TI ES4 Timer3 009BH 19 0 T3IF ET3 Timer4 00A3H 20 0 T4IF ET4 CMP 00ABH 21 0/1/2/3 CMPIF PIE|NIE MSIF EMSI STAIF ESTAI RXIF ERXI TXIF ETXI STOIF ESTOI I2C 00C3H 深圳国芯人工智能有限公司 24 PCMP,PCMPH PI2C,PI2CH 0/1/2/3 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 375 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 中断源 中断向量 次序 优先级设置 优先级 中断请求位 中断允许位 USB 00CBH 25 PUSB,PUSBH 0/1/2/3 USB Events EUSB PWMA 00D3H 26 PPWMA,PPWMAH 0/1/2/3 PWMA_SR PWMA_IER PWMB 00DBH 27 PPWMB,PPWMBH 0/1/2/3 PWMB_SR PWMB_IER TKSU 011BH 35 PTKSU,PTKSUH 0/1/2/3 TKIF ETKSUI ALAIF EALAI DAYIF EDAYI HOURIF EHOURI MINIF EMINI SECIF ESECI SEC2IF ESEC2I SEC8IF ESEC8I SEC32IF ESEC32I RTC 0123H 36 PRTC,PRTCH 0/1/2/3 P0 中断 012BH 37 PINIPL[0], PINIPH[0] 0/1/2/3 P0INTF P0INTE P1 中断 0133H 38 PINIPL[1], PINIPH[1] 0/1/2/3 P1INTF P1INTE P2 中断 013BH 39 PINIPL[2], PINIPH[2] 0/1/2/3 P2INTF P2INTE P3 中断 0143H 40 PINIPL[3], PINIPH[3] 0/1/2/3 P3INTF P3INTE P4 中断 014BH 41 PINIPL[4], PINIPH[4] 0/1/2/3 P4INTF P4INTE P5 中断 0153H 42 PINIPL[5], PINIPH[5] 0/1/2/3 P5INTF P5INTE P6 中断 015BH 43 PINIPL[6], PINIPH[6] 0/1/2/3 P6INTF P6INTE P7 中断 0163H 44 PINIPL[7], PINIPH[7] 0/1/2/3 P7INTF P7INTE DMA_M2M 中断 017BH 47 M2MIP[1:0] 0/1/2/3 M2MIF M2MIE DMA_ADC 中断 0183H 48 ADCIP[1:0] 0/1/2/3 ADCIF ADCIE DMA_SPI 中断 018BH 49 SPIIP[1:0] 0/1/2/3 SPIIF SPIIE DMA_UR1T 中断 0193H 50 UR1TIP[1:0] 0/1/2/3 UR1TIF UR1TIE DMA_UR1R 中断 019BH 51 UR1RIP[1:0] 0/1/2/3 UR1RIF UR1RIE DMA_UR2T 中断 01A3H 52 UR2TIP[1:0] 0/1/2/3 UR2TIF UR2TIE DMA_UR2R 中断 01ABH 53 UR2RIP[1:0] 0/1/2/3 UR2RIF UR2RIE DMA_UR3T 中断 01B3H 54 UR3TIP[1:0] 0/1/2/3 UR3TIF UR3TIE DMA_UR3R 中断 01BBH 55 UR3RIP[1:0] 0/1/2/3 UR3RIF UR3RIE DMA_UR4T 中断 01C3H 56 UR4TIP[1:0] 0/1/2/3 UR4TIF UR4TIE DMA_UR4R 中断 01CBH 57 UR4RIP[1:0] 0/1/2/3 UR4RIF UR3RIE DMA_LCM 中断 01D3H 58 LCMIP[1:0] 0/1/2/3 LCMIF LCMIE LCM 中断 01DBH 59 LCMIFIP[1:0] 0/1/2/3 LCMIFIF LCMIFIE 在 C 语言中声明中断服务程序 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 376 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com void INT0_Routine(void) interrupt 0; void TM0_Rountine(void) interrupt 1; void INT1_Routine(void) interrupt 2; void TM1_Rountine(void) interrupt 3; void UART1_Routine(void) interrupt 4; void ADC_Routine(void) interrupt 5; void LVD_Routine(void) interrupt 6; void PCA_Routine(void) interrupt 7; void UART2_Routine(void) interrupt 8; void SPI_Routine(void) interrupt 9; void INT2_Routine(void) interrupt 10; void INT3_Routine(void) interrupt 11; void TM2_Routine(void) interrupt 12; void INT4_Routine(void) interrupt 16; void UART3_Routine(void) interrupt 17; void UART4_Routine(void) interrupt 18; void TM3_Routine(void) interrupt 19; void TM4_Routine(void) interrupt 20; void CMP_Routine(void) interrupt 21; void I2C_Routine(void) interrupt 24; void USB_Routine(void) interrupt 25; void PWMA_Routine(void) interrupt 26; void PWMB_Routine(void) interrupt 27; 技术支持: 19864585985 选型顾问: 13922805190 中断号超过31的C语言中断服务程序不能直接用interrupt声明,请参考附录的处理方 法,汇编语言不受影响 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 377 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 11.4 中断相关寄存器 位地址与符号 符号 描述 地址 复位值 B7 B6 B5 B4 B3 B2 B1 B0 IE 中断允许寄存器 A8H EA ELVD EADC ES ET1 EX1 ET0 EX0 0000,0000 IE2 中断允许寄存器 2 AFH EUSB ET4 ET3 ES4 ES3 ET2 ESPI ES2 0000,0000 中断与时钟输出控制寄存器 8FH - EX4 EX3 EX2 - T2CLKO T1CLKO 中断优先级控制寄存器 B8H - PLVD PADC PS PT1 PX1 PT0 PX0 x000,0000 IPH 高中断优先级控制寄存器 B7H - PLVDH PADCH PSH PT1H PX1H PT0H PX0H x000,0000 IP2 中断优先级控制寄存器 2 B5H PI2C PCMP PX4 PPWMB PPWMA PSPI PS2 0000,0000 PI2CH PCMPH PX4H PSPIH PS2H 0000,0000 INTCLKO IP T0CLKO x000,x000 PUSB PTKSU PUSBH IP2H 高中断优先级控制寄存器 2 B6H PPWMBH PPWMAH PTKSUH 中断优先级控制寄存器 3 DFH - - - - - PRTC PS4 PS3 xxxx,x000 高中断优先级控制寄存器 3 EEH - - - - - PRTCH PS4H PS3H xxxx,x000 定时器控制寄存器 88H TF1 TR1 TF0 TR0 IE1 IT1 IE0 IT0 0000,0000 扩展外部中断标志寄存器 EFH - INT4IF INT3IF INT2IF - T4IF T3IF T2IF x000,x000 SCON 串口 1 控制寄存器 98H SM0/FE SM1 SM2 REN TB8 RB8 TI RI 0000,0000 S2CON 串口 2 控制寄存器 9AH S2SM0 - S2SM2 S2REN S2TB8 S2RB8 S2TI S2RI 0100,0000 S3CON 串口 3 控制寄存器 ACH S3SM0 S3ST3 S3SM2 S3REN S3TB8 S3RB8 S3TI S3RI 0000,0000 S4CON 串口 4 控制寄存器 84H S4SM0 S4ST4 S4SM2 S4REN S4TB8 S4RB8 S4TI S4RI 0000,0000 PCON 电源控制寄存器 87H SMOD SMOD0 LVDF POF GF1 GF0 PD IDL 0011,0000 IP3 IP3H TCON AUXINTIF ADC_CONTR ADC 控制寄存器 BCH ADC_POWER ADC_START ADC_FLAG ADC_EPWMT ADC_CHS[3:0] SPSTAT SPI 状态寄存器 CDH SPIF WCOL - - - - CMPCR1 比较器控制寄存器 1 E6H CMPEN CMPIF PIE NIE PIS NIS 000x,0000 - - 00xx,xxxx CMPOE CMPRES 0000,0000 位地址与符号 符号 描述 地址 复位值 B7 B6 B5 B4 B3 B2 B1 B0 LCMIFCFG LCM 接口配置寄存器 FE50H LCMIFIE LCMIFSTA LCM 接口状态寄存器 FE53H - - - - I2CMSCR I C 主机控制寄存器 FE81H EMSI - - - I2CMSST I C 主机状态寄存器 FE82H MSBUSY MSIF - - - - MSACKI I2CSLCR I C 从机控制寄存器 FE83H - ESTAI ERXI ETXI ESTOI - - I2CSLST I C 从机状态寄存器 FE84H SLBUSY STAIF RXIF TXIF STOIF TXING SLACKI PWMA_IER PWMA 中断使能寄存器 FEC4H BIE TIE COMIE CC4IE CC3IE CC2IE CC1IE UIE 0000,0000 PWMA_SR1 PWMA 状态寄存器 1 FEC5H BIF TIF COMIF CC4IF CC3IF CC2IF CC1IF UIF 0000,0000 PWMA_SR2 PWMA 状态寄存器 2 FEC6H - - - CC4OF CC3OF CC2OF CC1OF - xxx0,000x PWMB_IER PWMB 中断使能寄存器 FEE4H BIE TIE COMIE CC8IE CC7IE CC6IE CC5IE UIE 0000,0000 PWMB_SR1 PWMB 状态寄存器 1 FEE5H BIF TIF COMIF CC8IF CC7IF CC6IF CC5IF UIF 0000,0000 PWMB_SR2 PWMB 状态寄存器 2 FEE6H - - - CC8OF CC7OF CC6OF CC5OF - xxx0,000x P0INTE P0 口中断使能寄存器 FD00H P07INTE P06INTE P05INTE P04INTE P03INTE P02INTE P01INTE P00INTE 0000,0000 P1INTE P1 口中断使能寄存器 FD01H P17INTE P16INTE P15INTE P14INTE P13INTE P12INTE P11INTE P10INTE 0000,0000 P2INTE P2 口中断使能寄存器 FD02H P27INTE P26INTE P25INTE P24INTE P23INTE P22INTE P21INTE P20INTE 0000,0000 2 2 2 2 深圳国芯人工智能有限公司 - LCMIFIP[1:0] 国内分销商电话: 0513-5501 2928/2929/2966 LCMIFDPS[1:0] - - D16_D8 M68_I80 0x00,0000 - LCMIFIF xxxx,xxx0 MSCMD[3:0] 0xxx,0000 MSACKO 00xx,xx00 SLRST x000,0xx0 SLACKO 0000,0000 传真: 0513-5501 2926/2956/2947 - 378 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P3INTE P3 口中断使能寄存器 FD03H P37INTE P36INTE P35INTE P34INTE P33INTE P32INTE P31INTE P30INTE 0000,0000 P4INTE P4 口中断使能寄存器 FD04H P47INTE P46INTE P45INTE P44INTE P43INTE P42INTE P41INTE P40INTE 0000,0000 P5INTE P5 口中断使能寄存器 FD05H - - P55INTE P54INTE P53INTE P52INTE P51INTE P50INTE xx00,0000 P6INTE P6 口中断使能寄存器 FD06H P67INTE P66INTE P65INTE P64INTE P63INTE P62INTE P61INTE P60INTE 0000,0000 P7INTE P7 口中断使能寄存器 FD07H P77INTE P76INTE P75INTE P74INTE P73INTE P72INTE P71INTE P70INTE 0000,0000 P0INTF P0 口中断标志寄存器 FD10H P07INTF P06INTF P05INTF P04INTF P03INTF P02INTF P01INTF P00INTF 0000,0000 P1INTF P1 口中断标志寄存器 FD11H P17INTF P16INTF P15INTF P14INTF P13INTF P12INTF P11INTF P10INTF 0000,0000 P2INTF P2 口中断标志寄存器 FD12H P27INTF P26INTF P25INTF P24INTF P23INTF P22INTF P21INTF P20INTF 0000,0000 P3INTF P3 口中断标志寄存器 FD13H P37INTF P36INTF P35INTF P34INTF P33INTF P32INTF P31INTF P30INTF 0000,0000 P4INTF P4 口中断标志寄存器 FD14H P47INTF P46INTF P45INTF P44INTF P43INTF P42INTF P41INTF P40INTF 0000,0000 P5INTF P5 口中断标志寄存器 FD15H - - P55INTF P54INTF P53INTF P52INTF P51INTF P50INTF xx00,0000 P6INTF P6 口中断标志寄存器 FD16H P67INTF P66INTF P65INTF P64INTF P63INTF P62INTF P61INTF P60INTF 0000,0000 P7INTF P7 口中断标志寄存器 FD17H P77INTF P76INTF P75INTF P74INTF P73INTF P72INTF P71INTF P70INTF 0000,0000 PINIPL I/O 口中断优先级低寄存器 FD60H P7IP P6IP P5IP P4IP P3IP P2IP P1IP P0IP 0000,0000 PINIPH I/O 口中断优先级高寄存器 FD61H P7IPH P6IPH P5IPH P4IPH P3IPH P2IPH P1IPH P0IPH 0000,0000 DMA_M2M_CFG M2M_DMA 配置寄存器 FA00H M2MIE - TXACO RXACO M2MIP[1:0] M2MPTY[1:0] 0x00,0000 DMA_ADC_CFG ADC_DMA 配置寄存器 FA10H ADCIE - - - ADCMIP[1:0] ADCPTY[1:0] 0xxx,0000 SPI_DMA 配置寄存器 FA20H SPIIE ACT_TX ACT_RX - SPIIP[1:0] SPIPTY[1:0] 000x,0000 DMA_UR1T_CFG UR1T_DMA 配置寄存器 FA30H UR1TIE - - - UR1TIP[1:0] UR1TPTY[1:0] 0xxx,0000 DMA_UR1R_CFG UR1R_DMA 配置寄存器 FA38H UR1RIE - - - UR1RIP[1:0] UR1RPTY[1:0] 0xxx,0000 DMA_UR2T_CFG UR2T_DMA 配置寄存器 FA40H UR2TIE - - - UR2TIP[1:0] UR2TPTY[1:0] 0xxx,0000 DMA_UR2R_CFG UR2R_DMA 配置寄存器 FA48H UR2RIE - - - UR2RIP[1:0] UR2RPTY[1:0] 0xxx,0000 DMA_UR3T_CFG UR3T_DMA 配置寄存器 FA50H UR3TIE - - - UR3TIP[1:0] UR3TPTY[1:0] 0xxx,0000 DMA_UR3R_CFG UR3R_DMA 配置寄存器 FA58H UR3RIE - - - UR3RIP[1:0] UR3RPTY[1:0] 0xxx,0000 DMA_UR4T_CFG UR4T_DMA 配置寄存器 FA60H UR4TIE - - - UR4TIP[1:0] UR4TPTY[1:0] 0xxx,0000 DMA_UR4R_CFG UR4R_DMA 配置寄存器 FA68H UR4RIE - - - UR4RIP[1:0] UR4RPTY[1:0] 0xxx,0000 DMA_LCM_CFG LCM_DMA 配置寄存器 FA70H LCMIE - - - LCMIP[1:0] LCMPTY[1:0] 0xxx,0000 DMA_M2M_STA M2M_DMA 状态寄存器 FA02H - - - - - - - M2MIF xxxx,xxx0 DMA_ADC_STA ADC_DMA 状态寄存器 FA12H - - - - - - - ADCIF xxxx,xxx0 SPI_DMA 状态寄存器 FA22H - - - - - TXOVW RXLOSS SPIIF xxxx,x000 DMA_UR1T_STA UR1T_DMA 状态寄存器 FA32H - - - - - TXOVW - UR1TIF xxxx,x0x0 DMA_UR1R_STA UR1R_DMA 状态寄存器 FA3AH - - - - - - RXLOSS UR1RIF xxxx,xx00 DMA_UR2T_STA UR2T_DMA 状态寄存器 FA42H - - - - - TXOVW - UR2TIF xxxx,x0x0 DMA_UR2R_STA UR2R_DMA 状态寄存器 FA4AH - - - - - - RXLOSS UR2RIF xxxx,xx00 DMA_UR3T_STA UR3T_DMA 状态寄存器 FA52H - - - - - TXOVW - UR3TIF xxxx,x0x0 DMA_UR3R_STA UR3R_DMA 状态寄存器 FA5AH - - - - - - RXLOSS UR3RIF xxxx,xx00 DMA_UR4T_STA UR4T_DMA 状态寄存器 FA62H - - - - - TXOVW - UR4TIF xxxx,x0x0 DMA_UR4R_STA UR4R_DMA 状态寄存器 FA6AH - - - - - - RXLOSS UR4RIF xxxx,xx00 DMA_LCM_STA LCM_DMA 状态寄存器 FA72H - - - - - - TXOVW LCMIF DMA_SPI_CFG DMA_SPI_STA 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 xxxx,xx00 - 379 - STC8H 系列技术手册 11.4.1 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 中断使能寄存器(中断允许位) IE(中断使能寄存器) 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 IE A8H EA ELVD EADC ES ET1 EX1 ET0 EX0 EA:总中断允许控制位。EA 的作用是使中断允许形成多级控制。即各中断源首先受 EA 控制;其次还受 各中断源自己的中断允许控制位控制。 0:CPU 屏蔽所有的中断申请 1:CPU 开放中断 ELVD:低压检测中断允许位。 0:禁止低压检测中断 1:允许低压检测中断 EADC:A/D 转换中断允许位。 0:禁止 A/D 转换中断 1:允许 A/D 转换中断 ES:串行口 1 中断允许位。 0:禁止串行口 1 中断 1:允许串行口 1 中断 ET1:定时/计数器 T1 的溢出中断允许位。 0:禁止 T1 中断 1:允许 T1 中断 EX1:外部中断 1 中断允许位。 0:禁止 INT1 中断 1:允许 INT1 中断 ET0:定时/计数器 T0 的溢出中断允许位。 0:禁止 T0 中断 1:允许 T0 中断 EX0:外部中断 0 中断允许位。 0:禁止 INT0 中断 1:允许 INT0 中断 IE2(中断使能寄存器 2) 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 IE2 AFH EUSB ET4 ET3 ES4 ES3 ET2 ESPI ES2 EUSB:USB 中断允许位。 0:禁止 USB 中断 1:允许 USB 中断 ET4:定时/计数器 T4 的溢出中断允许位。 0:禁止 T4 中断 1:允许 T4 中断 ET3:定时/计数器 T3 的溢出中断允许位。 0:禁止 T3 中断 1:允许 T3 中断 ES4:串行口 4 中断允许位。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 380 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 0:禁止串行口 4 中断 1:允许串行口 4 中断 ES3:串行口 3 中断允许位。 0:禁止串行口 3 中断 1:允许串行口 3 中断 ET2:定时/计数器 T2 的溢出中断允许位。 0:禁止 T2 中断 1:允许 T3 中断 ESPI:SPI 中断允许位。 0:禁止 SPI 中断 1:允许 SPI 中断 ES2:串行口 2 中断允许位。 0:禁止串行口 2 中断 1:允许串行口 2 中断 INTCLKO(外部中断与时钟输出控制寄存器) 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 INTCLKO 8FH - EX4 EX3 EX2 - T2CLKO T1CLKO T0CLKO EX4:外部中断 4 中断允许位。 0:禁止 INT4 中断 1:允许 INT4 中断 EX3:外部中断 3 中断允许位。 0:禁止 INT3 中断 1:允许 INT3 中断 EX2:外部中断 2 中断允许位。 0:禁止 INT2 中断 1:允许 INT2 中断 CMPCR1(比较器控制寄存器 1) 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 CMPCR1 E6H CMPEN CMPIF PIE NIE PIS NIS CMPOE CMPRES B2 PIE:比较器上升沿中断允许位。 0:禁止比较器上升沿中断 1:允许比较器上升沿中断 NIE:比较器下降沿中断允许位。 0:禁止比较器下降沿中断 1:允许比较器下降沿中断 I2C 控制寄存器 符号 地址 B7 B6 B5 B4 B3 I2CMSCR FE81H EMSI - - - - I2CSLCR FE83H - ESTAI ERXI ETXI ESTOI B1 B0 MSCMD[2:0] - - SLRST EMSI:I2C主机模式中断允许位。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 381 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 0:禁止 I2C 主机模式中断 1:允许 I2C 主机模式中断 ESTAI:I2C从机接收START事件中断允许位。 0:禁止 I2C 从机接收 START 事件中断 1:允许 I2C 从机接收 START 事件中断 ERXI:I2C从机接收数据完成事件中断允许位。 0:禁止 I2C 从机接收数据完成事件中断 1:允许 I2C 从机接收数据完成事件中断 ETXI:I2C从机发送数据完成事件中断允许位。 0:禁止 I2C 从机发送数据完成事件中断 1:允许 I2C 从机发送数据完成事件中断 ESTOI:I2C从机接收STOP事件中断允许位。 0:禁止 I2C 从机接收 STOP 事件中断 1:允许 I2C 从机接收 STOP 事件中断 PWMA 中断使能寄存器 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 PWMA_IER FEC4H BIE TIE COMIE CC4IE CC3IE CC2IE CC1IE UIE BIE:PWMA刹车中断允许位。 0:禁止 PWMA 刹车中断 1:允许 PWMA 刹车中断 TIE:PWMA触发中断允许位。 0:禁止 PWMA 触发中断 1:允许 PWMA 触发中断 COMIE:PWMA比较中断允许位。 0:禁止 PWMA 比较中断 1:允许 PWMA 比较中断 CC4IE:PWMA捕获比较通道4中断允许位。 0:禁止 PWMA 捕获比较通道 4 中断 1:允许 PWMA 捕获比较通道 4 中断 CC3IE:PWMA捕获比较通道3中断允许位。 0:禁止 PWMA 捕获比较通道 3 中断 1:允许 PWMA 捕获比较通道 3 中断 CC2IE:PWMA捕获比较通道2中断允许位。 0:禁止 PWMA 捕获比较通道 2 中断 1:允许 PWMA 捕获比较通道 2 中断 CC1IE:PWMA捕获比较通道1中断允许位。 0:禁止 PWMA 捕获比较通道 1 中断 1:允许 PWMA 捕获比较通道 1 中断 UIE:PWMA更新中断允许位。 0:禁止 PWMA 更新中断 1:允许 PWMA 更新中断 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 382 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 PWMB 中断使能寄存器 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 PWMB_IER FEE4H BIE TIE COMIE CC8IE CC7IE CC6IE CC5IE UIE BIE:PWMB刹车中断允许位。 0:禁止 PWMB 刹车中断 1:允许 PWMB 刹车中断 TIE:PWMB触发中断允许位。 0:禁止 PWMB 触发中断 1:允许 PWMB 触发中断 COMIE:PWMB比较中断允许位。 0:禁止 PWMB 比较中断 1:允许 PWMB 比较中断 CC8IE:PWMB捕获比较通道8中断允许位。 0:禁止 PWMB 捕获比较通道 8 中断 1:允许 PWMB 捕获比较通道 8 中断 CC7IE:PWMB捕获比较通道7中断允许位。 0:禁止 PWMB 捕获比较通道 7 中断 1:允许 PWMB 捕获比较通道 7 中断 CC6IE:PWMB捕获比较通道6中断允许位。 0:禁止 PWMB 捕获比较通道 6 中断 1:允许 PWMB 捕获比较通道 6 中断 CC5IE:PWMB捕获比较通道5中断允许位。 0:禁止 PWMB 捕获比较通道 5 中断 1:允许 PWMB 捕获比较通道 5 中断 UIE:PWMB更新中断允许位。 0:禁止 PWMB 更新中断 1:允许 PWMB 更新中断 端口中断使能寄存器 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 P0INTE FD00H P07INTE P06INTE P05INTE P04INTE P03INTE P02INTE P01INTE P00INTE P1INTE FD01H P17INTE P16INTE P15INTE P14INTE P13INTE P12INTE P11INTE P10INTE P2INTE FD02H P27INTE P26INTE P25INTE P24INTE P23INTE P22INTE P21INTE P20INTE P3INTE FD03H P37INTE P36INTE P35INTE P34INTE P33INTE P32INTE P31INTE P30INTE P4INTE FD04H P47INTE P46INTE P45INTE P44INTE P43INTE P42INTE P41INTE P40INTE P5INTE FD05H - - P55INTE P54INTE P53INTE P52INTE P51INTE P50INTE P6INTE FD06H P67INTE P66INTE P65INTE P64INTE P63INTE P62INTE P61INTE P60INTE P7INTE FD07H P77INTE P76INTE P75INTE P74INTE P73INTE P72INTE P71INTE P70INTE PnINTE.x:端口中断使能控制位(n=0~7,x=0~7) 0:关闭 Pn.x 口中断功能 1:使能 Pn.x 口中断功能 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 383 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 LCM 接口配置寄存器 符号 地址 B7 B6 LCMIFCFG FE50H LCMIFIE - B5 B4 B3 LCMIFIP[1:0] B2 LCMIFDPS[1:0] B1 B0 D16_D8 M68_I80 LCMIFIE:LCM接口中断允许位。 0:禁止 LCM 接口中断 1:允许 LCM 接口中断 DMA 中断使能寄存器 符号 地址 B7 B6 B5 B4 DMA_M2M_CFG FA00H M2MIE - TXACO RXACO M2MIP[1:0] M2MPTY[1:0] DMA_ADC_CFG FA10H ADCIE - - - ADCMIP[1:0] ADCPTY[1:0] DMA_SPI_CFG FA20H SPIIE ACT_TX ACT_RX - SPIIP[1:0] SPIPTY[1:0] DMA_UR1T_CFG FA30H UR1TIE - - - UR1TIP[1:0] UR1TPTY[1:0] DMA_UR1R_CFG FA38H UR1RIE - - - UR1RIP[1:0] UR1RPTY[1:0] DMA_UR2T_CFG FA40H UR2TIE - - - UR2TIP[1:0] UR2TPTY[1:0] DMA_UR2R_CFG FA48H UR2RIE - - - UR2RIP[1:0] UR2RPTY[1:0] DMA_UR3T_CFG FA50H UR3TIE - - - UR3TIP[1:0] UR3TPTY[1:0] DMA_UR3R_CFG FA58H UR3RIE - - - UR3RIP[1:0] UR3RPTY[1:0] DMA_UR3R_CFG FA60H UR4TIE - - - UR4TIP[1:0] UR4TPTY[1:0] DMA_UR4R_CFG FA68H UR4RIE - - - UR4RIP[1:0] UR4RPTY[1:0] DMA_LCM_CFG FA70H LCMIE - - - LCMIP[1:0] LCMPTY[1:0] B3 B2 B1 B0 M2MIE: DMA_M2M(存储器到存储器DMA)中断允许位。 0:禁止 DMA_M2M 中断 1:允许 DMA_M2M 中断 ADCIE:DMA_ADC(ADC DMA)中断允许位。 0:禁止 DMA_ADC 中断 1:允许 DMA_ADC 中断 SPIIE:DMA_SPI(SPI DMA)中断允许位。 0:禁止 DMA_SPI 中断 1:允许 DMA_SPI 中断 UR1TIE:DMA_UR1T(串口1发送DMA)中断允许位。 0:禁止 DMA_UR1T 中断 1:允许 DMA_UR1T 中断 UR1RIE:DMA_UR1R(串口1接收DMA)中断允许位。 0:禁止 DMA_UR1R 中断 1:允许 DMA_UR1R 中断 UR2TIE:DMA_UR2T(串口2发送DMA)中断允许位。 0:禁止 DMA_UR2T 中断 1:允许 DMA_UR2T 中断 UR2RIE:DMA_UR2R(串口2接收DMA)中断允许位。 0:禁止 DMA_UR2R 中断 1:允许 DMA_UR2R 中断 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 384 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 UR3TIE:DMA_UR3T(串口3发送DMA)中断允许位。 0:禁止 DMA_UR3T 中断 1:允许 DMA_UR3T 中断 UR3RIE:DMA_UR3R(串口3接收DMA)中断允许位。 0:禁止 DMA_UR3R 中断 1:允许 DMA_UR3R 中断 UR4TIE:DMA_UR4T(串口4发送DMA)中断允许位。 0:禁止 DMA_UR4T 中断 1:允许 DMA_UR4T 中断 UR4RIE:DMA_UR4R(串口4接收DMA)中断允许位。 0:禁止 DMA_UR4R 中断 1:允许 DMA_UR4R 中断 LCMIE:DMA_LCM(LCM接口DMA)中断允许位。 0:禁止 DMA_LCM 中断 1:允许 DMA_LCM 中断 11.4.2 中断请求寄存器(中断标志位) 定时器控制寄存器 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 TCON 88H TF1 TR1 TF0 TR0 IE1 IT1 IE0 IT0 TF1:定时器1溢出中断标志。中断服务程序中,硬件自动清零。 TF0:定时器0溢出中断标志。中断服务程序中,硬件自动清零。 IE1:外部中断1中断请求标志。中断服务程序中,硬件自动清零。 IE0:外部中断0中断请求标志。中断服务程序中,硬件自动清零。 中断标志辅助寄存器 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 AUXINTIF EFH - INT4IF INT3IF INT2IF - T4IF T3IF T2IF INT4IF:外部中断4中断请求标志。中断服务程序中硬件自动清零。 INT3IF:外部中断3中断请求标志。中断服务程序中硬件自动清零。 INT2IF:外部中断2中断请求标志。中断服务程序中硬件自动清零。 T4IF:定时器4溢出中断标志。中断服务程序中硬件自动清零(注意:此位为只写寄存器,不可读)。 T3IF:定时器3溢出中断标志。中断服务程序中硬件自动清零(注意:此位为只写寄存器,不可读)。 T2IF:定时器2溢出中断标志。中断服务程序中硬件自动清零(注意:此位为只写寄存器,不可读)。 注意: 早期采用 0.35um 工艺的 1T 8051,STC15 系列增加了 16 位重装载定时器,全球 8051 首次大手笔, 由于制造成本高,STC 可 16 位重装载的定时器 2/3/4 没有设计用户可以访问的中断请求标志位寄存器, 只有内部隐藏的标志位,提供给用户软件清内部隐藏标志位的方法是:用户软件禁止定时器 2/3/4 中断 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 385 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 时,硬件自动清定时器 2/3/4 内部隐藏中断请求标志位。 为了产品的一致性: 采用 0.18um 工艺的 STC8A/ STC8F 及后续 STC8G/STC8H/ STC8C/ STC12H 系列虽然增加了定时器 2/3/4 的用户可以访问的中断请求标志位寄存器,但禁止定时器 2/3/4 中断时,硬件自动清定时器 2/3/4 内部隐藏中断请求标志位的功能依然保留了。所以在定时器 2/3/4 没有停止计数时不要随意禁止定时器 2/3/4 中断,否则实际起作用的隐藏的中断请求标志位会被清除掉,会有可能,计数器又溢出后,又产生 了隐藏的中断请求标志位被置 1 后,去请求中断并在等待时,却被用户误清除的事。 这与传统的 INTEL8048,8051 不一样,但 INTEL 已停产,所以 STC 的新设计并没有考虑兼容传统 INTEL 的规格。 这是中国 STC 对 8051 的再发展。 串口控制寄存器 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 SCON 98H SM0/FE SM1 SM2 REN TB8 RB8 TI RI S2CON 9AH S2SM0 - S2SM2 S2REN S2TB8 S2RB8 S2TI S2RI S3CON ACH S3SM0 S3ST3 S3SM2 S3REN S3TB8 S3RB8 S3TI S3RI S4CON 84H S4SM0 S4ST4 S4SM2 S4REN S4TB8 S4RB8 S4TI S4RI TI:串口1发送完成中断请求标志。需要软件清零。 RI:串口1接收完成中断请求标志。需要软件清零。 S2TI:串口2发送完成中断请求标志。需要软件清零。 S2RI:串口2接收完成中断请求标志。需要软件清零。 S3TI:串口3发送完成中断请求标志。需要软件清零。 S3RI:串口3接收完成中断请求标志。需要软件清零。 S4TI:串口4发送完成中断请求标志。需要软件清零。 S4RI:串口4接收完成中断请求标志。需要软件清零。 电源管理寄存器 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 PCON 87H SMOD SMOD0 LVDF POF GF1 GF0 PD IDL LVDF:低压检测中断请求标志。需要软件清零。 ADC 控制寄存器 符号 地 址 B7 B6 B5 B4 ADC_CONTR BCH ADC_POWER ADC_START ADC_FLAG ADC_EPWMT B3 B2 B1 B0 ADC_CHS[3:0] ADC_FLAG:ADC转换完成中断请求标志。需要软件清零。 SPI 状态寄存器 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 SPSTAT CDH SPIF WCOL - - - - - - SPIF:SPI数据传输完成中断请求标志。需要软件清零。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 386 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 比较器控制寄存器 1 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 CMPCR1 E6H CMPEN CMPIF PIE NIE PIS NIS CMPOE CMPRES CMPIF:比较器中断请求标志。需要软件清零。 I2C 状态寄存器 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 I2CMSST FE82H MSBUSY MSIF - - - - MSACKI MSACKO I2CSLST FE84H SLBUSY STAIF RXIF TXIF STOIF TXING SLACKI SLACKO MSIF:I2C主机模式中断请求标志。需要软件清零。 ESTAI:I2C从机接收START事件中断请求标志。需要软件清零。 ERXI:I2C从机接收数据完成事件中断请求标志。需要软件清零。 ETXI:I2C从机发送数据完成事件中断请求标志。需要软件清零。 ESTOI:I2C从机接收STOP事件中断请求标志。需要软件清零。 PWMA 状态寄存器 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 PWMA_SR1 FEC5H BIF TIF COMIF CC4IF CC3IF CC2IF CC1IF UIF PWMA_SR2 FEC6H - - - CC4OF CC3OF CC2OF CC1OF - BIF:PWMA刹车中断请求标志。需要软件清零。 TIF:PWMA触发中断请求标志。需要软件清零。 COMIF:PWMA比较中断请求标志。需要软件清零。 CC4IF:PWMA通道4发生捕获比较中断请求标志。需要软件清零。 CC3IF:PWMA通道3发生捕获比较中断请求标志。需要软件清零。 CC2IF:PWMA通道2发生捕获比较中断请求标志。需要软件清零。 CC1IF:PWMA通道1发生捕获比较中断请求标志。需要软件清零。 TIF:PWMA更新中断请求标志。需要软件清零。 CC4OF:PWMA通道4发生重复捕获中断请求标志。需要软件清零。 CC3OF:PWMA通道3发生重复捕获中断请求标志。需要软件清零。 CC2OF:PWMA通道2发生重复捕获中断请求标志。需要软件清零。 CC1OF:PWMA通道1发生重复捕获中断请求标志。需要软件清零。 PWMB 状态寄存器 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 PWMB_SR1 FEE5H BIF TIF COMIF CC8IF CC7IF CC6IF CC5IF UIF PWMB_SR2 FEE6H - - - CC8OF CC7OF CC6OF CC5OF - BIF:PWMB刹车中断请求标志。需要软件清零。 TIF:PWMB触发中断请求标志。需要软件清零。 COMIF:PWMB比较中断请求标志。需要软件清零。 CC8IF:PWMB通道8发生捕获比较中断请求标志。需要软件清零。 CC7IF:PWMB通道7发生捕获比较中断请求标志。需要软件清零。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 387 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 CC6IF:PWMB通道6发生捕获比较中断请求标志。需要软件清零。 CC5IF:PWMB通道5发生捕获比较中断请求标志。需要软件清零。 TIF:PWMB更新中断请求标志。需要软件清零。 CC8OF:PWMB通道8发生重复捕获中断请求标志。需要软件清零。 CC7OF:PWMB通道7发生重复捕获中断请求标志。需要软件清零。 CC6OF:PWMB通道6发生重复捕获中断请求标志。需要软件清零。 CC5OF:PWMB通道5发生重复捕获中断请求标志。需要软件清零。 端口中断标志寄存器 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 P0INTF FD10H P07INTF P06INTF P05INTF P04INTF P03INTF P02INTF P01INTF P00INTF P1INTF FD11H P17INTF P16INTF P15INTF P14INTF P13INTF P12INTF P11INTF P10INTF P2INTF FD12H P27INTF P26INTF P25INTF P24INTF P23INTF P22INTF P21INTF P20INTF P3INTF FD13H P37INTF P36INTF P35INTF P34INTF P33INTF P32INTF P31INTF P30INTF P4INTF FD14H P47INTF P46INTF P45INTF P44INTF P43INTF P42INTF P41INTF P40INTF P5INTF FD15H - - P55INTF P54INTF P53INTF P52INTF P51INTF P50INTF P6INTF FD16H P67INTF P66INTF P65INTF P64INTF P63INTF P62INTF P61INTF P60INTF P7INTF FD17H P77INTF P76INTF P75INTF P74INTF P73INTF P72INTF P71INTF P70INTF PnINTF.x:端口中断请求标志位(n=0~7,x=0~7) 0:Pn.x 口没有中断请求 1:Pn.x 口有中断请求,若使能中断,则会进入中断服务程序。标志位需软件清 0。 LCM 接口状态寄存器 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 LCMIFSTA FE53H - - - - - - - LCMIFIF LCMIFIF:LCM接口中断请求标志。需要软件清零。 DMA 中断标志寄存器 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 DMA_M2M_STA FA02H - - - - - - - M2MIF DMA_ADC_STA FA12H - - - - - - - ADCIF DMA_SPI_STA FA22H - - - - - TXOVW RXLOSS SPIIF DMA_UR1T_STA FA32H - - - - - TXOVW - UR1TIF DMA_UR1R_STA FA3AH - - - - - - RXLOSS UR1RIF DMA_UR2T_STA FA42H - - - - - TXOVW - UR2TIF DMA_UR2R_STA FA4AH - - - - - - RXLOSS UR2RIF DMA_UR3T_STA FA52H - - - - - TXOVW - UR3TIF DMA_UR3R_STA FA5AH - - - - - - RXLOSS UR3RIF DMA_UR4T_STA FA62H - - - - - TXOVW - UR4TIF DMA_UR4R_STA FA6AH - - - - - - RXLOSS UR4RIF DMA_LCM_STA FA72H - - - - - - TXOVW LCMIF 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 388 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 M2MIF: DMA_M2M(存储器到存储器DMA)中断请求标志。需要软件清零。 ADCIF:DMA_ADC(ADC DMA)中断请求标志。需要软件清零。 SPIIF:DMA_SPI(SPI DMA)中断请求标志。需要软件清零。。 UR1TIF:DMA_UR1T(串口1发送DMA)中断请求标志。需要软件清零。 UR1RIF:DMA_UR1R(串口1接收DMA)中断请求标志。需要软件清零。 UR2TIF:DMA_UR2T(串口2发送DMA)中断请求标志。需要软件清零。 UR2RIF:DMA_UR2R(串口2接收DMA)中断请求标志。需要软件清零。 UR3TIF:DMA_UR3T(串口3发送DMA)中断请求标志。需要软件清零。 UR3RIF:DMA_UR3R(串口3接收DMA)中断请求标志。需要软件清零。 UR4TIF:DMA_UR4T(串口4发送DMA)中断请求标志。需要软件清零。 UR4RIF:DMA_UR4R(串口4接收DMA)中断请求标志。需要软件清零。 LCMIF:DMA_LCM(LCM接口DMA)中断请求标志。需要软件清零。 11.4.3 中断优先级寄存器 除 INT2、INT3、定时器 2、定时器 3、定时器 4 以及全部的端口中断外,其他中断均有 4 级中断优 先级可设置 中断优先级控制寄存器 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 IP B8H - PLVD PADC PS PT1 PX1 PT0 PX0 IPH B7H - PLVDH PADCH PSH PT1H PX1H PT0H PX0H IP2 B5H PI2C PCMP PX4 PPWMB PPWMA PSPI PS2 IP2H B6H PI2CH PCMPH PX4H PPWMBH PPWMAH PSPIH PS2H IP3 DFH - - - - - PRTC PS4 PS3 IP3H EEH - - - - - PRTCH PS4H PS3H PUSB PTKSU PUSBH PTKSUH PX0H,PX0:外部中断0中断优先级控制位 00:INT0 中断优先级为 0 级(最低级) 01:INT0 中断优先级为 1 级(较低级) 10:INT0 中断优先级为 2 级(较高级) 11:INT0 中断优先级为 3 级(最高级) PT0H,PT0:定时器0中断优先级控制位 00:定时器 0 中断优先级为 0 级(最低级) 01:定时器 0 中断优先级为 1 级(较低级) 10:定时器 0 中断优先级为 2 级(较高级) 11:定时器 0 中断优先级为 3 级(最高级) PX1H,PX1:外部中断1中断优先级控制位 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 389 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 00:INT1 中断优先级为 0 级(最低级) 01:INT1 中断优先级为 1 级(较低级) 10:INT1 中断优先级为 2 级(较高级) 11:INT1 中断优先级为 3 级(最高级) PT1H,PT1:定时器1中断优先级控制位 00:定时器 1 中断优先级为 0 级(最低级) 01:定时器 1 中断优先级为 1 级(较低级) 10:定时器 1 中断优先级为 2 级(较高级) 11:定时器 1 中断优先级为 3 级(最高级) PSH,PS:串口1中断优先级控制位 00:串口 1 中断优先级为 0 级(最低级) 01:串口 1 中断优先级为 1 级(较低级) 10:串口 1 中断优先级为 2 级(较高级) 11:串口 1 中断优先级为 3 级(最高级) PADCH,PADC:ADC中断优先级控制位 00:ADC 中断优先级为 0 级(最低级) 01:ADC 中断优先级为 1 级(较低级) 10:ADC 中断优先级为 2 级(较高级) 11:ADC 中断优先级为 3 级(最高级) PLVDH,PLVD:低压检测中断优先级控制位 00:LVD 中断优先级为 0 级(最低级) 01:LVD 中断优先级为 1 级(较低级) 10:LVD 中断优先级为 2 级(较高级) 11:LVD 中断优先级为 3 级(最高级) PS2H,PS2:串口2中断优先级控制位 00:串口 2 中断优先级为 0 级(最低级) 01:串口 2 中断优先级为 1 级(较低级) 10:串口 2 中断优先级为 2 级(较高级) 11:串口 2 中断优先级为 3 级(最高级) PS3H,PS3:串口3中断优先级控制位 00:串口 3 中断优先级为 0 级(最低级) 01:串口 3 中断优先级为 1 级(较低级) 10:串口 3 中断优先级为 2 级(较高级) 11:串口 3 中断优先级为 3 级(最高级) PS4H,PS4:串口4中断优先级控制位 00:串口 4 中断优先级为 0 级(最低级) 01:串口 4 中断优先级为 1 级(较低级) 10:串口 4 中断优先级为 2 级(较高级) 11:串口 4 中断优先级为 3 级(最高级) PSPIH,PSPI:SPI中断优先级控制位 00:SPI 中断优先级为 0 级(最低级) 01:SPI 中断优先级为 1 级(较低级) 10:SPI 中断优先级为 2 级(较高级) 11:SPI 中断优先级为 3 级(最高级) 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 390 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 PPWMAH,PPWMA:高级PWMA中断优先级控制位 00:高级 PWMA 中断优先级为 0 级(最低级) 01:高级 PWMA 中断优先级为 1 级(较低级) 10:高级 PWMA 中断优先级为 2 级(较高级) 11:高级 PWMA 中断优先级为 3 级(最高级) PPWMBH,PPWMB:高级PWMB中断优先级控制位 00:高级 PWMB 中断优先级为 0 级(最低级) 01:高级 PWMB 中断优先级为 1 级(较低级) 10:高级 PWMB 中断优先级为 2 级(较高级) 11:高级 PWMB 中断优先级为 3 级(最高级) PX4H,PX4:外部中断4中断优先级控制位 00:INT4 中断优先级为 0 级(最低级) 01:INT4 中断优先级为 1 级(较低级) 10:INT4 中断优先级为 2 级(较高级) 11:INT4 中断优先级为 3 级(最高级) PCMPH,PCMP:比较器中断优先级控制位 00:CMP 中断优先级为 0 级(最低级) 01:CMP 中断优先级为 1 级(较低级) 10:CMP 中断优先级为 2 级(较高级) 11:CMP 中断优先级为 3 级(最高级) PI2CH,PI2C:I2C中断优先级控制位 00:I2C 中断优先级为 0 级(最低级) 01:I2C 中断优先级为 1 级(较低级) 10:I2C 中断优先级为 2 级(较高级) 11:I2C 中断优先级为 3 级(最高级) PUSBH,PUSB:USB中断优先级控制位 00:USB 中断优先级为 0 级(最低级) 01:USB 中断优先级为 1 级(较低级) 10:USB 中断优先级为 2 级(较高级) 11:USB 中断优先级为 3 级(最高级) PTKSUH,PTKSU:触摸按键中断优先级控制位 00:触摸按键中断优先级为 0 级(最低级) 01:触摸按键中断优先级为 1 级(较低级) 10:触摸按键中断优先级为 2 级(较高级) 11:触摸按键中断优先级为 3 级(最高级) PRTCH,PRTC:RTC中断优先级控制位 00:RTC 中断优先级为 0 级(最低级) 01:RTC 中断优先级为 1 级(较低级) 10:RTC 中断优先级为 2 级(较高级) 11:RTC 中断优先级为 3 级(最高级) LCM 接口配置寄存器 符号 地址 B7 B6 LCMIFCFG FE50H LCMIFIE - 深圳国芯人工智能有限公司 B5 B4 LCMIFIP[1:0] 国内分销商电话: 0513-5501 2928/2929/2966 B3 B2 LCMIFDPS[1:0] B1 B0 D16_D8 M68_I80 传真: 0513-5501 2926/2956/2947 - 391 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 LCMIFIP[1:0]:LCM接口中断优先级控制位 00:LCM 接口中断优先级为 0 级(最低级) 01:LCM 接口中断优先级为 1 级(较低级) 10:LCM 接口中断优先级为 2 级(较高级) 11:LCM 接口中断优先级为 3 级(最高级) 端口中断优先级控制寄存器 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 PINIPL FD60H P7IP P6IP P5IP P4IP P3IP P2IP P1IP P0IP PINIPH FD61H P7IPH P6IPH P5IPH P4IPH P3IPH P2IPH P1IPH P0IPH P0IPH,P0IP:P0口中断优先级控制位 00:P0 口中断优先级为 0 级(最低级) 01:P0 口中断优先级为 1 级(较低级) 10:P0 口中断优先级为 2 级(较高级) 11:P0 口中断优先级为 3 级(最高级) P1IPH,P1IP:P1口中断优先级控制位 00:P1 口中断优先级为 0 级(最低级) 01:P1 口中断优先级为 1 级(较低级) 10:P1 口中断优先级为 2 级(较高级) 11:P1 口中断优先级为 3 级(最高级) P2IPH,P2IP:P2口中断优先级控制位 00:P2 口中断优先级为 0 级(最低级) 01:P2 口中断优先级为 1 级(较低级) 10:P2 口中断优先级为 2 级(较高级) 11:P2 口中断优先级为 3 级(最高级) P3IPH,P3IP:P3口中断优先级控制位 00:P3 口中断优先级为 0 级(最低级) 01:P3 口中断优先级为 1 级(较低级) 10:P3 口中断优先级为 2 级(较高级) 11:P3 口中断优先级为 3 级(最高级) P4IPH,P4IP:P4口中断优先级控制位 00:P4 口中断优先级为 0 级(最低级) 01:P4 口中断优先级为 1 级(较低级) 10:P4 口中断优先级为 2 级(较高级) 11:P4 口中断优先级为 3 级(最高级) P5IPH,P5IP:P5口中断优先级控制位 00:P5 口中断优先级为 0 级(最低级) 01:P5 口中断优先级为 1 级(较低级) 10:P5 口中断优先级为 2 级(较高级) 11:P5 口中断优先级为 3 级(最高级) P6IPH,P6IP:P6口中断优先级控制位 00:P6 口中断优先级为 0 级(最低级) 01:P6 口中断优先级为 1 级(较低级) 10:P6 口中断优先级为 2 级(较高级) 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 392 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 11:P6 口中断优先级为 3 级(最高级) P7IPH,P7IP:P7口中断优先级控制位 00:P7 口中断优先级为 0 级(最低级) 01:P7 口中断优先级为 1 级(较低级) 10:P7 口中断优先级为 2 级(较高级) 11:P7 口中断优先级为 3 级(最高级) DMA 中断优先级控制寄存器 符号 地址 B7 B6 B5 B4 DMA_M2M_CFG FA00H M2MIE - TXACO RXACO M2MIP[1:0] M2MPTY[1:0] DMA_ADC_CFG FA10H ADCIE - - - ADCMIP[1:0] ADCPTY[1:0] DMA_SPI_CFG FA20H SPIIE ACT_TX ACT_RX - SPIIP[1:0] SPIPTY[1:0] DMA_UR1T_CFG FA30H UR1TIE - - - UR1TIP[1:0] UR1TPTY[1:0] DMA_UR1R_CFG FA38H UR1RIE - - - UR1RIP[1:0] UR1RPTY[1:0] DMA_UR2T_CFG FA40H UR2TIE - - - UR2TIP[1:0] UR2TPTY[1:0] DMA_UR2R_CFG FA48H UR2RIE - - - UR2RIP[1:0] UR2RPTY[1:0] DMA_UR3T_CFG FA50H UR3TIE - - - UR3TIP[1:0] UR3TPTY[1:0] DMA_UR3R_CFG FA58H UR3RIE - - - UR3RIP[1:0] UR3RPTY[1:0] DMA_UR4T_CFG FA60H UR4TIE - - - UR4TIP[1:0] UR4TPTY[1:0] DMA_UR4R_CFG FA68H UR4RIE - - - UR4RIP[1:0] UR4RPTY[1:0] DMA_LCM_CFG FA70H LCMIE - - - LCMIP[1:0] LCMPTY[1:0] B3 B2 B1 B0 M2MIP: DMA_M2M(存储器到存储器DMA)中断优先级控制位 00:DMA_M2M 中断优先级为 0 级(最低级) 01:DMA_M2M 中断优先级为 1 级(较低级) 10:DMA_M2M 中断优先级为 2 级(较高级) 11:DMA_M2M 中断优先级为 3 级(最高级) ADCIP:DMA_ADC(ADC DMA)中断优先级控制位 00:DMA_ADC 中断优先级为 0 级(最低级) 01:DMA_ADC 中断优先级为 1 级(较低级) 10:DMA_ADC 中断优先级为 2 级(较高级) 11:DMA_ADC 中断优先级为 3 级(最高级) SPIIP:DMA_SPI(SPI DMA)中断优先级控制位 00:DMA_SPI 中断优先级为 0 级(最低级) 01:DMA_SPI 中断优先级为 1 级(较低级) 10:DMA_SPI 中断优先级为 2 级(较高级) 11:DMA_SPI 中断优先级为 3 级(最高级) UR1TIP:DMA_UR1T(串口1发送DMA)中断优先级控制位 00:DMA_UR1T 中断优先级为 0 级(最低级) 01:DMA_UR1T 中断优先级为 1 级(较低级) 10:DMA_UR1T 中断优先级为 2 级(较高级) 11:DMA_UR1T 中断优先级为 3 级(最高级) UR1RIP:DMA_UR1R(串口1接收DMA)中断优先级控制位 00:DMA_UR1R 中断优先级为 0 级(最低级) 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 393 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 01:DMA_UR1R 中断优先级为 1 级(较低级) 10:DMA_UR1R 中断优先级为 2 级(较高级) 11:DMA_UR1R 中断优先级为 3 级(最高级) UR2TIP:DMA_UR2T(串口2发送DMA)中断优先级控制位 00:DMA_UR2T 中断优先级为 0 级(最低级) 01:DMA_UR2T 中断优先级为 1 级(较低级) 10:DMA_UR2T 中断优先级为 2 级(较高级) 11:DMA_UR2T 中断优先级为 3 级(最高级) UR2RIP:DMA_UR2R(串口2接收DMA)中断优先级控制位 00:DMA_UR2R 中断优先级为 0 级(最低级) 01:DMA_UR2R 中断优先级为 1 级(较低级) 10:DMA_UR2R 中断优先级为 2 级(较高级) 11:DMA_UR2R 中断优先级为 3 级(最高级) UR3TIP:DMA_UR3T(串口3发送DMA)中断优先级控制位 00:DMA_UR3T 中断优先级为 0 级(最低级) 01:DMA_UR3T 中断优先级为 1 级(较低级) 10:DMA_UR3T 中断优先级为 2 级(较高级) 11:DMA_UR3T 中断优先级为 3 级(最高级) UR3RIP:DMA_UR3R(串口3接收DMA)中断优先级控制位 00:DMA_UR3R 中断优先级为 0 级(最低级) 01:DMA_UR3R 中断优先级为 1 级(较低级) 10:DMA_UR3R 中断优先级为 2 级(较高级) 11:DMA_UR3R 中断优先级为 3 级(最高级) UR4TIP:DMA_UR4T(串口4发送DMA)中断优先级控制位 00:DMA_UR4T 中断优先级为 0 级(最低级) 01:DMA_UR4T 中断优先级为 1 级(较低级) 10:DMA_UR4T 中断优先级为 2 级(较高级) 11:DMA_UR4T 中断优先级为 3 级(最高级) UR4RIP:DMA_UR4R(串口4接收DMA)中断优先级控制位 00:DMA_UR4R 中断优先级为 0 级(最低级) 01:DMA_UR4R 中断优先级为 1 级(较低级) 10:DMA_UR4R 中断优先级为 2 级(较高级) 11:DMA_UR4R 中断优先级为 3 级(最高级) LCMIP:DMA_LCM(LCM接口DMA)中断优先级控制位 00:DMA_LCM 中断优先级为 0 级(最低级) 01:DMA_LCM 中断优先级为 1 级(较低级) 10:DMA_LCM 中断优先级为 2 级(较高级) 11:DMA_LCM 中断优先级为 3 级(最高级) 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 394 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 11.5 范例程序 INT0 中断(上升沿和下降沿),可同时支持上升沿和下降沿 11.5.1 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit sbit P10 P11 = = P1^0; P1^1; void INT0_Isr() interrupt 0 { if (INT0) { P10 = !P10; } else { P11 = !P11; } } //判断上升沿和下降沿 //测试端口 //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; IT0 = 0; EX0 = 1; 深圳国芯人工智能有限公司 //使能 INT0 上升沿和下降沿中断 //使能 INT0 中断 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 395 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 EA = 1; while (1); } 汇编代码 ;测试工作频率为 11.0592MHz P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0003H INT0ISR ORG 0100H JB CPL RETI INT0,RISING P1.0 ;判断上升沿和下降沿 ;测试端口 CPL RETI P1.1 ;测试端口 MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H CLR SETB SETB JMP IT0 EX0 EA $ INT0ISR: RISING: MAIN: ;使能 INT0 上升沿和下降沿中断 ;使能 INT0 中断 END 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 396 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 INT0 中断(下降沿) 11.5.2 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit P10 = P1^0; void INT0_Isr() interrupt 0 { P10 = !P10; } //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; //使能 INT0 下降沿中断 //使能 INT0 中断 IT0 = 1; EX0 = 1; EA = 1; while (1); } 汇编代码 ;测试工作频率为 11.0592MHz P1M1 DATA 深圳国芯人工智能有限公司 091H 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 397 - STC8H 系列技术手册 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 官方网站: www.STCMCUDATA.com DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0003H INT0ISR ORG 0100H CPL RETI P1.0 MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H SETB SETB SETB JMP IT0 EX0 EA $ 技术支持: 19864585985 选型顾问: 13922805190 INT0ISR: ;测试端口 MAIN: ;使能 INT0 下降沿中断 ;使能 INT0 中断 END INT1 中断(上升沿和下降沿) ,可同时支持上升沿和下降沿 11.5.3 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr P1M1 P1M0 P0M1 深圳国芯人工智能有限公司 = = = 0x91; 0x92; 0x93; 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 398 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com sfr sfr sfr sfr sfr sfr sfr sfr sfr P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit sbit P10 P11 = = P1^0; P1^1; void INT1_Isr() interrupt 2 { if (INT1) { P10 = !P10; } else { P11 = !P11; } } 技术支持: 19864585985 选型顾问: 13922805190 //判断上升沿和下降沿 //测试端口 //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; //使能 INT1 上升沿和下降沿中断 //使能 INT1 中断 IT1 = 0; EX1 = 1; EA = 1; while (1); } 汇编代码 ;测试工作频率为 11.0592MHz P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 DATA DATA DATA DATA DATA DATA DATA DATA 深圳国芯人工智能有限公司 091H 092H 093H 094H 095H 096H 0B1H 0B2H 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 399 - STC8H 系列技术手册 P4M1 P4M0 P5M1 P5M0 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 DATA DATA DATA DATA 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0013H INT1ISR ORG 0100H JB CPL RETI INT1,RISING P1.0 ;判断上升沿和下降沿 ;测试端口 CPL RETI P1.1 ;测试端口 MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H CLR SETB SETB JMP IT1 EX1 EA $ 选型顾问: 13922805190 INT1ISR: RISING: MAIN: ;使能 INT1 上升沿和下降沿中断 ;使能 INT1 中断 END INT1 中断(下降沿) 11.5.4 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 深圳国芯人工智能有限公司 = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 400 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com sfr sfr sfr sfr sfr sfr P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit P10 = P1^0; void INT1_Isr() interrupt 2 { P10 = !P10; } 技术支持: 19864585985 选型顾问: 13922805190 //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; //使能 INT1 下降沿中断 //使能 INT1 中断 IT1 = 1; EX1 = 1; EA = 1; while (1); } 汇编代码 ;测试工作频率为 11.0592MHz P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0013H INT1ISR ORG 0100H 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 401 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 INT1ISR: CPL RETI P1.0 MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H SETB SETB SETB JMP IT1 EX1 EA $ ;测试端口 MAIN: ;使能 INT1 下降沿中断 ;使能 INT1 中断 END INT2 中断(下降沿),只支持下降沿中断 11.5.5 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sfr #define #define #define sbit INTCLKO EX2 EX3 EX4 P10 = 0x8f; 0x10 0x20 0x40 P1^0; = void INT2_Isr() interrupt 10 { 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 402 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 //测试端口 P10 = !P10; } void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; //使能 INT2 中断 INTCLKO = EX2; EA = 1; while (1); } 汇编代码 ;测试工作频率为 11.0592MHz INTCLKO EX2 EX3 EX4 DATA EQU EQU EQU 8FH 10H 20H 40H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0053H INT2ISR ORG 0100H CPL RETI P1.0 MOV MOV SP, #5FH P0M0, #00H INT2ISR: ;测试端口 MAIN: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 403 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV SETB JMP INTCLKO,#EX2 EA $ 技术支持: 19864585985 选型顾问: 13922805190 ;使能 INT2 中断 END INT3 中断(下降沿),只支持下降沿中断 11.5.6 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sfr #define #define #define sbit INTCLKO EX2 EX3 EX4 P10 = 0x8f; 0x10 0x20 0x40 P1^0; void INT3_Isr() interrupt 11 { P10 = !P10; } = //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 404 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; //使能 INT3 中断 INTCLKO = EX3; EA = 1; while (1); } 汇编代码 ;测试工作频率为 11.0592MHz INTCLKO EX2 EX3 EX4 DATA EQU EQU EQU 8FH 10H 20H 40H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 005BH INT3ISR ORG 0100H CPL RETI P1.0 MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H INT3ISR: ;测试端口 MAIN: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 405 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com MOV MOV MOV P4M1, #00H P5M0, #00H P5M1, #00H MOV SETB JMP INTCLKO,#EX3 EA $ 技术支持: 19864585985 选型顾问: 13922805190 ;使能 INT3 中断 END INT4 中断(下降沿),只支持下降沿中断 11.5.7 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sfr #define #define #define sbit INTCLKO EX2 EX3 EX4 P10 = 0x8f; 0x10 0x20 0x40 P1^0; = void INT4_Isr() interrupt 16 { P10 = !P10; } //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 406 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P5M1 = 0x00; //使能 INT4 中断 INTCLKO = EX4; EA = 1; while (1); } 汇编代码 ;测试工作频率为 11.0592MHz INTCLKO EX2 EX3 EX4 DATA EQU EQU EQU 8FH 10H 20H 40H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0083H INT4ISR ORG 0100H CPL RETI P1.0 MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV SETB JMP INTCLKO,#EX4 EA $ INT4ISR: ;测试端口 MAIN: 深圳国芯人工智能有限公司 ;使能 INT4 中断 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 407 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 END 定时器 0 中断 11.5.8 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit P10 = P1^0; void TM0_Isr() interrupt 1 { P10 = !P10; } //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; TMOD = 0x00; TL0 = 0x66; TH0 = 0xfc; TR0 = 1; ET0 = 1; EA = 1; //65536-11.0592M/12/1000 //启动定时器 //使能定时器中断 while (1); } 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 408 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 汇编代码 ;测试工作频率为 11.0592MHz P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 000BH TM0ISR ORG 0100H CPL RETI P1.0 MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV SETB SETB SETB TMOD,#00H TL0,#66H TH0,#0FCH TR0 ET0 EA JMP $ TM0ISR: ;测试端口 MAIN: ;65536-11.0592M/12/1000 ;启动定时器 ;使能定时器中断 END 11.5.9 定时器 1 中断 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 409 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit P10 = P1^0; void TM1_Isr() interrupt 3 { P10 = !P10; } //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; TMOD = 0x00; TL1 = 0x66; TH1 = 0xfc; TR1 = 1; ET1 = 1; EA = 1; //65536-11.0592M/12/1000 //启动定时器 //使能定时器中断 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz P1M1 P1M0 P0M1 P0M0 DATA DATA DATA DATA 深圳国芯人工智能有限公司 091H 092H 093H 094H 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 410 - STC8H 系列技术手册 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 官方网站: www.STCMCUDATA.com DATA DATA DATA DATA DATA DATA DATA DATA 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 001BH TM1ISR ORG 0100H CPL RETI P1.0 MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV SETB SETB SETB TMOD,#00H TL1,#66H TH1,#0FCH TR1 ET1 EA JMP $ 技术支持: 19864585985 选型顾问: 13922805190 TM1ISR: ;测试端口 MAIN: ;65536-11.0592M/12/1000 ;启动定时器 ;使能定时器中断 END 11.5.10 定时器 2 中断 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr T2L T2H 深圳国芯人工智能有限公司 = = 0xd7; 0xd6; 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 411 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com sfr sfr #define sfr #define AUXR IE2 ET2 AUXINTIF T2IF = = sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit P10 = P1^0; = 技术支持: 19864585985 选型顾问: 13922805190 0x8e; 0xaf; 0x04 0xef; 0x01 void TM2_Isr() interrupt 12 { P10 = !P10; } //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; T2L = 0x66; T2H = 0xfc; AUXR = 0x10; IE2 = ET2; EA = 1; //65536-11.0592M/12/1000 //启动定时器 //使能定时器中断 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz T2L T2H AUXR IE2 ET2 DATA DATA DATA DATA EQU 深圳国芯人工智能有限公司 0D7H 0D6H 8EH 0AFH 04H 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 412 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com AUXINTIF T2IF DATA EQU 0EFH 01H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0063H TM2ISR ORG 0100H CPL RETI P1.0 MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV MOV SETB T2L,#66H T2H,#0FCH AUXR,#10H IE2,#ET2 EA JMP $ 技术支持: 19864585985 选型顾问: 13922805190 TM2ISR: ;测试端口 MAIN: ;65536-11.0592M/12/1000 ;启动定时器 ;使能定时器中断 END 11.5.11 定时器 3 中断 C 语言代码 //测试工作频率为 11.0592MHz 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 413 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr #define sfr #define T3L T3H T4T3M IE2 ET3 AUXINTIF T3IF = = = = sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit P10 = P1^0; = void TM3_Isr() interrupt 19 { P10 = !P10; } 0xd5; 0xd4; 0xd1; 0xaf; 0x20 0xef; 0x02 //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; T3L = 0x66; T3H = 0xfc; T4T3M = 0x08; IE2 = ET3; EA = 1; //65536-11.0592M/12/1000 //启动定时器 //使能定时器中断 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 414 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com T3L T3H T4T3M IE2 ET3 AUXINTIF T3IF DATA DATA DATA DATA EQU DATA EQU 0D5H 0D4H 0D1H 0AFH 20H 0EFH 02H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 009BH TM3ISR ORG 0100H CPL RETI P1.0 MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV MOV SETB T3L,#66H T3H,#0FCH T4T3M,#08H IE2,#ET3 EA JMP $ 技术支持: 19864585985 选型顾问: 13922805190 TM3ISR: ;测试端口 MAIN: ;65536-11.0592M/12/1000 ;启动定时器 ;使能定时器中断 END 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 415 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 11.5.12 定时器 4 中断 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr #define #define sfr #define #define T3L T3H T4L T4H T4T3M IE2 ET3 ET4 AUXINTIF T3IF T4IF = = = = = = sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit P10 = P1^0; void TM4_Isr() interrupt 20 { P10 = !P10; } = 0xd5; 0xd4; 0xd3; 0xd2; 0xd1; 0xaf; 0x20 0x40 0xef; 0x02 0x04 //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; T4L = 0x66; T4H = 0xfc; 深圳国芯人工智能有限公司 //65536-11.0592M/12/1000 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 416 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 //启动定时器 //使能定时器中断 T4T3M = 0x80; IE2 = ET4; EA = 1; while (1); } 汇编代码 ;测试工作频率为 11.0592MHz T3L T3H T4L T4H T4T3M IE2 ET3 ET4 AUXINTIF T3IF T4IF DATA DATA DATA DATA DATA DATA EQU EQU DATA EQU EQU 0D5H 0D4H 0D3H 0D2H 0D1H 0AFH 20H 40H 0EFH 02H 04H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 00A3H TM4ISR ORG 0100H CPL RETI P1.0 MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H TM4ISR: ;测试端口 MAIN: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 417 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com MOV P5M1, #00H MOV MOV MOV MOV SETB T4L,#66H T4H,#0FCH T4T3M,#80H IE2,#ET4 EA JMP $ 技术支持: 19864585985 选型顾问: 13922805190 ;65536-11.0592M/12/1000 ;启动定时器 ;使能定时器中断 END 11.5.13 UART1 中断 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr T2L T2H AUXR = = = 0xd7; 0xd6; 0x8e; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit sbit P10 P11 = = P1^0; P1^1; void UART1_Isr() interrupt 4 { if (TI) { TI = 0; P10 = !P10; } if (RI) { RI = 0; P11 = !P11; } } //清中断标志 //测试端口 //清中断标志 //测试端口 void main() 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 418 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; SCON = 0x50; T2L = 0xe8; T2H = 0xff; AUXR = 0x15; ES = 1; EA = 1; SBUF = 0x5a; //65536-11059200/115200/4=0FFE8H //启动定时器 //使能串口中断 //发送测试数据 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz T2L T2H AUXR DATA DATA DATA 0D7H 0D6H 8EH P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0023H UART1ISR ORG 0100H JNB CLR CPL TI,CHECKRI TI P1.0 ;清中断标志 ;测试端口 JNB CLR RI,ISREXIT RI ;清中断标志 UART1ISR: CHECKRI: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 419 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com CPL P1.1 技术支持: 19864585985 选型顾问: 13922805190 ;测试端口 ISREXIT: RETI MAIN: MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV MOV SETB SETB MOV SCON,#50H T2L,#0E8H T2H,#0FFH AUXR,#15H ES EA SBUF,#5AH JMP $ ;65536-11059200/115200/4=0FFE8H ;启动定时器 ;使能串口中断 ;发送测试数据 END 11.5.14 UART2 中断 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr #define T2L T2H AUXR S2CON S2BUF IE2 ES2 = = = = = = 0xd7; 0xd6; 0x8e; 0x9a; 0x9b; 0xaf; 0x01 sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 420 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com sfr sfr sfr sfr P4M1 P4M0 P5M1 P5M0 = = = = 0xb3; 0xb4; 0xc9; 0xca; sbit sbit P12 P13 = = P1^2; P1^3; void UART2_Isr() interrupt 8 { if (S2CON & 0x02) { S2CON &= ~0x02; P12 = !P12; } if (S2CON & 0x01) { S2CON &= ~0x01; P13 = !P13; } } 技术支持: 19864585985 选型顾问: 13922805190 //清中断标志 //测试端口 //清中断标志 //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; S2CON = 0x10; T2L = 0xe8; T2H = 0xff; AUXR = 0x14; IE2 = ES2; EA = 1; S2BUF = 0x5a; //65536-11059200/115200/4=0FFE8H //启动定时器 //使能串口中断 //发送测试数据 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz T2L T2H AUXR S2CON S2BUF IE2 ES2 DATA DATA DATA DATA DATA DATA EQU 深圳国芯人工智能有限公司 0D7H 0D6H 8EH 9AH 9BH 0AFH 01H 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 421 - STC8H 系列技术手册 P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0043H UART2ISR ORG 0100H PUSH PUSH MOV JNB ANL CPL ACC PSW A,S2CON ACC.1,CHECKRI S2CON,#NOT 02H P1.2 ;清中断标志 ;测试端口 MOV JNB ANL CPL A,S2CON ACC.0,ISREXIT S2CON,#NOT 01H P1.3 ;清中断标志 ;测试端口 POP POP RETI PSW ACC MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV MOV MOV SETB MOV S2CON,#10H T2L,#0E8H T2H,#0FFH AUXR,#14H IE2,#ES2 EA S2BUF,#5AH 选型顾问: 13922805190 UART2ISR: CHECKRI: ISREXIT: MAIN: 深圳国芯人工智能有限公司 ;65536-11059200/115200/4=0FFE8H ;启动定时器 ;使能串口中断 ;发送测试数据 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 422 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com JMP 技术支持: 19864585985 选型顾问: 13922805190 $ END 11.5.15 UART3 中断 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr #define T2L T2H AUXR S3CON S3BUF IE2 ES3 = = = = = = 0xd7; 0xd6; 0x8e; 0xac; 0xad; 0xaf; 0x08 sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x93; 0x94; 0x91; 0x92; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit sbit P12 P13 = = P1^2; P1^3; void UART3_Isr() interrupt 17 { if (S3CON & 0x02) { S3CON &= ~0x02; P12 = !P12; } if (S3CON & 0x01) { S3CON &= ~0x01; P13 = !P13; } } //清中断标志 //测试端口 //清中断标志 //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 423 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; S3CON = 0x10; T2L = 0xe8; T2H = 0xff; AUXR = 0x14; IE2 = ES3; EA = 1; S3BUF = 0x5a; //65536-11059200/115200/4=0FFE8H //启动定时器 //使能串口中断 //发送测试数据 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz T2L T2H AUXR S3CON S3BUF IE2 ES3 DATA DATA DATA DATA DATA DATA EQU 0D7H 0D6H 8EH 0ACH 0ADH 0AFH 08H P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 093H 094H 091H 092H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 008BH UART3ISR ORG 0100H PUSH PUSH MOV JNB ANL CPL ACC PSW A,S3CON ACC.1,CHECKRI S3CON,#NOT 02H P1.2 UART3ISR: 深圳国芯人工智能有限公司 ;清中断标志 ;测试端口 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 424 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 CHECKRI: MOV JNB ANL CPL A,S3CON ACC.0,ISREXIT S3CON,#NOT 01H P1.3 POP POP RETI PSW ACC MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV MOV MOV SETB MOV S3CON,#10H T2L,#0E8H T2H,#0FFH AUXR,#14H IE2,#ES3 EA S3BUF,#5AH JMP $ ;清中断标志 ;测试端口 ISREXIT: MAIN: ;65536-11059200/115200/4=0FFE8H ;启动定时器 ;使能串口中断 ;发送测试数据 END 11.5.16 UART4 中断 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr #define T2L T2H AUXR S4CON S4BUF IE2 ES4 = = = = = = 0xd7; 0xd6; 0x8e; 0x84; 0x85; 0xaf; 0x10 sfr sfr sfr P0M1 P0M0 P1M1 = = = 0x93; 0x94; 0x91; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 425 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = 0x92; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit sbit P12 P13 = = P1^2; P1^3; void UART4_Isr() interrupt 18 { if (S4CON & 0x02) { S4CON &= ~0x02; P12 = !P12; } if (S4CON & 0x01) { S4CON &= ~0x01; P13 = !P13; } } 技术支持: 19864585985 选型顾问: 13922805190 //清中断标志 //测试端口 //清中断标志 //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; S4CON = 0x10; T2L = 0xe8; T2H = 0xff; AUXR = 0x14; IE2 = ES4; EA = 1; S4BUF = 0x5a; //65536-11059200/115200/4=0FFE8H //启动定时器 //使能串口中断 //发送测试数据 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz T2L T2H DATA DATA 深圳国芯人工智能有限公司 0D7H 0D6H 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 426 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 AUXR S4CON S4BUF IE2 ES4 DATA DATA DATA DATA EQU 8EH 84H 85H 0AFH 10H P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 093H 094H 091H 092H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0093H UART4ISR ORG 0100H PUSH PUSH MOV JNB ANL CPL ACC PSW A,S4CON ACC.1,CHECKRI S4CON,#NOT 02H P1.2 ;清中断标志 ;测试端口 MOV JNB ANL CPL A,S4CON ACC.0,ISREXIT S4CON,#NOT 01H P1.3 ;清中断标志 ;测试端口 POP POP RETI PSW ACC MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV S4CON,#10H T2L,#0E8H 选型顾问: 13922805190 UART4ISR: CHECKRI: ISREXIT: MAIN: 深圳国芯人工智能有限公司 ;65536-11059200/115200/4=0FFE8H 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 427 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com MOV MOV MOV SETB MOV T2H,#0FFH AUXR,#14H IE2,#ES4 EA S4BUF,#5AH JMP $ 技术支持: 19864585985 选型顾问: 13922805190 ;启动定时器 ;使能串口中断 ;发送测试数据 END 11.5.17 ADC 中断 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sbit ADC_CONTR ADC_RES ADC_RESL ADCCFG EADC = = = = = 0xbc; 0xbd; 0xbe; 0xde; IE^5; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; void ADC_Isr() interrupt 5 { ADC_CONTR &= ~0x20; P0 = ADC_RES; P2 = ADC_RESL; } //清中断标志 //测试端口 //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 428 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; ADCCFG = 0x00; ADC_CONTR = 0xc0; EADC = 1; EA = 1; //使能并启动 ADC 模块 //使能 ADC 中断 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz ADC_CONTR ADC_RES ADC_RESL ADCCFG EADC DATA DATA DATA DATA BIT 0BCH 0BDH 0BEH 0DEH IE.5 P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 002BH ADCISR ORG 0100H ANL MOV MOV RETI ADC_CONTR,#NOT 20H P0,ADC_RES P2,ADC_RESL MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H ADCISR: ;清中断标志 ;测试端口 ;测试端口 MAIN: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 429 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com MOV MOV P5M0, #00H P5M1, #00H MOV MOV SETB SETB ADCCFG,#00H ADC_CONTR,#0C0H EADC EA JMP $ 技术支持: 19864585985 选型顾问: 13922805190 ;使能并启动 ADC 模块 ;使能 ADC 中断 END 11.5.18 LVD 中断 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr #define #define #define #define #define sbit #define RSTCFG ENLVR LVD2V2 LVD2V4 LVD2V7 LVD3V0 ELVD LVDF = sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sbit P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 P10 = = = = = = = = = = = = = void LVD_Isr() interrupt 6 { PCON &= ~LVDF; P10 = !P10; } = 0xff; 0x40 0x00 0x01 0x02 0x03 IE^6; 0x20 //RSTCFG.6 //LVD@2.2V //LVD@2.4V //LVD@2.7V //LVD@3.0V //PCON.5 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; P1^0; //清中断标志 //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 430 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; //上电需要清中断标志 //设置 LVD 电压为 3.0V PCON &= ~LVDF; RSTCFG = LVD3V0; ELVD = 1; //使能 LVD 中断 EA = 1; while (1); } 汇编代码 ;测试工作频率为 11.0592MHz RSTCFG ENLVR LVD2V2 LVD2V4 LVD2V7 LVD3V0 ELVD LVDF DATA EQU EQU EQU EQU EQU BIT EQU 0FFH 40H 00H 01H 02H 03H IE.6 20H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0033H LVDISR ORG 0100H ANL CPL RETI PCON,#NOT LVDF P1.0 MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H ;RSTCFG.6 ;LVD@2.2V ;LVD@2.4V ;LVD@2.7V ;LVD@3.0V ;PCON.5 LVDISR: ;清中断标志 ;测试端口 MAIN: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 431 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com MOV MOV MOV MOV MOV MOV MOV MOV MOV P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H ANL MOV SETB SETB JMP PCON,#NOT LVDF RSTCFG,# LVD3V0 ELVD EA $ 技术支持: 19864585985 选型顾问: 13922805190 ;上电需要清中断标志 ;设置 LVD 电压为 3.0V ;使能 LVD 中断 END 11.5.19 比较器中断 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr CMPCR1 CMPCR2 = = 0xe6; 0xe7; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit P10 = P1^0; void CMP_Isr() interrupt 21 { CMPCR1 &= ~0x40; P10 = !P10; } //清中断标志 //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 432 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; CMPCR2 = 0x00; CMPCR1 = 0x80; CMPCR1 |= 0x30; CMPCR1 &= ~0x08; CMPCR1 |= 0x04; CMPCR1 |= 0x02; EA = 1; //使能比较器模块 //使能比较器边沿中断 //P3.6 为 CMP+输入脚 //P3.7 为 CMP-输入脚 //使能比较器输出 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz CMPCR1 CMPCR2 DATA DATA 0E6H 0E7H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 00ABH CMPISR ORG 0100H ANL CPL RETI CMPCR1,#NOT 40H P1.0 MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H CMPISR: ;清中断标志 ;测试端口 MAIN: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 433 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com MOV MOV MOV MOV MOV MOV MOV P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV ORL ANL ORL ORL SETB CMPCR2,#00H CMPCR1,#80H CMPCR1,#30H CMPCR1,#NOT 08H CMPCR1,#04H CMPCR1,#02H EA JMP $ 技术支持: 19864585985 选型顾问: 13922805190 ;使能比较器模块 ;使能比较器边沿中断 ;P3.6 为 CMP+输入脚 ;P3.7 为 CMP-输入脚 ;使能比较器输出 END 11.5.20 SPI 中断 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr #define SPSTAT SPCTL SPDAT IE2 ESPI = = = = 0xcd; 0xce; 0xcf; 0xaf; 0x02 sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit P10 = P1^0; void SPI_Isr() interrupt 9 { SPSTAT = 0xc0; P10 = !P10; } 深圳国芯人工智能有限公司 //清中断标志 //测试端口 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 434 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; //使能 SPI 主机模式 //清中断标志 //使能 SPI 中断 SPCTL = 0x50; SPSTAT = 0xc0; IE2 = ESPI; EA = 1; SPDAT = 0x5a; //发送测试数据 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz SPSTAT SPCTL SPDAT IE2 ESPI DATA DATA DATA DATA EQU 0CDH 0CEH 0CFH 0AFH 02H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 004BH SPIISR ORG 0100H MOV CPL RETI SPSTAT,#0C0H P1.0 SPIISR: ;清中断标志 ;测试端口 MAIN: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 435 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV SETB MOV SPCTL,#50H SPSTAT,#0C0H IE2,#ESPI EA SPDAT,#5AH JMP $ 技术支持: 19864585985 选型顾问: 13922805190 ;使能 SPI 主机模式 ;清中断标志 ;使能 SPI 中断 ;发送测试数据 END 11.5.21 I2C 中断 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr P_SW2 #define #define #define #define #define #define #define #d efine I2CCFG I2CMSCR I2CMSST I2CSLCR I2CSLST I2CSLADR I2CTXD (*(unsigned char volatile xdata *)0xfe80) (*(unsigned char volatile xdata *)0xfe81) (*(unsigned char volatile xdata *)0xfe82) (*(unsigned char volatile xdata *)0xfe83) (*(unsigned char volatile xdata *)0xfe84) (*(unsigned char volatile xdata *)0xfe85) (*(unsigned char volatile xdata *)0xfe86) I2CRXD (*(unsigned char volatile xdata *)0xfe87) sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 深圳国芯人工智能有限公司 = = = = = = = = = = = = 0xba; 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 436 - STC8H 系列技术手册 sfr sbit P5M0 P10 官方网站: www.STCMCUDATA.com = = 技术支持: 19864585985 选型顾问: 13922805190 0xca; P1^0; void I2C_Isr() interrupt 24 { _push_(P_SW2); P_SW2 |= 0x80; if (I2CMSST & 0x40) { I2CMSST &= ~0x40; P10 = !P10; } _pop_(P_SW2); } //清中断标志 //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; P_SW2 = 0x80; I2CCFG = 0xc0; I2CMSCR = 0x80; P_SW2 = 0x00; EA = 1; //使能 I2C 主机模式 //使能 I2C 中断; P_SW2 = 0x80; I2CMSCR = 0x81; P_SW2 = 0x00; //发送起始命令 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz P_SW2 DATA 0BAH I2CCFG I2CMSCR I2CMSST I2CSLCR I2CSLST I2CSLADR I2CTXD I2CRXD XDATA XDATA XDATA XDATA XDATA XDATA XDATA XDATA 0FE80H 0FE81H 0FE82H 0FE83H 0FE84H 0FE85H 0FE86H 0FE87H P1M1 DATA 091H 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 437 - STC8H 系列技术手册 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 官方网站: www.STCMCUDATA.com DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 00C3H I2CISR ORG 0100H PUSH PUSH PUSH PUSH MOV MOV MOVX ANL MOVX CPL POP POP POP POP RETI ACC DPL DPH P_SW2 P_SW2,#80H DPTR,#I2CMSST A,@DPTR A,#NOT 40H @DPTR,A P1.0 P_SW2 DPH DPL ACC MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV MOVX MOV MOV MOVX MOV SETB P_SW2,#80H A,#0C0H DPTR,#I2CCFG @DPTR,A A,#80H DPTR,#I2CMSCR @DPTR,A P_SW2,#00H EA 技术支持: 19864585985 选型顾问: 13922805190 I2CISR: ;清中断标志 ;测试端口 MAIN: 深圳国芯人工智能有限公司 ;使能 I2C 主机模式 ;使能 I2C 中断 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 438 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com MOV MOV MOV MOVX MOV P_SW2,#80H A,#081H DPTR,#I2CMSCR @DPTR,A P_SW2,#00H JMP $ 技术支持: 19864585985 选型顾问: 13922805190 ;发送起始命令 END 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 439 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 12 I/O 口中断 产品线 I/O 中断 I/O 中断优先级 I/O 中断唤醒功能 STC8H3K64S2 系列 A 版本 ● 1级 STC8H3K64S4 系列 A 版本 ● 1级 STC8H3K64S2 系列 B 版本 ● 1级 ● STC8H3K64S4 系列 B 版本 ● 1级 ● STC8H8K64U 系列 B 版本 ● 4级 ● STC8H4K64TLR 系列 ● 4级 ● STC8H4K64TLCD 系列 ● 4级 ● STC8H4K64LCD 系列 ● 4级 ● STC8H1K08 系列 STC8H1K28 系列 STC8H8K64U 系列 A 版本 STC8H 部分系列支持所有的 I/O 中断,且支持 4 种中断模式:下降沿中断、上升沿中断、低电平中 断、高电平中断。每组 I/O 口都有独立的中断入口地址,且每个 I/O 可独立设置中断模式。 注:经测试发现目前 STC8H 的 I/O 口中断在设计上有一些小瑕疵,请参考下表进行使用: (OK:可 正常使用;NG:表示可能会问题) 中 断 模 式 上升沿中断 下降沿中断 高电平中断 低电平中断 芯片工作模式 正常模式 IDLE 模式 STOP 模式 NG NG OK NG NG OK OK OK OK OK OK OK 12.1 I/O 口中断相关寄存器 位地址与符号 符号 描述 地址 复位值 B7 B6 B5 B4 B3 B2 B1 B0 P0INTE P0 口中断使能寄存器 FD00H P07INTE P06INTE P05INTE P04INTE P03INTE P02INTE P01INTE P00INTE 0000,0000 P1INTE P1 口中断使能寄存器 FD01H P17INTE P16INTE P15INTE P14INTE P13INTE P12INTE P11INTE P10INTE 0000,0000 P2INTE P2 口中断使能寄存器 FD02H P27INTE P26INTE P25INTE P24INTE P23INTE P22INTE P21INTE P20INTE 0000,0000 P3INTE P3 口中断使能寄存器 FD03H P37INTE P36INTE P35INTE P34INTE P33INTE P32INTE P31INTE P30INTE 0000,0000 P4INTE P4 口中断使能寄存器 FD04H P47INTE P46INTE P45INTE P44INTE P43INTE P42INTE P41INTE P40INTE 0000,0000 P5INTE P5 口中断使能寄存器 FD05H - P55INTE P54INTE P53INTE P52INTE P51INTE P50INTE xx00,0000 P6INTE P6 口中断使能寄存器 FD06H P67INTE P66INTE P65INTE P64INTE P63INTE P62INTE P61INTE P60INTE 0000,0000 P7INTE P7 口中断使能寄存器 FD07H P77INTE P76INTE P75INTE P74INTE P73INTE P72INTE P71INTE P70INTE 0000,0000 深圳国芯人工智能有限公司 - 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 440 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P0INTF P0 口中断标志寄存器 FD10H P07INTF P06INTF P05INTF P04INTF P03INTF P02INTF P01INTF P00INTF 0000,0000 P1INTF P1 口中断标志寄存器 FD11H P17INTF P16INTF P15INTF P14INTF P13INTF P12INTF P11INTF P10INTF 0000,0000 P2INTF P2 口中断标志寄存器 FD12H P27INTF P26INTF P25INTF P24INTF P23INTF P22INTF P21INTF P20INTF 0000,0000 P3INTF P3 口中断标志寄存器 FD13H P37INTF P36INTF P35INTF P34INTF P33INTF P32INTF P31INTF P30INTF 0000,0000 P4INTF P4 口中断标志寄存器 FD14H P47INTF P46INTF P45INTF P44INTF P43INTF P42INTF P41INTF P40INTF 0000,0000 P5INTF P5 口中断标志寄存器 FD15H - P55INTF P54INTF P53INTF P52INTF P51INTF P50INTF xx00,0000 P6INTF P6 口中断标志寄存器 FD16H P67INTF P66INTF P65INTF P64INTF P63INTF P62INTF P61INTF P60INTF 0000,0000 P7INTF P7 口中断标志寄存器 FD17H P77INTF P76INTF P75INTF P74INTF P73INTF P72INTF P71INTF P70INTF 0000,0000 P0IM0 P0 口中断模式寄存器 0 FD20H P07IM0 P06IM0 P05IM0 P04IM0 P03IM0 P02IM0 P01IM0 P00IM0 0000,0000 P1IM0 P1 口中断模式寄存器 0 FD21H P17IM0 P16IM0 P15IM0 P14IM0 P13IM0 P12IM0 P11IM0 P10IM0 0000,0000 P2IM0 P2 口中断模式寄存器 0 FD22H P27IM0 P26IM0 P25IM0 P24IM0 P23IM0 P22IM0 P21IM0 P20IM0 0000,0000 P3IM0 P3 口中断模式寄存器 0 FD23H P37IM0 P36IM0 P35IM0 P34IM0 P33IM0 P32IM0 P31IM0 P30IM0 0000,0000 P4IM0 P4 口中断模式寄存器 0 FD24H P47IM0 P46IM0 P45IM0 P44IM0 P43IM0 P42IM0 P41IM0 P40IM0 0000,0000 P5IM0 P5 口中断模式寄存器 0 FD25H - - P55IM0 P54IM0 P53IM0 P52IM0 P51IM0 P50IM0 xx00,0000 P6IM0 P6 口中断模式寄存器 0 FD26H P67IM0 P66IM0 P65IM0 P64IM0 P63IM0 P62IM0 P61IM0 P60IM0 0000,0000 P7IM0 P7 口中断模式寄存器 0 FD27H P77IM0 P76IM0 P75IM0 P74IM0 P73IM0 P72IM0 P71IM0 P70IM0 0000,0000 P0IM1 P0 口中断模式寄存器 1 FD30H P07IM1 P06IM1 P05IM1 P04IM1 P03IM1 P02IM1 P01IM1 P00IM1 0000,0000 P1IM1 P1 口中断模式寄存器 1 FD31H P17IM1 P16IM1 P15IM1 P14IM1 P13IM1 P12IM1 P11IM1 P10IM1 0000,0000 P2IM1 P2 口中断模式寄存器 1 FD32H P27IM1 P26IM1 P25IM1 P24IM1 P23IM1 P22IM1 P21IM1 P20IM1 0000,0000 P3IM1 P3 口中断模式寄存器 1 FD33H P37IM1 P36IM1 P35IM1 P34IM1 P33IM1 P32IM1 P31IM1 P30IM1 0000,0000 P4IM1 P4 口中断模式寄存器 1 FD34H P47IM1 P46IM1 P45IM1 P44IM1 P43IM1 P42IM1 P41IM1 P40IM1 0000,0000 P5IM1 P5 口中断模式寄存器 1 FD35H - - P55IM1 P54IM1 P53IM1 P52IM1 P51IM1 P50IM1 xx00,0000 P6IM1 P6 口中断模式寄存器 1 FD36H P67IM1 P66IM1 P65IM1 P64IM1 P63IM1 P62IM1 P61IM1 P60IM1 0000,0000 P7IM1 P7 口中断模式寄存器 1 FD37H P77IM1 P76IM1 P75IM1 P74IM1 P73IM1 P72IM1 P71IM1 P70IM1 0000,0000 PINIPL I/O 口中断优先级低寄存器 FD60H P7IP P6IP P5IP P4IP P3IP P2IP P1IP P0IP 0000,0000 PINIPH I/O 口中断优先级高寄存器 FD61H P7IPH P6IPH P5IPH P4IPH P3IPH P2IPH P1IPH P0IPH 0000,0000 P0WKUE P0 口中断唤醒使能寄存器 FD40H P07WKUE P06WKUE P05WKUE P04WKUE P03WKUE P02WKUE P01WKUE P00WKUE 0000,0000 P1WKUE P1 口中断唤醒使能寄存器 FD41H P17WKUE P16WKUE P15WKUE P14WKUE P13WKUE P12WKUE P11WKUE P10WKUE 0000,0000 P2WKUE P2 口中断唤醒使能寄存器 FD42H P27WKUE P26WKUE P25WKUE P24WKUE P23WKUE P22WKUE P21WKUE P20WKUE 0000,0000 P3WKUE P3 口中断唤醒使能寄存器 FD43H P37WKUE P36WKUE P35WKUE P34WKUE P33WKUE P32WKUE P31WKUE P30WKUE 0000,0000 P4WKUE P4 口中断唤醒使能寄存器 FD44H P47WKUE P46WKUE P45WKUE P44WKUE P43WKUE P42WKUE P41WKUE P40WKUE 0000,0000 P5WKUE P5 口中断唤醒使能寄存器 FD45H P6WKUE P6 口中断唤醒使能寄存器 FD46H P67WKUE P66WKUE P65WKUE P64WKUE P63WKUE P62WKUE P61WKUE P60WKUE 0000,0000 P7WKUE P7 口中断唤醒使能寄存器 FD47H P77WKUE P76WKUE P75WKUE P74WKUE P73WKUE P72WKUE P71WKUE P70WKUE 0000,0000 - - - P55WKUE P54WKUE P53WKUE P52WKUE P51WKUE P50WKUE xx00,0000 12.1.1 端口中断使能寄存器(PxINTE) 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 P0INTE FD00H P07INTE P06INTE P05INTE P04INTE P03INTE P02INTE P01INTE P00INTE P1INTE FD01H P17INTE P16INTE P15INTE P14INTE P13INTE P12INTE P11INTE P10INTE P2INTE FD02H P27INTE P26INTE P25INTE P24INTE P23INTE P22INTE P21INTE P20INTE P3INTE FD03H P37INTE P36INTE P35INTE P34INTE P33INTE P32INTE P31INTE P30INTE P4INTE FD04H P47INTE P46INTE P45INTE P44INTE P43INTE P42INTE P41INTE P40INTE 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 441 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P5INTE FD05H - - P55INTE P54INTE P53INTE P52INTE P51INTE P50INTE P6INTE FD06H P67INTE P66INTE P65INTE P64INTE P63INTE P62INTE P61INTE P60INTE P7INTE FD07H P77INTE P76INTE P75INTE P74INTE P73INTE P72INTE P71INTE P70INTE PnINTE.x:端口中断使能控制位(n=0~7,x=0~7) 0:关闭 Pn.x 口中断功能 1:使能 Pn.x 口中断功能 12.1.2 端口中断标志寄存器(PxINTF) 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 P0INTF FD10H P07INTF P06INTF P05INTF P04INTF P03INTF P02INTF P01INTF P00INTF P1INTF FD11H P17INTF P16INTF P15INTF P14INTF P13INTF P12INTF P11INTF P10INTF P2INTF FD12H P27INTF P26INTF P25INTF P24INTF P23INTF P22INTF P21INTF P20INTF P3INTF FD13H P37INTF P36INTF P35INTF P34INTF P33INTF P32INTF P31INTF P30INTF P4INTF FD14H P47INTF P46INTF P45INTF P44INTF P43INTF P42INTF P41INTF P40INTF P5INTF FD15H - - P55INTF P54INTF P53INTF P52INTF P51INTF P50INTF P6INTF FD16H P67INTF P66INTF P65INTF P64INTF P63INTF P62INTF P61INTF P60INTF P7INTF FD17H P77INTF P76INTF P75INTF P74INTF P73INTF P72INTF P71INTF P70INTF PnINTF.x:端口中断请求标志位(n=0~7,x=0~7) 0:Pn.x 口没有中断请求 1:Pn.x 口有中断请求,若使能中断,则会进入中断服务程序。标志位需软件清 0。 12.1.3 端口中断模式配置寄存器(PxIM0,PxIM1) 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 P0IM0 FD20H P07IM0 P06IM0 P05IM0 P04IM0 P03IM0 P02IM0 P01IM0 P00IM0 P0IM1 FD30H P07IM1 P06IM1 P05IM1 P04IM1 P03IM1 P02IM1 P01IM1 P00IM1 P1IM0 FD21H P17IM0 P16IM0 P15IM0 P14IM0 P13IM0 P12IM0 P11IM0 P10IM0 P1IM1 FD31H P17IM1 P16IM1 P15IM1 P14IM1 P13IM1 P12IM1 P11IM1 P10IM1 P2IM0 FD22H P27IM0 P26IM0 P25IM0 P24IM0 P23IM0 P22IM0 P21IM0 P20IM0 P2IM1 FD32H P27IM1 P26IM1 P25IM1 P24IM1 P23IM1 P22IM1 P21IM1 P20IM1 P3IM0 FD23H P37IM0 P36IM0 P35IM0 P34IM0 P33IM0 P32IM0 P31IM0 P30IM0 P3IM1 FD33H P37IM1 P36IM1 P35IM1 P34IM1 P33IM1 P32IM1 P31IM1 P30IM1 P4IM0 FD24H P47IM0 P46IM0 P45IM0 P44IM0 P43IM0 P42IM0 P41IM0 P40IM0 P4IM1 FD34H P47IM1 P46IM1 P45IM1 P44IM1 P43IM1 P42IM1 P41IM1 P40IM1 P5IM0 FD25H - - P55IM0 P54IM0 P53IM0 P52IM0 P51IM0 P50IM0 P5IM1 FD35H - - P55IM1 P54IM1 P53IM1 P52IM1 P51IM1 P50IM1 P6IM0 FD26H P67IM0 P66IM0 P65IM0 P64IM0 P63IM0 P62IM0 P61IM0 P60IM0 P6IM1 FD36H P67IM1 P66IM1 P65IM1 P64IM1 P63IM1 P62IM1 P61IM1 P60IM1 P7IM0 FD27H P77IM0 P76IM0 P75IM0 P74IM0 P73IM0 P72IM0 P71IM0 P70IM0 P7IM1 FD37H P77IM1 P76IM1 P75IM1 P74IM1 P73IM1 P72IM1 P71IM1 P70IM1 配置端口的模式 PnIM1.x PnIM0.x Pn.x 口中断模式 0 0 下降沿中断 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 442 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 0 1 上升沿中断 1 0 低电平中断 1 1 高电平中断 技术支持: 19864585985 选型顾问: 13922805190 12.1.4 端口中断优先级控制寄存器(PINIPL,PINIPH) 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 PINIPL FD60H P7IP P6IP P5IP P4IP P3IP P2IP P1IP P0IP PINIPH FD61H P7IPH P6IPH P5IPH P4IPH P3IPH P2IPH P1IPH P0IPH PxIPH,PxIP:Px口中断优先级控制位 00:Px 口中断优先级为 0 级(最低级) 01:Px 口中断优先级为 1 级(较低级) 10:Px 口中断优先级为 2 级(较高级) 11:Px 口中断优先级为 3 级(最高级) 12.1.5 端口中断掉电唤醒使能寄存器(PxWKUE) 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 P0WKU FD40 P07WKU P06WKU P05WKU P04WKU P03WKU P02WKU P01WKU P00WKU E H E E E E E E E E P1WKU FD41 P17WKU P16WKU P15WKU P14WKU P13WKU P12WKU P11WKU P10WKU E H E E E E E E E E P2WKU FD42 P27WKU P26WKU P25WKU P24WKU P23WKU P22WKU P21WKU P20WKU E H E E E E E E E E P3WKU FD43 P37WKU P36WKU P35WKU P34WKU P33WKU P32WKU P31WKU P30WKU E H E E E E E E E E P4WKU FD44 P47WKU P46WKU P45WKU P44WKU P43WKU P42WKU P41WKU P40WKU E H E E E E E E E E P5WKU FD45 P54WKU P53WKU P52WKU P51WKU P50WKU H - P55WKU E - E E E E E E P6WKU FD46 P67WKU P66WKU P65WKU P64WKU P63WKU P62WKU P61WKU P60WKU E H E E E E E E E E P7WKU FD47 P77WKU P76WKU P75WKU P74WKU P73WKU P72WKU P71WKU P70WKU E H E E E E E E E E PnxWKUE:端口中断掉电唤醒使能控制位(n=0~7,x=0~7) 0:关闭 Pn.x 口中断掉电唤醒功能 1:使能 Pn.x 口中断掉电唤醒功能 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 443 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 12.2 范例程序 P0 口下降沿中断 12.2.1 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P0M0 P0M1 P1M0 P1M1 P2M0 P2M1 P3M0 P3M1 P4M0 P4M1 P5M0 P5M1 P6M0 P6M1 P7M0 P7M1 = = = = = = = = = = = = = = = = 0x94; 0x93; 0x92; 0x91; 0x96; 0x95; 0xb2; 0xb1; 0xb4; 0xb3; 0xca; 0xc9; 0xcc; 0xcb; 0xe2; 0xe1; sfr P_SW2 = 0xba; #define #define #define #define P0INTE P0INTF P0IM0 P0IM1 (*(unsigned char volatile xdata *)0xfd00) (*(unsigned char volatile xdata *)0xfd10) (*(unsigned char volatile xdata *)0xfd20) (*(unsigned char volatile xdata *)0xfd30) void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; P_SW2 |= 0x80; P0IM0 = 0x00; P0IM1 = 0x00; P0INTE = 0xff; P_SW2 &= ~0x80; 深圳国芯人工智能有限公司 //下降沿中断 //使能 P0 口中断 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 444 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 EA = 1; while (1); } //由于中断向量大于 31,在 KEIL 中无法直接编译 //必须借用第 13 号中断入口地址 void common_isr() interrupt 13 { unsigned char psw2_st; unsigned char intf; psw2_st = P_SW2; P_SW2 |= 0x80; intf = P0INTF; if (intf) { P0INTF = 0x00; if (intf & 0x01) { //P0.0 口中断 } if (intf & 0x02) { //P0.1 口中断 } if (intf & 0x04) { //P0.2 口中断 } if (intf & 0x08) { //P0.3 口中断 } if (intf & 0x10) { //P0.4 口中断 } if (intf & 0x20) { //P0.5 口中断 } if (intf & 0x40) { //P0.6 口中断 } if (intf & 0x80) { //P0.7 口中断 } } P_SW2 = psw2_st; } // ISR.ASM //将下面的代码保存为 ISP.ASM,然后将文件加入到项目中即可 CSEG JMP 深圳国芯人工智能有限公司 AT 012BH P0INT_ISR ;P0 口中断入口地址 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 445 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P0INT_ISR: JMP END 006BH ;借用 13 号中断的入口地址 汇编代码 ;测试工作频率为 11.0592MHz P0M0 P0M1 P1M0 P1M1 P2M0 P2M1 P3M0 P3M1 P4M0 P4M1 P5M0 P5M1 P6M0 P6M1 P7M0 P7M1 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 094H 093H 092H 091H 096H 095H 0B2H 0B1H 0B4H 0B3H 0CAH 0C9H 0CCH 0CBH 0E2H 0E1H P_SW2 DATA 0BAH P0INTE P0INTF P0IM0 P0IM1 XDATA XDATA XDATA XDATA 0FD00H 0FD10H 0FD20H 0FD30H ORG LJMP 0000H MAIN ORG 012BH PUSH PUSH PUSH PUSH PUSH ACC B DPL DPH P_SW2 MOV MOVX MOV CLR MOVX MOV DPTR,#P0INTF A,@DPTR B,A A @DPTR,A A,B JNB NOP ACC.0,CHECKP01 JNB NOP ACC.1,CHECKP02 JNB ACC.2,CHECKP03 ;P0 口中断入口地址 P0INT_ISR: CHECKP00: ;P0.0 口中断 CHECKP01: ;P0.1 口中断 CHECKP02: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 446 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 ;P0.2 口中断 NOP CHECKP03 JNB NOP ACC.3,CHECKP04 JNB NOP ACC.4,CHECKP05 JNB NOP ACC.5,CHECKP06 JNB NOP ACC.6,CHECKP07 JNB NOP ACC.7,P0ISREXIT POP POP POP POP POP RETI P_SW2 DPH DPL B ACC ORG 0200H MOV SP, #5FH MOV MOV MOV MOV MOV MOV MOV MOV P0M0,#00H P0M1,#00H P1M0,#00H P1M1,#00H P2M0,#00H P2M1,#00H P3M0,#00H P3M1,#00H ORL CLR MOV MOVX MOV MOVX MOV MOV MOVX ANL P_SW2,#80H A DPTR,# P0IM0 @DPTR,A DPTR,# P0IM1 @DPTR,A DPTR,# P0INTE A,#0FFH @DPTR,A P_SW2,#7FH SETB EA JMP $ ;P0.3 口中断 CHECKP04: ;P0.4 口中断 CHECKP05: ;P0.5 口中断 CHECKP06: ;P0.6 口中断 CHECKP07: ;P0.7 口中断 P0ISREXIT: MAIN: ;下降沿中断 ;使能 P0 口中断 END 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 447 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P1 口上升沿中断 12.2.2 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P0M0 P0M1 P1M0 P1M1 P2M0 P2M1 P3M0 P3M1 P4M0 P4M1 P5M0 P5M1 P6M0 P6M1 P7M0 P7M1 = = = = = = = = = = = = = = = = 0x94; 0x93; 0x92; 0x91; 0x96; 0x95; 0xb2; 0xb1; 0xb4; 0xb3; 0xca; 0xc9; 0xcc; 0xcb; 0xe2; 0xe1; sfr P_SW2 = 0xba; #define #define #define #define P1INTE P1INTF P1IM0 P1IM1 (*(unsigned char volatile xdata *)0xfd01) (*(unsigned char volatile xdata *)0xfd11) (*(unsigned char volatile xdata *)0xfd21) (*(unsigned char volatile xdata *)0xfd31) void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; P_SW2 |= 0x80; P1IM0 = 0xff; P1IM1 = 0x00; P1INTE = 0xff; P_SW2 &= ~0x80; //上升沿中断 //使能 P1 口中断 EA = 1; while (1); } 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 448 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 //由于中断向量大于 31,在 KEIL 中无法直接编译 //必须借用第 13 号中断入口地址 void common_isr() interrupt 13 { unsigned char psw2_st; unsigned char intf; psw2_st = P_SW2; P_SW2 |= 0x80; intf = P1INTF; if (intf) { P1INTF = 0x00; if (intf & 0x01) { //P1.0 口中断 } if (intf & 0x02) { //P1.1 口中断 } if (intf & 0x04) { //P1.2 口中断 } if (intf & 0x08) { //P1.3 口中断 } if (intf & 0x10) { //P1.4 口中断 } if (intf & 0x20) { //P1.5 口中断 } if (intf & 0x40) { //P1.6 口中断 } if (intf & 0x80) { //P1.7 口中断 } } P_SW2 = psw2_st; } // ISR.ASM //将下面的代码保存为 ISP.ASM,然后将文件加入到项目中即可 CSEG JMP AT 0133H P1INT_ISR ;P1 口中断入口地址 JMP END 006BH ;借用 13 号中断的入口地址 P1INT_ISR: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 449 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 汇编代码 ;测试工作频率为 11.0592MHz P0M0 P0M1 P1M0 P1M1 P2M0 P2M1 P3M0 P3M1 P4M0 P4M1 P5M0 P5M1 P6M0 P6M1 P7M0 P7M1 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 094H 093H 092H 091H 096H 095H 0B2H 0B1H 0B4H 0B3H 0CAH 0C9H 0CCH 0CBH 0E2H 0E1H P_SW2 DATA 0BAH P1INTE P1INTF P1IM0 P1IM1 XDATA XDATA XDATA XDATA 0FD01H 0FD11H 0FD21H 0FD31H ORG LJMP 0000H MAIN ORG 0133H PUSH PUSH PUSH PUSH PUSH ACC B DPL DPH P_SW2 MOV MOVX MOV CLR MOVX MOV DPTR,#P1INTF A,@DPTR B,A A @DPTR,A A,B JNB NOP ACC.0,CHECKP11 JNB NOP ACC.1,CHECKP12 JNB NOP ACC.2,CHECKP13 JNB NOP ACC.3,CHECKP14 ;P1 口中断入口地址 P1INT_ISR: CHECKP10: ;P1.0 口中断 CHECKP11: ;P1.1 口中断 CHECKP12: ;P1.2 口中断 CHECKP13 深圳国芯人工智能有限公司 ;P1.3 口中断 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 450 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 CHECKP14: JNB NOP ACC.4,CHECKP15 JNB NOP ACC.5,CHECKP16 JNB NOP ACC.6,CHECKP17 JNB NOP ACC.7,P1ISREXIT POP POP POP POP POP RETI P_SW2 DPH DPL B ACC ORG 0200H MOV SP, #5FH MOV MOV MOV MOV MOV MOV MOV MOV P0M0,#00H P0M1,#00H P1M0,#00H P1M1,#00H P2M0,#00H P2M1,#00H P3M0,#00H P3M1,#00H ORL CLR MOV MOVX MOV MOVX MOV MOV MOVX ANL P_SW2,#80H A DPTR,# P1IM0 @DPTR,A DPTR,# P1IM1 @DPTR,A DPTR,# P1INTE A,#0FFH @DPTR,A P_SW2,#7FH SETB EA JMP $ ;P1.4 口中断 CHECKP15: ;P1.5 口中断 CHECKP16: ;P1.6 口中断 CHECKP17: ;P1.7 口中断 P1ISREXIT: MAIN: ;下降沿中断 ;使能 P1 口中断 END 12.2.3 P2 口低电平中断 C 语言代码 //测试工作频率为 11.0592MHz 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 451 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P0M0 P0M1 P1M0 P1M1 P2M0 P2M1 P3M0 P3M1 P4M0 P4M1 P5M0 P5M1 P6M0 P6M1 P7M0 P7M1 = = = = = = = = = = = = = = = = 0x94; 0x93; 0x92; 0x91; 0x96; 0x95; 0xb2; 0xb1; 0xb4; 0xb3; 0xca; 0xc9; 0xcc; 0xcb; 0xe2; 0xe1; sfr P_SW2 = 0xba; #define #define #define #define P2INTE P2INTF P2IM0 P2IM1 (*(unsigned char volatile xdata *)0xfd02) (*(unsigned char volatile xdata *)0xfd12) (*(unsigned char volatile xdata *)0xfd22) (*(unsigned char volatile xdata *)0xfd32) void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; P_SW2 |= 0x80; P2IM0 = 0x00; P2IM1 = 0xff; P2INTE = 0xff; P_SW2 &= ~0x80; //低电平中断 //使能 P2 口中断 EA = 1; while (1); } //由于中断向量大于 31,在 KEIL 中无法直接编译 //必须借用第 13 号中断入口地址 void common_isr() interrupt 13 { unsigned char psw2_st; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 452 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 unsigned char intf; psw2_st = P_SW2; P_SW2 |= 0x80; intf = P2INTF; if (intf) { P2INTF = 0x00; if (intf & 0x01) { //P2.0 口中断 } if (intf & 0x02) { //P2.1 口中断 } if (intf & 0x04) { //P2.2 口中断 } if (intf & 0x08) { //P0.3 口中断 } if (intf & 0x10) { //P2.4 口中断 } if (intf & 0x20) { //P2.5 口中断 } if (intf & 0x40) { //P2.6 口中断 } if (intf & 0x80) { //P2.7 口中断 } } P_SW2 = psw2_st; } // ISR.ASM //将下面的代码保存为 ISP.ASM,然后将文件加入到项目中即可 CSEG JMP AT 013BH P2INT_ISR ;P2 口中断入口地址 JMP END 006BH ;借用 13 号中断的入口地址 P2INT_ISR: 汇编代码 ;测试工作频率为 11.0592MHz 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 453 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com P0M0 P0M1 P1M0 P1M1 P2M0 P2M1 P3M0 P3M1 P4M0 P4M1 P5M0 P5M1 P6M0 P6M1 P7M0 P7M1 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 094H 093H 092H 091H 096H 095H 0B2H 0B1H 0B4H 0B3H 0CAH 0C9H 0CCH 0CBH 0E2H 0E1H P_SW2 DATA 0BAH P2INTE P2INTF P2IM0 P2IM1 XDATA XDATA XDATA XDATA 0FD02H 0FD12H 0FD22H 0FD32H ORG LJMP 0000H MAIN ORG 013BH PUSH PUSH PUSH PUSH PUSH ACC B DPL DPH P_SW2 MOV MOVX MOV CLR MOVX MOV DPTR,#P2INTF A,@DPTR B,A A @DPTR,A A,B JNB NOP ACC.0,CHECKP21 JNB NOP ACC.1,CHECKP22 JNB NOP ACC.2,CHECKP23 JNB NOP ACC.3,CHECKP24 JNB NOP ACC.4,CHECKP25 JNB NOP ACC.5,CHECKP26 技术支持: 19864585985 选型顾问: 13922805190 ;P2 口中断入口地址 P2INT_ISR: CHECKP20: ;P2.0 口中断 CHECKP21: ;P2.1 口中断 CHECKP22: ;P2.2 口中断 CHECKP23 ;P2.3 口中断 CHECKP24: ;P2.4 口中断 CHECKP25: 深圳国芯人工智能有限公司 ;P2.5 口中断 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 454 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 CHECKP26: JNB NOP ACC.6,CHECKP27 JNB NOP ACC.7,P2ISREXIT POP POP POP POP POP RETI P_SW2 DPH DPL B ACC ORG 0200H MOV SP, #5FH MOV MOV MOV MOV MOV MOV MOV MOV P0M0,#00H P0M1,#00H P1M0,#00H P1M1,#00H P2M0,#00H P2M1,#00H P3M0,#00H P3M1,#00H ORL CLR MOV MOVX MOV MOVX MOV MOV MOVX ANL P_SW2,#80H A DPTR,# P2IM0 @DPTR,A DPTR,# P2IM1 @DPTR,A DPTR,# P2INTE A,#0FFH @DPTR,A P_SW2,#7FH SETB EA JMP $ ;P2.6 口中断 CHECKP27: ;P2.7 口中断 P2ISREXIT: MAIN: ;低电平中断 ;使能 P2 口中断 END P3 口高电平中断 12.2.4 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr P0M0 P0M1 深圳国芯人工智能有限公司 = = 0x94; 0x93; 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 455 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M0 P1M1 P2M0 P2M1 P3M0 P3M1 P4M0 P4M1 P5M0 P5M1 P6M0 P6M1 P7M0 P7M1 = = = = = = = = = = = = = = 0x92; 0x91; 0x96; 0x95; 0xb2; 0xb1; 0xb4; 0xb3; 0xca; 0xc9; 0xcc; 0xcb; 0xe2; 0xe1; sfr P_SW2 = 0xba; #define #define #define #define P3INTE P3INTF P3IM0 P3IM1 (*(unsigned char volatile xdata *)0xfd03) (*(unsigned char volatile xdata *)0xfd13) (*(unsigned char volatile xdata *)0xfd23) (*(unsigned char volatile xdata *)0xfd33) 选型顾问: 13922805190 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; P_SW2 |= 0x80; P3IM0 = 0xff; P3IM1 = 0xff; P3INTE = 0xff; P_SW2 &= ~0x80; //高电平中断 //使能 P3 口中断 EA = 1; while (1); } //由于中断向量大于 31,在 KEIL 中无法直接编译 //必须借用第 13 号中断入口地址 void common_isr() interrupt 13 { unsigned char psw2_st; unsigned char intf; psw2_st = P_SW2; P_SW2 |= 0x80; intf = P3INTF; if (intf) 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 456 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 { P3INTF = 0x00; if (intf & 0x01) { //P3.0 口中断 } if (intf & 0x02) { //P3.1 口中断 } if (intf & 0x04) { //P3.2 口中断 } if (intf & 0x08) { //P3.3 口中断 } if (intf & 0x10) { //P3.4 口中断 } if (intf & 0x20) { //P3.5 口中断 } if (intf & 0x40) { //P3.6 口中断 } if (intf & 0x80) { //P3.7 口中断 } } P_SW2 = psw2_st; } // ISR.ASM //将下面的代码保存为 ISP.ASM,然后将文件加入到项目中即可 CSEG JMP AT 0143H P3INT_ISR ;P3 口中断入口地址 JMP END 006BH ;借用 13 号中断的入口地址 P3INT_ISR: 汇编代码 ;测试工作频率为 11.0592MHz P0M0 P0M1 P1M0 P1M1 P2M0 P2M1 DATA DATA DATA DATA DATA DATA 深圳国芯人工智能有限公司 094H 093H 092H 091H 096H 095H 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 457 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com P3M0 P3M1 P4M0 P4M1 P5M0 P5M1 P6M0 P6M1 P7M0 P7M1 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 0B2H 0B1H 0B4H 0B3H 0CAH 0C9H 0CCH 0CBH 0E2H 0E1H P_SW2 DATA 0BAH P3INTE P3INTF P3IM0 P3IM1 XDATA XDATA XDATA XDATA 0FD03H 0FD13H 0FD23H 0FD33H ORG LJMP 0000H MAIN ORG 0143H PUSH PUSH PUSH PUSH PUSH ACC B DPL DPH P_SW2 MOV MOVX MOV CLR MOVX MOV DPTR,#P3INTF A,@DPTR B,A A @DPTR,A A,B JNB NOP ACC.0,CHECKP31 JNB NOP ACC.1,CHECKP32 JNB NOP ACC.2,CHECKP33 JNB NOP ACC.3,CHECKP34 JNB NOP ACC.4,CHECKP35 JNB NOP ACC.5,CHECKP36 JNB NOP ACC.6,CHECKP37 JNB NOP ACC.7,P3ISREXIT 技术支持: 19864585985 选型顾问: 13922805190 ;P3 口中断入口地址 P3INT_ISR: CHECKP30: ;P3.0 口中断 CHECKP31: ;P3.1 口中断 CHECKP32: ;P3.2 口中断 CHECKP33 ;P3.3 口中断 CHECKP34: ;P3.4 口中断 CHECKP35: ;P3.5 口中断 CHECKP36: ;P3.6 口中断 CHECKP37: 深圳国芯人工智能有限公司 ;P3.7 口中断 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 458 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P3ISREXIT: POP POP POP POP POP RETI P_SW2 DPH DPL B ACC ORG 0200H MOV SP, #5FH MOV MOV MOV MOV MOV MOV MOV MOV P0M0,#00H P0M1,#00H P1M0,#00H P1M1,#00H P2M0,#00H P2M1,#00H P3M0,#00H P3M1,#00H ORL CLR MOV MOVX MOV MOVX MOV MOV MOVX ANL P_SW2,#80H A DPTR,# P3IM0 @DPTR,A DPTR,# P3IM1 @DPTR,A DPTR,# P3INTE A,#0FFH @DPTR,A P_SW2,#7FH SETB EA JMP $ MAIN: ;高电平中断 ;使能 P3 口中断 END 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 459 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 13 定时器/计数器 产品线 定时器数量 STC8H1K08 系列 3 STC8H1K28 系列 5 STC8H3K64S4 系列 5 STC8H3K64S2 系列 5 STC8H8K64U 系列 5 STC8H4K64TLR 系列 5 STC8H4K64TLCD 系列 5 STC8H4K64LCD 系列 5 STC8H 系列单片机内部设置了 5 个 16 位定时器/计数器。5 个 16 位定时器 T0、T1、T2、T3 和 T4 都具有计数方式和定时方式两种工作方式。对定时器/计数器 T0 和 T1,用它们在特殊功能寄存器 TMOD 中相对应的控制位 C/T 来选择 T0 或 T1 为定时器还是计数器。对定时器/计数器 T2,用特殊功能寄存器 AUXR 中的控制位 T2_C/T 来选择 T2 为定时器还是计数器。对定时器/计数器 T3,用特殊功能寄存器 T4T3M 中的控制位 T3_C/T 来选择 T3 为定时器还是计数器。对定时器/计数器 T4,用特殊功能寄存器 T4T3M 中的控制位 T4_C/T 来选择 T4 为定时器还是计数器。定时器/计数器的核心部件是一个加法计数 器,其本质是对脉冲进行计数。只是计数脉冲来源不同:如果计数脉冲来自系统时钟,则为定时方式, 此时定时器/计数器每 12 个时钟或者每 1 个时钟得到一个计数脉冲,计数值加 1;如果计数脉冲来自单片 机外部引脚,则为计数方式,每来一个脉冲加 1。 当定时器/计数器 T0、T1 及 T2 工作在定时模式时,特殊功能寄存器 AUXR 中的 T0x12、T1x12 和 T2x12 分别决定是系统时钟/12 还是系统时钟/1(不分频)后让 T0、T1 和 T2 进行计数。当定时器/计数 器 T3 和 T4 工作在定时模式时,特殊功能寄存器 T4T3M 中的 T3x12 和 T4x12 分别决定是系统时钟/12 还是系统时钟/1(不分频)后让 T3 和 T4 进行计数。当定时器/计数器工作在计数模式时,对外部脉冲计 数不分频。 定时器/计数器 0 有 4 种工作模式:模式 0(16 位自动重装载模式),模式 1(16 位不可重装载模式), 模式 2(8 位自动重装模式),模式 3(不可屏蔽中断的 16 位自动重装载模式)。定时器/计数器 1 除模 式 3 外,其他工作模式与定时器/计数器 0 相同。T1 在模式 3 时无效,停止计数。定时器 T2 的工作模式 固定为 16 位自动重装载模式。T2 可以当定时器使用,也可以当串口的波特率发生器和可编程时钟输出。 定时器 3、定时器 4 与定时器 T2 一样,它们的工作模式固定为 16 位自动重装载模式。T3/T4 可以当定 时器使用,也可以当串口的波特率发生器和可编程时钟输出。 13.1 定时器的相关寄存器 位地址与符号 符号 描述 地址 复位值 B7 B6 B5 B4 B3 B2 B1 B0 TCON 定时器控制寄存器 88H TF1 TR1 TF0 TR0 IE1 IT1 IE0 IT0 0000,0000 TMOD 定时器模式寄存器 89H GATE C/T M1 M0 GATE C/T M1 M0 0000,0000 TL0 定时器 0 低 8 位寄存器 8AH 0000,0000 TL1 定时器 1 低 8 位寄存器 8BH 0000,0000 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 460 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 TH0 定时器 0 高 8 位寄存器 8CH 0000,0000 TH1 定时器 1 高 8 位寄存器 8DH 0000,0000 AUXR 辅助寄存器 1 8EH T0x12 T1x12 UART_M0x6 T2R T2_C/T T2x12 EXTRAM INTCLKO 中断与时钟输出控制寄存器 8FH - EX4 EX3 EX2 - T2CLKO T1CLKO WKTCL 掉电唤醒定时器低字节 AAH WKTCH 掉电唤醒定时器高字节 ABH WKTEN T4T3M 定时器 4/3 控制寄存器 D1H T4R T4H 定时器 4 高字节 D2H 0000,0000 T4L 定时器 4 低字节 D3H 0000,0000 T3H 定时器 3 高字节 D4H 0000,0000 T3L 定时器 3 低字节 D5H 0000,0000 T2H 定时器 2 高字节 D6H 0000,0000 T2L 定时器 2 低字节 D7H 0000,0000 符号 描述 S1ST2 0000,0001 T0CLKO x000,x000 1111,1111 0111,1111 T4_C/T T4x12 T4CLKO T3R T3_C/T T3x12 T3CLKO 0000,0000 位地址与符号 地址 复位值 B7 B6 B5 B4 B3 B2 B1 B0 TM2PS 定时器 2 时钟预分频寄存器 FEA2H 0000,0000 TM3PS 定时器 3 时钟预分频寄存器 FEA3H 0000,0000 TM4PS 定时器 4 时钟预分频寄存器 FEA4H 0000,0000 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 461 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 13.2 定时器 0/1 定时器 0/1 控制寄存器(TCON) 13.2.1 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 TCON 88H TF1 TR1 TF0 TR0 IE1 IT1 IE0 IT0 TF1:T1溢出中断标志。T1被允许计数以后,从初值开始加1计数。当产生溢出时由硬件将TF1位置“1”, 并向CPU请求中断,一直保持到CPU响应中断时,才由硬件清“0”(也可由查询软件清“0”)。 TR1:定时器T1的运行控制位。该位由软件置位和清零。当GATE(TMOD.7)=0,TR1=1时就允许T1开 始计数,TR1=0时禁止T1计数。当GATE(TMOD.7)=1,TR1=1且INT1输入高电平时,才允许T1 计数。 TF0:T0溢出中断标志。T0被允许计数以后,从初值开始加1计数,当产生溢出时,由硬件置“1”TF0, 向CPU请求中断,一直保持CPU响应该中断时,才由硬件清0(也可由查询软件清0)。 TR0:定时器T0的运行控制位。该位由软件置位和清零。当GATE(TMOD.3)=0,TR0=1时就允许T0开 始计数,TR0=0时禁止T0计数。当GATE(TMOD.3)=1,TR0=1且INT0输入高电平时,才允许T0 计数,TR0=0时禁止T0计数。 IE1:外部中断1请求源(INT1/P3.3)标志。IE1=1,外部中断向CPU请求中断,当CPU响应该中断时由 硬件清“0”IE1。 IT1:外部中断源1触发控制位。IT1=0,上升沿或下降沿均可触发外部中断1。IT1=1,外部中断1程控为 下降沿触发方式。 IE0:外部中断0请求源(INT0/P3.2)标志。IE0=1外部中断0向CPU请求中断,当CPU响应外部中断时, 由硬件清“0”IE0(边沿触发方式)。 IT0:外部中断源0触发控制位。IT0=0,上升沿或下降沿均可触发外部中断0。IT0=1,外部中断0程控为 下降沿触发方式。 定时器 0/1 模式寄存器(TMOD) 13.2.2 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 TMOD 89H T1_GATE T1_C/T T1_M1 T1_M0 T0_GATE T0_C/T T0_M1 T0_M0 T1_GATE:控制定时器1,置1时只有在INT1脚为高及TR1控制位置1时才可打开定时器/计数器1。 T0_GATE:控制定时器0,置1时只有在INT0脚为高及TR0控制位置1时才可打开定时器/计数器0。 T1_C/T:控制定时器1用作定时器或计数器,清0则用作定时器(对内部系统时钟进行计数),置1用作 计数器(对引脚T1/P3.5外部脉冲进行计数)。 T0_C/T:控制定时器0用作定时器或计数器,清0则用作定时器(对内部系统时钟进行计数),置1用作 计数器(对引脚T0/P3.4外部脉冲进行计数)。 T1_M1/T1_M0:定时器定时器/计数器1模式选择 T1_M1 T1_M0 0 0 定时器/计数器1工作模式 16位自动重载模式 当[TH1,TL1]中的16位计数值溢出时,系统会自动将内部16位 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 462 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 重载寄存器中的重载值装入[TH1,TL1]中。 16位不自动重载模式 0 1 当[TH1,TL1]中的16位计数值溢出时,定时器1将从0开始计数 8位自动重载模式 1 0 当TL1中的8位计数值溢出时,系统会自动将TH1中的重载值 装入TL1中。 1 1 T1停止工作 T0_M1/T0_M0:定时器定时器/计数器0模式选择 T0_M1 定时器/计数器0工作模式 T0_M0 16位自动重载模式 0 0 当[TH0,TL0]中的16位计数值溢出时,系统会自动将内部16位 重载寄存器中的重载值装入[TH0,TL0]中。 16位不自动重载模式 0 1 当[TH0,TL0]中的16位计数值溢出时,定时器0将从0开始计数 8位自动重载模式 1 0 当TL0中的8位计数值溢出时,系统会自动将TH0中的重载值 装入TL0中。 不可屏蔽中断的16位自动重载模式 与模式0相同,不可屏蔽中断,中断优先级最高,高于其他所 1 1 有中断的优先级,并且不可关闭,可用作操作系统的系统节拍 定时器,或者系统监控定时器。 13.2.3 定时器 0 模式 0(16 位自动重装载模式) 此模式下定时器/计数器 0 作为可自动重装载的 16 位计数器,如下图所示: AUXR.7/T0x12=0 ÷12 SYSclk TF0 C/T=0 Interrupt Toggle ÷1 TH0 (8bits) AUXR.7/T0x12=1 C/T=1 T0 Pin TL0 (8bits) control T0CLKO TR0 GATE INT0 T0CLKO P3.5 RL_TH0 (8bits) RL_TL0 (8bits) 定时器/计数器 0 的模式 0:16 位自动重装载模式 当 GATE=0(TMOD.3)时,如 TR0=1,则定时器计数。GATE=1 时,允许由外部输入 INT0 控制定时器 0, 这样可实现脉宽测量。TR0 为 TCON 寄存器内的控制位,TCON 寄存器各位的具体功能描述见上节 TCON 寄 存器的介绍。 当 C/T=0 时,多路开关连接到系统时钟的分频输出,T0 对内部系统时钟计数,T0 工作在定时方式。当 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 463 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 C/T=1 时,多路开关连接到外部脉冲输入 P3.4/T0,即 T0 工作在计数方式。 STC 单片机的定时器 0 有两种计数速率:一种是 12T 模式,每 12 个时钟加 1,与传统 8051 单片机相同; 另外一种是 1T 模式,每个时钟加 1,速度是传统 8051 单片机的 12 倍。T0 的速率由特殊功能寄存器 AUXR 中的 T0x12 决定,如果 T0x12=0,T0 则工作在 12T 模式;如果 T0x12=1,T0 则工作在 1T 模式 定时器 0 有两个隐藏的寄存器 RL_TH0 和 RL_TL0。RL_TH0 与 TH0 共有同一个地址,RL_TL0 与 TL0 共有同一个地址。当 TR0=0 即定时器/计数器 0 被禁止工作时,对 TL0 写入的内容会同时写入 RL_TL0,对 TH0 写入的内容也会同时写入 RL_TH0。当 TR0=1 即定时器/计数器 0 被允许工作时,对 TL0 写入内容,实 际上不是写入当前寄存器 TL0 中,而是写入隐藏的寄存器 RL_TL0 中,对 TH0 写入内容,实际上也不是写入 当前寄存器 TH0 中,而是写入隐藏的寄存器 RL_TH0,这样可以巧妙地实现 16 位重装载定时器。当读 TH0 和 TL0 的内容时,所读的内容就是 TH0 和 TL0 的内容,而不是 RL_TH0 和 RL_TL0 的内容。 当定时器 0 工作在模式 0(TMOD[1:0]/[M1,M0]=00B)时,[TH0,TL0]的溢出不仅置位 TF0,而且会自动 将[RL_TH0,RL_TL0]的内容重新装入[TH0,TL0]。 当 T0CLKO/INT_CLKO.0=1 时,P3.5/T1 管脚配置为定时器 0 的时钟输出 T0CLKO。输出时钟频率为 T0 溢出率/2。 如果 C/T=0,定时器/计数器 T0 对内部系统时钟计数,则: T0 工作在 1T 模式(AUXR.7/T0x12=1)时的输出时钟频率 = (SYSclk)/(65536-[RL_TH0, RL_TL0])/2 T0 工作在 12T 模式(AUXR.7/T0x12=0)时的输出时钟频率 = (SYSclk)/12/(65536-[RL_TH0, RL_TL0])/2 如果 C/T=1,定时器/计数器 T0 是对外部脉冲输入(P3.4/T0)计数,则: 输出时钟频率 = (T0_Pin_CLK) / (65536-[RL_TH0, RL_TL0])/2 13.2.4 定时器 0 模式 1(16 位不可重装载模式) 此模式下定时器/计数器 0 工作在 16 位不可重装载模式,如下图所示 AUXR.7/T0x12=0 ÷12 SYSclk TF0 C/T=0 Interrupt Toggle ÷1 TH0 (8bits) AUXR.7/T0x12=1 C/T=1 T0 Pin TL0 (8bits) T0CLKO P3.5 control T0CLKO TR0 GATE INT0 定时器/计数器 0 的模式 1:16 位不可重装载模式 此模式下,定时器/计数器 0 配置为 16 位不可重装载模式,由 TL0 的 8 位和 TH0 的 8 位所构成。TL0 的 8 位溢出向 TH0 进位,TH0 计数溢出置位 TCON 中的溢出标志位 TF0。 当 GATE=0(TMOD.3)时,如 TR0=1,则定时器计数。GATE=1 时,允许由外部输入 INT0 控制定时器 0, 这样可实现脉宽测量。TR0 为 TCON 寄存器内的控制位,TCON 寄存器各位的具体功能描述见上节 TCON 寄 存器的介绍。 当 C/T=0 时,多路开关连接到系统时钟的分频输出,T0 对内部系统时钟计数,T0 工作在定时方式。当 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 464 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 C/T=1 时,多路开关连接到外部脉冲输入 P3.4/T0,即 T0 工作在计数方式。 STC 单片机的定时器 0 有两种计数速率:一种是 12T 模式,每 12 个时钟加 1,与传统 8051 单片机相同; 另外一种是 1T 模式,每个时钟加 1,速度是传统 8051 单片机的 12 倍。T0 的速率由特殊功能寄存器 AUXR 中的 T0x12 决定,如果 T0x12=0,T0 则工作在 12T 模式;如果 T0x12=1,T0 则工作在 1T 模式 13.2.5 定时器 0 模式 2(8 位自动重装载模式) 此模式下定时器/计数器 0 作为可自动重装载的 8 位计数器,如下图所示: AUXR.7/T0x12=0 ÷12 SYSclk TF0 C/T=0 Interrupt Toggle ÷1 TL0 (8bits) AUXR.7/T0x12=1 C/T=1 T0 Pin T0CLKO P3.5 control T0CLKO TR0 TH0 (8bits) GATE INT0 定时器/计数器 0 的模式 2:8 位自动重装载模式 TL0 的溢出不仅置位 TF0,而且将 TH0 的内容重新装入 TL0,TH0 内容由软件预置,重装时 TH0 内容不 变。 当 T0CLKO/INT_CLKO.0=1 时,P3.5/T1 管脚配置为定时器 0 的时钟输出 T0CLKO。输出时钟频率为 T0 溢出率/2。 如果 C/T=0,定时器/计数器 T0 对内部系统时钟计数,则: T0 工作在 1T 模式(AUXR.7/T0x12=1)时的输出时钟频率 = (SYSclk)/(256-TH0)/2 T0 工作在 12T 模式(AUXR.7/T0x12=0)时的输出时钟频率 = (SYSclk)/12/(256-TH0)/2 如果 C/T=1,定时器/计数器 T0 是对外部脉冲输入(P3.4/T0)计数,则: 输出时钟频率 = (T0_Pin_CLK) / (256-TH0)/2 13.2.6 定时器 0 模式 3(不可屏蔽中断 16 位自动重装载,实时操作 系统节拍器) 对定时器/计数器 0,其工作模式模式 3 与工作模式 0 是一样的(下图定时器模式 3 的原理图,与工作模 式 0 是一样的)。唯一不同的是:当定时器/计数器 0 工作在模式 3 时,只需允许 ET0/IE.1(定时器/计数器 0 中 断允许位),不需要允许 EA/IE.7(总中断使能位)就能打开定时器/计数器 0 的中断,此模式下的定时器/计数器 0 中断与总中断使能位 EA 无关,一旦工作在模式 3 下的定时器/计数器 0 中断被打开(ET0=1),那么该中断是 不可屏蔽的,该中断的优先级是最高的,即该中断不能被任何中断所打断,而且该中断打开后既不受 EA/IE.7 控制也不再受 ET0 控制,当 EA=0 或 ET0=0 时都不能屏蔽此中断。故将此模式称为不可屏蔽中断的 16 位自 动重装载模式。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 465 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 AUXR.7/T0x12=0 ÷12 SYSclk TF0 C/T=0 Interrupt Toggle ÷1 TH0 (8bits) AUXR.7/T0x12=1 C/T=1 T0 Pin TL0 (8bits) T0CLKO P3.5 control T0CLKO TR0 RL_TH0 (8bits) GATE INT0 RL_TL0 (8bits) 定时器/计数器 0 的模式 3:不可屏蔽中断的 16 位自动重装载模式 注意:当定时器/计数器 0 工作在模式 3(不可屏蔽中断的 16 位自动重装载模式)时,不需要允许 EA/IE.7(总中断使能位), 只需允许 ET0/IE.1(定时器/计数器 0 中断允许位)就能打开定时器/计数器 0 的中断,此模式下的定时器/计数器 0 中 断与总中断使能位 EA 无关。一旦此模式下的定时器/计数器 0 中断被打开后,该定时器/计数器 0 中断优先级就是 最高的,它不能被其它任何中断所打断(不管是比定时器/计数器 0 中断优先级低的中断还是比其优先级高的中断, 都不能打断此时的定时器/计数器 0 中断),而且该中断打开后既不受 EA/IE.7 控制也不再受 ET0 控制了,清零 EA 或 ET0 都不能关闭此中断。 13.2.7 定时器 1 模式 0(16 位自动重装载模式) 此模式下定时器/计数器 1 作为可自动重装载的 16 位计数器,如下图所示: AUXR.6/T1x12=0 ÷12 SYSclk TF1 C/T=0 Interrupt Toggle ÷1 TH1 (8bits) AUXR.6/T1x12=1 C/T=1 T1 Pin TL1 (8bits) T1CLKO P3.4 control T1CLKO TR1 GATE INT1 RL_TH1 (8bits) RL_TL1 (8bits) 定时器/计数器 1 的模式 0:16 位自动重装载模式 当 GATE=0(TMOD.7)时,如 TR1=1,则定时器计数。GATE=1 时,允许由外部输入 INT1 控制定时器 1, 这样可实现脉宽测量。TR1 为 TCON 寄存器内的控制位,TCON 寄存器各位的具体功能描述见上节 TCON 寄 存器的介绍。 当 C/T=0 时,多路开关连接到系统时钟的分频输出,T1 对内部系统时钟计数,T1 工作在定时方式。当 C/T=1 时,多路开关连接到外部脉冲输入 P3.5/T1,即 T1 工作在计数方式。 STC 单片机的定时器 1 有两种计数速率:一种是 12T 模式,每 12 个时钟加 1,与传统 8051 单片机相同; 另外一种是 1T 模式,每个时钟加 1,速度是传统 8051 单片机的 12 倍。T1 的速率由特殊功能寄存器 AUXR 中的 T1x12 决定,如果 T1x12=0,T1 则工作在 12T 模式;如果 T1x12=1,T1 则工作在 1T 模式 定时器 1 有两个隐藏的寄存器 RL_TH1 和 RL_TL1。RL_TH1 与 TH1 共有同一个地址,RL_TL1 与 TL1 共有同一个地址。当 TR1=0 即定时器/计数器 1 被禁止工作时,对 TL1 写入的内容会同时写入 RL_TL1,对 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 466 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 TH1 写入的内容也会同时写入 RL_TH1。当 TR1=1 即定时器/计数器 1 被允许工作时,对 TL1 写入内容,实 际上不是写入当前寄存器 TL1 中,而是写入隐藏的寄存器 RL_TL1 中,对 TH1 写入内容,实际上也不是写入 当前寄存器 TH1 中,而是写入隐藏的寄存器 RL_TH1,这样可以巧妙地实现 16 位重装载定时器。当读 TH1 和 TL1 的内容时,所读的内容就是 TH1 和 TL1 的内容,而不是 RL_TH1 和 RL_TL1 的内容。 当定时器 1 工作在模式 1(TMOD[5:4]/[M1,M0]=00B)时,[TH1,TL1]的溢出不仅置位 TF1,而且会自动 将[RL_TH1,RL_TL1]的内容重新装入[TH1,TL1]。 当 T1CLKO/INT_CLKO.1=1 时,P3.4/T0 管脚配置为定时器 1 的时钟输出 T1CLKO。输出时钟频率为 T1 溢出率/2。 如果 C/T=0,定时器/计数器 T1 对内部系统时钟计数,则: T1 工作在 1T 模式(AUXR.6/T1x12=1)时的输出时钟频率 = (SYSclk)/(65536-[RL_TH1, RL_TL1])/2 T1 工作在 12T 模式(AUXR.6/T1x12=0)时的输出时钟频率 = (SYSclk)/12/(65536-[RL_TH1, RL_TL1])/2 如果 C/T=1,定时器/计数器 T1 是对外部脉冲输入(P3.5/T1)计数,则: 输出时钟频率 = (T1_Pin_CLK) / (65536-[RL_TH1, RL_TL1])/2 13.2.8 定时器 1 模式 1(16 位不可重装载模式) 此模式下定时器/计数器 1 工作在 16 位不可重装载模式,如下图所示 AUXR.6/T1x12=0 ÷12 SYSclk TF1 C/T=0 Interrupt Toggle ÷1 TH1 (8bits) AUXR.6/T1x12=1 C/T=1 T1 Pin TL1 (8bits) T1CLKO P3.4 control T1CLKO TR1 GATE INT1 定时器/计数器 1 的模式 1:16 位不可重装载模式 此模式下,定时器/计数器 1 配置为 16 位不可重装载模式,由 TL1 的 8 位和 TH1 的 8 位所构成。TL1 的 8 位溢出向 TH1 进位,TH1 计数溢出置位 TCON 中的溢出标志位 TF1。 当 GATE=0(TMOD.7)时,如 TR1=1,则定时器计数。GATE=1 时,允许由外部输入 INT1 控制定时器 1, 这样可实现脉宽测量。TR1 为 TCON 寄存器内的控制位,TCON 寄存器各位的具体功能描述见上节 TCON 寄 存器的介绍。 当 C/T=0 时,多路开关连接到系统时钟的分频输出,T1 对内部系统时钟计数,T1 工作在定时方式。当 C/T=1 时,多路开关连接到外部脉冲输入 P3.5/T1,即 T1 工作在计数方式。 STC 单片机的定时器 1 有两种计数速率:一种是 12T 模式,每 12 个时钟加 1,与传统 8051 单片机相同; 另外一种是 1T 模式,每个时钟加 1,速度是传统 8051 单片机的 12 倍。T1 的速率由特殊功能寄存器 AUXR 中的 T1x12 决定,如果 T1x12=0,T1 则工作在 12T 模式;如果 T1x12=1,T1 则工作在 1T 模式 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 467 - STC8H 系列技术手册 13.2.9 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 定时器 1 模式 2(8 位自动重装载模式) 此模式下定时器/计数器 1 作为可自动重装载的 8 位计数器,如下图所示: AUXR.6/T1x12=0 ÷12 SYSclk TF1 C/T=0 Interrupt Toggle ÷1 TL1 (8bits) AUXR.6/T1x12=1 C/T=1 T1 Pin T1CLKO P3.4 control T1CLKO TR1 TH1 (8bits) GATE INT1 定时器/计数器 1 的模式 2:8 位自动重装载模式 TL1 的溢出不仅置位 TF1,而且将 TH1 的内容重新装入 TL1,TH1 内容由软件预置,重装时 TH1 内容不 变。 当 T1CLKO/INT_CLKO.1=1 时,P3.4/T0 管脚配置为定时器 1 的时钟输出 T1CLKO。输出时钟频率为 T1 溢出率/2。 如果 C/T=0,定时器/计数器 T1 对内部系统时钟计数,则: T1 工作在 1T 模式(AUXR.6/T1x12=1)时的输出时钟频率 = (SYSclk)/(256-TH1)/2 T1 工作在 12T 模式(AUXR.6/T1x12=0)时的输出时钟频率 = (SYSclk)/12/(256-TH1)/2 如果 C/T=1,定时器/计数器 T1 是对外部脉冲输入(P3.5/T1)计数,则: 输出时钟频率 = (T1_Pin_CLK) / (256-TH1)/2 13.2.10 定时器 0 计数寄存器(TL0,TH0) 符号 地址 TL0 8AH TH0 8CH B7 B6 B5 B4 B3 B2 B1 B0 当定时器/计数器0工作在16位模式(模式0、模式1、模式3)时,TL0和TH0组合成为一个16位寄存器, TL0为低字节,TH0为高字节。若为8位模式(模式2)时,TL0和TH0为两个独立的8位寄存器。 13.2.11 定时器 1 计数寄存器(TL1,TH1) 符号 地址 TL1 8BH TH1 8DH B7 B6 B5 B4 B3 B2 B1 B0 当定时器/计数器1工作在16位模式(模式0、模式1)时,TL1和TH1组合成为一个16位寄存器,TL1为低 字节,TH1为高字节。若为8位模式(模式2)时,TL1和TH1为两个独立的8位寄存器。 13.2.12 辅助寄存器 1(AUXR) 符号 地址 深圳国芯人工智能有限公司 B7 B6 B5 国内分销商电话: 0513-5501 2928/2929/2966 B4 B3 B2 B1 传真: 0513-5501 2926/2956/2947 B0 - 468 - STC8H 系列技术手册 AUXR 官方网站: www.STCMCUDATA.com 8EH T0x12 T1x12 UART_M0x6 技术支持: 19864585985 选型顾问: 13922805190 T2R EXTRAM S1ST2 T2_C/T T2x12 T0x12:定时器0速度控制位 0:12T 模式,即 CPU 时钟 12 分频(FOSC/12) 1:1T 模式,即 CPU 时钟不分频分频(FOSC/1) T1x12:定时器1速度控制位 0:12T 模式,即 CPU 时钟 12 分频(FOSC/12) 1:1T 模式,即 CPU 时钟不分频分频(FOSC/1) 13.2.13 中断与时钟输出控制寄存器(INTCLKO) 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 INTCLKO 8FH - EX4 EX3 EX2 - T2CLKO T1CLKO T0CLKO T0CLKO:定时器0时钟输出控制 0:关闭时钟输出 1:使能 P3.5 口的是定时器 0 时钟输出功能 当定时器 0 计数发生溢出时,P3.5 口的电平自动发生翻转。 T1CLKO:定时器1时钟输出控制 0:关闭时钟输出 1:使能 P3.4 口的是定时器 1 时钟输出功能 当定时器 1 计数发生溢出时,P3.4 口的电平自动发生翻转。 13.2.14 定时器 0 计算公式 定时器模式 定时器速度 周期计算公式 1T 定时器周期 = 12T 定时器周期 = 1T 定时器周期 = 12T 定时器周期 = 1T 定时器周期 = 12T 定时器周期 = SYSclk 模式0/3 (16位自动重载) 65536 – [TH0, TL0] SYSclk 65536 – [TH0, TL0] SYSclk 模式1 (16位不自动重载) 65536 – [TH0, TL0] SYSclk 256 – TH0 SYSclk 模式2 (8位自动重载) 深圳国芯人工智能有限公司 65536 – [TH0, TL0] 256 – TH0 SYSclk 国内分销商电话: 0513-5501 2928/2929/2966 (自动重载) ×12 (自动重载) (需软件装载) ×12 (需软件装载) (自动重载) ×12 (自动重载) 传真: 0513-5501 2926/2956/2947 - 469 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 13.2.15 定时器 1 计算公式 定时器模式 定时器速度 周期计算公式 1T 定时器周期 = 12T 定时器周期 = 1T 定时器周期 = 12T 定时器周期 = 1T 定时器周期 = 12T 定时器周期 = SYSclk 模式0 (16位自动重载) 65536 – [TH1, TL1] SYSclk 65536 – [TH1, TL1] SYSclk 模式1 (16位不自动重载) 65536 – [TH1, TL1] SYSclk 256 – TH1 SYSclk 模式2 (8位自动重载) 深圳国芯人工智能有限公司 65536 – [TH1, TL1] 256 – TH1 SYSclk 国内分销商电话: 0513-5501 2928/2929/2966 (自动重载) ×12 (自动重载) (需软件装载) ×12 (需软件装载) (自动重载) ×12 (自动重载) 传真: 0513-5501 2926/2956/2947 - 470 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 13.3 定时器 2(24 位定时器,8 位预分频+16 位定时) 13.3.1 辅助寄存器 1(AUXR) 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 AUXR 8EH T0x12 T1x12 UART_M0x6 T2R T2_C/T T2x12 EXTRAM S1ST2 T2R:定时器2的运行控制位 0:定时器 2 停止计数 1:定时器 2 开始计数 T2_C/T:控制定时器2用作定时器或计数器,清0则用作定时器(对内部系统时钟进行计数),置1用作 计数器(对引脚T2/P1.2外部脉冲进行计数)。 T2x12:定时器2速度控制位 0:12T 模式,即 CPU 时钟 12 分频(FOSC/12) 1:1T 模式,即 CPU 时钟不分频分频(FOSC/1) 13.3.2 中断与时钟输出控制寄存器(INTCLKO) 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 INTCLKO 8FH - EX4 EX3 EX2 - T2CLKO T1CLKO T0CLKO T2CLKO:定时器2时钟输出控制 0:关闭时钟输出 1:使能 P1.3 口的是定时器 2 时钟输出功能 当定时器 2 计数发生溢出时,P1.3 口的电平自动发生翻转。 13.3.3 定时器 2 计数寄存器(T2L,T2H) 符号 地址 T2L D7H T2H D6H B7 B6 B5 B4 B3 B2 B1 B0 定时器/计数器2的工作模式固定为16位重载模式,T2L和T2H组合成为一个16位寄存器,T2L为低字节, T2H为高字节。当[T2H,T2L]中的16位计数值溢出时,系统会自动将内部16位重载寄存器中的重载 值装入[T2H,T2L]中。 13.3.4 定时器 2 的 8 位预分频寄存器(TM2PS) 符号 地址 TM2PS FEA2H B7 B6 B5 B4 B3 B2 B1 B0 定时器2的时钟 = 系统时钟SYSclk ÷ ( TM2PS + 1 ) 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 471 - STC8H 系列技术手册 13.3.5 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 定时器 2 工作模式 定时器/计数器 2 的原理框图如下: AUXR.2/T2x12=0 ÷12 SYSclk TM2PS T2IF T2_C/T=0 ÷1 T2H (8bits) AUXR.2/T2x12=1 T2_C/T=1 T2 Pin Interrupt T2L (8bits) T2CLKO Toggle control T2CLKO T2R RL_T2H (8bits) RL_T2L (8bits) 定时器/计数器 2 的工作模式:16 位自动重装载模式 T2R/AUXR.4 为 AUXR 寄存器内的控制位,AUXR 寄存器各位的具体功能描述见上节 AUXR 寄存器的介 绍。 当 T2_C/T=0 时,多路开关连接到系统时钟输出,T2 对内部系统时钟计数, T2 工作在定时方式。 当 T2_C/T=1 时,多路开关连接到外部脉冲输 T2,即 T2 工作在计数方式。 STC 单片机的定时器 2 有两种计数速率:一种是 12T 模式,每 12 个时钟加 1,与传统 8051 单片机相同; 另外一种是 1T 模式,每个时钟加 1,速度是传统 8051 单片机的 12 倍。T2 的速率由特殊功能寄存器 AUXR 中的 T2x12 决定,如果 T2x12=0,T2 则工作在 12T 模式;如果 T2x12=1,T2 则工作在 1T 模式 定时器 2 有两个隐藏的寄存器 RL_T2H 和 RL_T2L。RL_T2H 与 T2H 共有同一个地址,RL_T2L 与 T2L 共有同一个地址。当 T2R=0 即定时器/计数器 2 被禁止工作时,对 T2L 写入的内容会同时写入 RL_T2L,对 T2H 写入的内容也会同时写入 RL_T2H。当 T2R=1 即定时器/计数器 2 被允许工作时,对 T2L 写入内容,实 际上不是写入当前寄存器 T2L 中,而是写入隐藏的寄存器 RL_T2L 中,对 T2H 写入内容,实际上也不是写入 当前寄存器 T2H 中,而是写入隐藏的寄存器 RL_T2H,这样可以巧妙地实现 16 位重装载定时器。当读 T2H 和 T2L 的内容时,所读的内容就是 T2H 和 T2L 的内容,而不是 RL_T2H 和 RL_T2L 的内容。 [T2H,T2L]的溢出不仅置位中断请求标志位(T2IF),使 CPU 转去执行定时器 2 的中断程序,而且会自动 将[RL_T2H,RL_T2L]的内容重新装入[T2H,T2L]。 13.3.6 定时器 2 计算公式 定时器速度 周期计算公式 1T 定时器周期 = 12T 定时器周期 = 65536 – [T2H, T2L] SYSclk/(TM2PS+1) 深圳国芯人工智能有限公司 65536 – [T2H, T2L] SYSclk/(TM2PS+1) (自动重载) ×12 (自动重载) 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 472 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 13.4 定时器 3/4(24 位定时器,8 位预分频+16 位定时) 13.4.1 定时器 4/3 控制寄存器(T4T3M) 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 T4T3M D1H T4R T4_C/T T4x12 T4CLKO T3R T3_C/T T3x12 T3CLKO T4R:定时器4的运行控制位 0:定时器 4 停止计数 1:定时器 4 开始计数 T4_C/T:控制定时器4用作定时器或计数器,清0则用作定时器(对内部系统时钟进行计数),置1用作 计数器(对引脚T4/P0.6外部脉冲进行计数)。 T4x12:定时器4速度控制位 0:12T 模式,即 CPU 时钟 12 分频(FOSC/12) 1:1T 模式,即 CPU 时钟不分频分频(FOSC/1) T4CLKO:定时器4时钟输出控制 0:关闭时钟输出 1:使能 P0.7 口的是定时器 4 时钟输出功能 当定时器 4 计数发生溢出时,P0.7 口的电平自动发生翻转。 T3R:定时器3的运行控制位 0:定时器 3 停止计数 1:定时器 3 开始计数 T3_C/T:控制定时器3用作定时器或计数器,清0则用作定时器(对内部系统时钟进行计数),置1用作 计数器(对引脚T3/P0.4外部脉冲进行计数)。 T3x12:定时器3速度控制位 0:12T 模式,即 CPU 时钟 12 分频(FOSC/12) 1:1T 模式,即 CPU 时钟不分频分频(FOSC/1) T3CLKO:定时器3时钟输出控制 0:关闭时钟输出 1:使能 P0.5 口的是定时器 3 时钟输出功能 当定时器 3 计数发生溢出时,P0.5 口的电平自动发生翻转。 13.4.2 定时器 3 计数寄存器(T3L,T3H) 符号 地址 T3L D5H T3H D4H B7 B6 B5 B4 B3 B2 B1 B0 定时器/计数器3的工作模式固定为16位重载模式,T3L和T3H组合成为一个16位寄存器,T3L为低字节, T3H为高字节。当[T3H,T3L]中的16位计数值溢出时,系统会自动将内部16位重载寄存器中的重载 值装入[T3H,T3L]中。 13.4.3 定时器 4 计数寄存器(T4L,T4H) 符号 地址 T4L D3H 深圳国芯人工智能有限公司 B7 B6 B5 B4 国内分销商电话: 0513-5501 2928/2929/2966 B3 B2 B1 传真: 0513-5501 2926/2956/2947 B0 - 473 - STC8H 系列技术手册 T4H 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 D2H 定时器/计数器 4 的工作模式固定为 16 位重载模式,T4L 和 T4H 组合成为一个 16 位寄存器,T4L 为低 字节,T4H 为高字节。当[T4H,T4L]中的 16 位计数值溢出时,系统会自动将内部 16 位重载寄存器中的 重载值装入[T4H,T4L]中。 13.4.4 定时器 3 的 8 位预分频寄存器(TM3PS) 符号 地址 TM3PS FEA3H B7 B6 B5 B4 B3 B2 B1 B0 B2 B1 B0 定时器3的时钟 = 系统时钟SYSclk ÷ ( TM3PS + 1 ) 13.4.5 定时器 4 的 8 位预分频寄存器(TM4PS) 符号 地址 TM4PS FEA4H B7 B6 B5 B4 B3 定时器4的时钟 = 系统时钟SYSclk ÷ ( TM4PS + 1 ) 13.4.6 定时器 3 工作模式 定时器/计数器 3 的原理框图如下: ÷12 SYSclk T4T3M.1/T3x12=0 TM3PS T3IF T3_C/T=0 ÷1 T3H (8bits) T4T3M.1/T3x12=1 T3_C/T=1 T3 Pin Interrupt T3L (8bits) T3CLKO Toggle control T3CLKO T3R RL_T3H (8bits) RL_T3L (8bits) 定时器/计数器 3 的工作模式:16 位自动重装载模式 T3R/T4T3M.3 为 T4T3M 寄存器内的控制位,T4T3M 寄存器各位的具体功能描述见上节 T4T3M 寄存器的 介绍。 当 T3_C/T=0 时,多路开关连接到系统时钟输出,T3 对内部系统时钟计数, T3 工作在定时方式。 当 T3_C/T=1 时,多路开关连接到外部脉冲输 T3,即 T3 工作在计数方式。 STC 单片机的定时器 3 有两种计数速率:一种是 12T 模式,每 12 个时钟加 1,与传统 8051 单片机相同; 另外一种是 1T 模式,每个时钟加 1,速度是传统 8051 单片机的 12 倍。T3 的速率由特殊功能寄存器 T4T3M 中的 T3x12 决定,如果 T3x12=0,T3 则工作在 12T 模式;如果 T3x12=1,T3 则工作在 1T 模式 定时器 3 有两个隐藏的寄存器 RL_T3H 和 RL_T3L。RL_T3H 与 T3H 共有同一个地址,RL_T3L 与 T3L 共有同一个地址。当 T3R=0 即定时器/计数器 3 被禁止工作时,对 T3L 写入的内容会同时写入 RL_T3L,对 T3H 写入的内容也会同时写入 RL_T3H。当 T3R=1 即定时器/计数器 3 被允许工作时,对 T3L 写入内容,实 际上不是写入当前寄存器 T3L 中,而是写入隐藏的寄存器 RL_T3L 中,对 T3H 写入内容,实际上也不是写入 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 474 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 当前寄存器 T3H 中,而是写入隐藏的寄存器 RL_T3H,这样可以巧妙地实现 16 位重装载定时器。当读 T3H 和 T3L 的内容时,所读的内容就是 T3H 和 T3L 的内容,而不是 RL_T3H 和 RL_T3L 的内容。 [T3H,T3L]的溢出不仅置位中断请求标志位(T3IF),使 CPU 转去执行定时器 3 的中断程序,而且会自动 将[RL_T3H,RL_T3L]的内容重新装入[T3H,T3L]。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 475 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 定时器 4 工作模式 13.4.7 定时器/计数器 4 的原理框图如下: ÷12 SYSclk T4T3M.5/T4x12=0 TM4PS T4IF T4_C/T=0 ÷1 T4H (8bits) T4T3M.5/T4x12=1 T4_C/T=1 T4 Pin Interrupt T4L (8bits) T4CLKO Toggle control T4CLKO T4R RL_T4H (8bits) RL_T4L (8bits) 定时器/计数器 4 的工作模式:16 位自动重装载模式 T4R/T4T3M.7 为 T4T3M 寄存器内的控制位,T4T3M 寄存器各位的具体功能描述见上节 T4T3M 寄存器的 介绍。 当 T4_C/T=0 时,多路开关连接到系统时钟输出,T4 对内部系统时钟计数, T4 工作在定时方式。 当 T4_C/T=1 时,多路开关连接到外部脉冲输 T4,即 T4 工作在计数方式。 STC 单片机的定时器 4 有两种计数速率:一种是 12T 模式,每 12 个时钟加 1,与传统 8051 单片机相同; 另外一种是 1T 模式,每个时钟加 1,速度是传统 8051 单片机的 12 倍。T4 的速率由特殊功能寄存器 T4T3M 中的 T4x12 决定,如果 T4x12=0,T4 则工作在 12T 模式;如果 T4x12=1,T4 则工作在 1T 模式 定时器 4 有两个隐藏的寄存器 RL_T4H 和 RL_T4L。RL_T4H 与 T4H 共有同一个地址,RL_T4L 与 T4L 共有同一个地址。当 T4R=0 即定时器/计数器 4 被禁止工作时,对 T4L 写入的内容会同时写入 RL_T4L,对 T4H 写入的内容也会同时写入 RL_T4H。当 T4R=1 即定时器/计数器 4 被允许工作时,对 T4L 写入内容,实 际上不是写入当前寄存器 T4L 中,而是写入隐藏的寄存器 RL_T4L 中,对 T4H 写入内容,实际上也不是写入 当前寄存器 T4H 中,而是写入隐藏的寄存器 RL_T4H,这样可以巧妙地实现 16 位重装载定时器。当读 T4H 和 T4L 的内容时,所读的内容就是 T4H 和 T4L 的内容,而不是 RL_T4H 和 RL_T4L 的内容。 [T4H,T4L]的溢出不仅置位中断请求标志位(T4IF),使 CPU 转去执行定时器 4 的中断程序,而且会自动 将[RL_T4H,RL_T4L]的内容重新装入[T4H,T4L]。 13.4.8 定时器 3 计算公式 定时器速度 周期计算公式 1T 定时器周期 = 12T 定时器周期 = 65536 – [T3H, T3L] SYSclk/(TM3PS+1) 深圳国芯人工智能有限公司 65536 – [T3H, T3L] SYSclk/(TM3PS+1) (自动重载) ×12 (自动重载) 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 476 - STC8H 系列技术手册 13.4.9 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 定时器 4 计算公式 定时器速度 周期计算公式 1T 定时器周期 = 12T 定时器周期 = 65536 – [T4H, T4L] SYSclk/(TM4PS+1) 深圳国芯人工智能有限公司 65536 – [T4H, T4L] SYSclk/(TM4PS+1) (自动重载) ×12 (自动重载) 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 477 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 13.5 范例程序 定时器 0(模式 0-16 位自动重载),用作定时 13.5.1 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit P10 = P1^0; void TM0_Isr() interrupt 1 { P10 = !P10; } //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; TMOD = 0x00; TL0 = 0x66; TH0 = 0xfc; TR0 = 1; ET0 = 1; EA = 1; //模式 0 //65536-11.0592M/12/1000 //启动定时器 //使能定时器中断 while (1); } 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 478 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 汇编代码 ;测试工作频率为 11.0592MHz P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 000BH TM0ISR ORG 0100H CPL RETI P1.0 MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV SETB SETB SETB TMOD,#00H TL0,#66H TH0,#0FCH TR0 ET0 EA JMP $ TM0ISR: ;测试端口 MAIN: ;模式 0 ;65536-11.0592M/12/1000 ;启动定时器 ;使能定时器中断 END 13.5.2 定时器 0(模式 1-16 位不自动重载),用作定时 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 479 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit P10 = P1^0; void TM0_Isr() interrupt 1 { TL0 = 0x66; TH0 = 0xfc; P10 = !P10; } //重设定时参数 //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; //模式 1 //65536-11.0592M/12/1000 TMOD = 0x01; TL0 = 0x66; TH0 = 0xfc; TR0 = 1; ET0 = 1; EA = 1; //启动定时器 //使能定时器中断 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz P1M1 P1M0 DATA DATA 深圳国芯人工智能有限公司 091H 092H 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 480 - STC8H 系列技术手册 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 官方网站: www.STCMCUDATA.com DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 000BH TM0ISR ORG 0100H MOV MOV CPL RETI TL0,#66H TH0,#0FCH P1.0 MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV SETB SETB SETB TMOD,#01H TL0,#66H TH0,#0FCH TR0 ET0 EA JMP $ 技术支持: 19864585985 选型顾问: 13922805190 TM0ISR: ;重设定时参数 ;测试端口 MAIN: ;模式 1 ;65536-11.0592M/12/1000 ;启动定时器 ;使能定时器中断 END 13.5.3 定时器 0(模式 2-8 位自动重载),用作定时 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 481 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 #include "intrins.h" sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit P10 = P1^0; void TM0_Isr() interrupt 1 { P10 = !P10; } //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; //模式 2 //256-11.0592M/12/76K TMOD = 0x02; TL0 = 0xf4; TH0 = 0xf4; TR0 = 1; ET0 = 1; EA = 1; //启动定时器 //使能定时器中断 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 DATA DATA DATA DATA DATA DATA DATA DATA 深圳国芯人工智能有限公司 091H 092H 093H 094H 095H 096H 0B1H 0B2H 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 482 - STC8H 系列技术手册 P4M1 P4M0 P5M1 P5M0 官方网站: www.STCMCUDATA.com DATA DATA DATA DATA 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 000BH TM0ISR ORG 0100H CPL RETI P1.0 MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV SETB SETB SETB TMOD,#02H TL0,#0F4H TH0,#0F4H TR0 ET0 EA JMP $ 技术支持: 19864585985 选型顾问: 13922805190 TM0ISR: ;测试端口 MAIN: ;模式 2 ;256-11.0592M/12/76K ;启动定时器 ;使能定时器中断 END 定时器 0(模式 3-16 位自动重载不可屏蔽中断),用作定时 13.5.4 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 深圳国芯人工智能有限公司 = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 483 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com sfr sfr sfr sfr sfr sfr P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit P10 = P1^0; void TM0_Isr() interrupt 1 { P10 = !P10; } 技术支持: 19864585985 选型顾问: 13922805190 //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; // //模式 3 //65536-11.0592M/12/1000 TMOD = 0x03; TL0 = 0x66; TH0 = 0xfc; TR0 = 1; ET0 = 1; EA = 1; //启动定时器 //使能定时器中断 //不受 EA 控制 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG 0000H MAIN 000BH 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 484 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com LJMP TM0ISR ORG 0100H CPL RETI P1.0 MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV SETB SETB SETB TMOD,#03H TL0,#66H TH0,#0FCH TR0 ET0 EA JMP $ 技术支持: 19864585985 选型顾问: 13922805190 TM0ISR: ;测试端口 MAIN: ; ;模式 3 ;65536-11.0592M/12/1000 ;启动定时器 ;使能定时器中断 ;不受 EA 控制 END 定时器 0(外部计数-扩展 T0 为外部下降沿中断) 13.5.5 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit P10 = P1^0; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 485 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com void TM0_Isr() interrupt 1 { P10 = !P10; } 技术支持: 19864585985 选型顾问: 13922805190 //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; //外部计数模式 TMOD = 0x04; TL0 = 0xff; TH0 = 0xff; TR0 = 1; ET0 = 1; EA = 1; //启动定时器 //使能定时器中断 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 000BH TM0ISR ORG 0100H CPL RETI P1.0 TM0ISR: ;测试端口 MAIN: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 486 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV SETB SETB SETB TMOD,#04H TL0,#0FFH TH0,#0FFH TR0 ET0 EA JMP $ 技术支持: 19864585985 选型顾问: 13922805190 ;外部计数模式 ;启动定时器 ;使能定时器中断 END 定时器 0(测量脉宽-INT0 高电平宽度) 13.5.6 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr AUXR = 0x8e; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; void INT0_Isr() interrupt 0 { P0 = TL0; P1 = TH0; } //TL0 为测量值低字节 //TH0 为测量值高字节 void main() 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 487 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; //1T 模式 //使能 GATE,INT0 为 1 时使能计时 AUXR = 0x80; TMOD = 0x08; TL0 = 0x00; TH0 = 0x00; while (INT0); TR0 = 1; IT0 = 1; EX0 = 1; EA = 1; //等待 INT0 为低 //启动定时器 //使能 INT0 下降沿中断 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz AUXR P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 8EH 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0003H INT0ISR ORG 0100H MOV MOV RETI P0,TL0 P1,TH0 MOV MOV SP, #5FH P0M0, #00H INT0ISR: ;TL0 为测量值低字节 ;TH0 为测量值高字节 MAIN: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 488 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV MOV JB SETB SETB SETB SETB AUXR,#80H TMOD,#08H TL0,#00H TH0,#00H INT0,$ TR0 IT0 EX0 EA JMP $ 技术支持: 19864585985 选型顾问: 13922805190 ;1T 模式 ;使能 GATE,INT0 为 1 时使能计时 ;等待 INT0 为低 ;启动定时器 ;使能 INT0 下降沿中断 END 定时器 0(模式 0),时钟分频输出 13.5.7 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr INTCLKO = 0x8f; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 489 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; //模式 0 //65536-11.0592M/12/1000 TMOD = 0x00; TL0 = 0x66; TH0 = 0xfc; TR0 = 1; INTCLKO = 0x01; //启动定时器 //使能时钟输出 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz INTCLKO P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 8FH 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP 0000H MAIN ORG 0100H MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV SETB TMOD,#00H TL0,#66H TH0,#0FCH TR0 MAIN: 深圳国芯人工智能有限公司 ;模式 0 ;65536-11.0592M/12/1000 ;启动定时器 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 490 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com MOV INTCLKO,#01H JMP $ 技术支持: 19864585985 选型顾问: 13922805190 ;使能时钟输出 END 定时器 1(模式 0-16 位自动重载),用作定时 13.5.8 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit P10 = P1^0; void TM1_Isr() interrupt 3 { P10 = !P10; } //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; TMOD = 0x00; TL1 = 0x66; TH1 = 0xfc; TR1 = 1; ET1 = 1; EA = 1; 深圳国芯人工智能有限公司 //模式 0 //65536-11.0592M/12/1000 //启动定时器 //使能定时器中断 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 491 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 001BH TM1ISR ORG 0100H CPL RETI P1.0 MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV SETB SETB SETB TMOD,#00H TL1,#66H TH1,#0FCH TR1 ET1 EA JMP $ TM1ISR: ;测试端口 MAIN: ;模式 0 ;65536-11.0592M/12/1000 ;启动定时器 ;使能定时器中断 END 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 492 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 定时器 1(模式 1-16 位不自动重载),用作定时 13.5.9 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit P10 = P1^0; void TM1_Isr() interrupt 3 { TL1 = 0x66; TH1 = 0xfc; P10 = !P10; } //重设定时参数 //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; TMOD = 0x10; TL1 = 0x66; TH1 = 0xfc; TR1 = 1; ET1 = 1; EA = 1; //模式 1 //65536-11.0592M/12/1000 //启动定时器 //使能定时器中断 while (1); } 汇编代码 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 493 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 ;测试工作频率为 11.0592MHz P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 001BH TM1ISR ORG 0100H MOV MOV CPL RETI TL1,#66H TH1,#0FCH P1.0 MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV SETB SETB SETB TMOD,#10H TL1,#66H TH1,#0FCH TR1 ET1 EA JMP $ TM1ISR: ;重设定时参数 ;测试端口 MAIN: ;模式 1 ;65536-11.0592M/12/1000 ;启动定时器 ;使能定时器中断 END 13.5.10 定时器 1(模式 2-8 位自动重载),用作定时 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 494 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit P10 = P1^0; void TM1_Isr() interrupt 3 { P10 = !P10; } //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; //模式 2 //256-11.0592M/12/76K TMOD = 0x20; TL1 = 0xf4; TH1 = 0xf4; TR1 = 1; ET1 = 1; EA = 1; //启动定时器 //使能定时器中断 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz P1M1 P1M0 P0M1 P0M0 DATA DATA DATA DATA 深圳国芯人工智能有限公司 091H 092H 093H 094H 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 495 - STC8H 系列技术手册 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 官方网站: www.STCMCUDATA.com DATA DATA DATA DATA DATA DATA DATA DATA 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 001BH TM1ISR ORG 0100H CPL RETI P1.0 MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV SETB SETB SETB TMOD,#20H TL1,#0F4H TH1,#0F4H TR1 ET1 EA JMP $ 技术支持: 19864585985 选型顾问: 13922805190 TM1ISR: ;测试端口 MAIN: ;模式 2 ;256-11.0592M/12/76K ;启动定时器 ;使能定时器中断 END 13.5.11 定时器 1(外部计数-扩展 T1 为外部下降沿中断) C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr P1M1 P1M0 深圳国芯人工智能有限公司 = = 0x91; 0x92; 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 496 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit P10 = P1^0; void TM1_Isr() interrupt 3 { P10 = !P10; } 技术支持: 19864585985 选型顾问: 13922805190 //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; //外部计数模式 TMOD = 0x40; TL1 = 0xff; TH1 = 0xff; TR1 = 1; ET1 = 1; EA = 1; //启动定时器 //使能定时器中断 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 深圳国芯人工智能有限公司 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 497 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com ORG LJMP ORG LJMP 0000H MAIN 001BH TM1ISR ORG 0100H CPL RETI P1.0 MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV SETB SETB SETB TMOD,#40H TL1,#0FFH TH1,#0FFH TR1 ET1 EA JMP $ 技术支持: 19864585985 选型顾问: 13922805190 TM1ISR: ;测试端口 MAIN: ;外部计数模式 ;启动定时器 ;使能定时器中断 END 13.5.12 定时器 1(测量脉宽-INT1 高电平宽度) C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 深圳国芯人工智能有限公司 = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 498 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com sfr sfr P5M1 P5M0 = = 0xc9; 0xca; sfr AUXR = 0x8e; void INT1_Isr() interrupt 2 { P0 = TL1; P1 = TH1; } 技术支持: 19864585985 选型顾问: 13922805190 //TL1 为测量值低字节 //TH1 为测量值高字节 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; //1T 模式 //使能 GATE,INT1 为 1 时使能计时 AUXR = 0x40; TMOD = 0x80; TL1 = 0x00; TH1 = 0x00; while (INT1); TR1 = 1; IT1 = 1; EX1 = 1; EA = 1; //等待 INT1 为低 //启动定时器 //使能 INT1 下降沿中断 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz AUXR P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 8EH 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP 0000H MAIN 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 499 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com ORG LJMP 0013H INT1ISR ORG 0100H MOV MOV RETI P0,TL1 P1,TH1 MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV MOV JB SETB SETB SETB SETB AUXR,#40H TMOD,#80H TL1,#00H TH1,#00H INT1,$ TR1 IT1 EX1 EA JMP $ 技术支持: 19864585985 选型顾问: 13922805190 INT1ISR: ;TL1 为测量值低字节 ;TH1 为测量值高字节 MAIN: ;1T 模式 ;使能 GATE,INT1 为 1 时使能计时 ;等待 INT1 为低 ;启动定时器 ;使能 INT1 下降沿中断 END 13.5.13 定时器 1(模式 0),时钟分频输出 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr INTCLKO = 0x8f; sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 500 - STC8H 系列技术手册 sfr sfr sfr sfr sfr P3M0 P4M1 P4M0 P5M1 P5M0 官方网站: www.STCMCUDATA.com = = = = = 技术支持: 19864585985 选型顾问: 13922805190 0xb2; 0xb3; 0xb4; 0xc9; 0xca; void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; //模式 0 //65536-11.0592M/12/1000 TMOD = 0x00; TL1 = 0x66; TH1 = 0xfc; TR1 = 1; INTCLKO = 0x02; //启动定时器 //使能时钟输出 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz INTCLKO P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 8FH 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP 0000H MAIN ORG 0100H MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H MAIN: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 501 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com MOV MOV MOV MOV MOV MOV MOV P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV SETB MOV TMOD,#00H TL1,#66H TH1,#0FCH TR1 INTCLKO,#02H JMP $ 技术支持: 19864585985 选型顾问: 13922805190 ;模式 0 ;65536-11.0592M/12/1000 ;启动定时器 ;使能时钟输出 END 13.5.14 定时器 1(模式 0)做串口 1 波特率发生器 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" #define #define FOSC BRT 11059200UL (65536 - FOSC / 115200 / 4) sfr AUXR = 0x8e; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; bit char char char busy; wptr; rptr; buffer[16]; void UartIsr() interrupt 4 { if (TI) { TI = 0; busy = 0; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 502 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 } if (RI) { RI = 0; buffer[wptr++] = SBUF; wptr &= 0x0f; } } void UartInit() { SCON = 0x50; TMOD = 0x00; TL1 = BRT; TH1 = BRT >> 8; TR1 = 1; AUXR = 0x40; wptr = 0x00; rptr = 0x00; busy = 0; } void UartSend(char dat) { while (busy); busy = 1; SBUF = dat; } void UartSendStr(char *p) { while (*p) { UartSend(*p++); } } void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; UartInit(); ES = 1; EA = 1; UartSendStr(“Uart Test !\r\n”); while (1) { 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 503 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 if (rptr != wptr) { UartSend(buffer[rptr++]); rptr &= 0x0f; } } } 汇编代码 ;测试工作频率为 11.0592MHz AUXR DATA 8EH BUSY WPTR RPTR BUFFER BIT DATA DATA DATA 20H.0 21H 22H 23H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0023H UART_ISR ORG 0100H PUSH PUSH MOV ACC PSW PSW,#08H JNB CLR CLR TI,CHKRI TI BUSY ;16 bytes UART_ISR: CHKRI: JNB CLR MOV ANL ADD MOV MOV INC UARTISR_EXIT: POP POP 深圳国芯人工智能有限公司 RI,UARTISR_EXIT RI A,WPTR A,#0FH A,#BUFFER R0,A @R0,SBUF WPTR PSW ACC 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 504 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 RETI UART_INIT: MOV MOV MOV MOV SETB MOV CLR MOV MOV RET SCON,#50H TMOD,#00H TL1,#0E8H TH1,#0FFH TR1 AUXR,#40H BUSY WPTR,#00H RPTR,#00H JB SETB MOV RET BUSY,$ BUSY SBUF,A ;65536-11059200/115200/4=0FFE8H UART_SEND: UART_SENDSTR: CLR MOVC JZ LCALL INC JMP SENDEND: RET A A,@A+DPTR SENDEND UART_SEND DPTR UART_SENDSTR MAIN: MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H LCALL SETB SETB UART_INIT ES EA MOV LCALL DPTR,#STRING UART_SENDSTR MOV XRL ANL JZ MOV ANL A,RPTR A,WPTR A,#0FH LOOP A,RPTR A,#0FH LOOP: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 505 - STC8H 系列技术手册 STRING: 官方网站: www.STCMCUDATA.com ADD MOV MOV LCALL INC JMP A,#BUFFER R0,A A,@R0 UART_SEND RPTR LOOP DB 'Uart Test !',0DH,0AH,00H 技术支持: 19864585985 选型顾问: 13922805190 END 13.5.15 定时器 1(模式 2)做串口 1 波特率发生器 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" #define #define FOSC BRT 11059200UL (256 - FOSC / 115200 / 32) sfr AUXR = 0x8e; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; bit char char char busy; wptr; rptr; buffer[16]; void UartIsr() interrupt 4 { if (TI) { TI = 0; busy = 0; } if (RI) { RI = 0; buffer[wptr++] = SBUF; wptr &= 0x0f; } 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 506 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 } void UartInit() { SCON = 0x50; TMOD = 0x20; TL1 = BRT; TH1 = BRT; TR1 = 1; AUXR = 0x40; wptr = 0x00; rptr = 0x00; busy = 0; } void UartSend(char dat) { while (busy); busy = 1; SBUF = dat; } void UartSendStr(char *p) { while (*p) { UartSend(*p++); } } void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; UartInit(); ES = 1; EA = 1; UartSendStr(“Uart Test !\r\n”); while (1) { if (rptr != wptr) { UartSend(buffer[rptr++]); rptr &= 0x0f; } } } 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 507 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 汇编代码 ;测试工作频率为 11.0592MHz AUXR DATA 8EH BUSY WPTR RPTR BUFFER BIT DATA DATA DATA 20H.0 21H 22H 23H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0023H UART_ISR ORG 0100H PUSH PUSH MOV ACC PSW PSW,#08H JNB CLR CLR TI,CHKRI TI BUSY ;16 bytes UART_ISR: CHKRI: JNB CLR MOV ANL ADD MOV MOV INC UARTISR_EXIT: POP POP RETI RI,UARTISR_EXIT RI A,WPTR A,#0FH A,#BUFFER R0,A @R0,SBUF WPTR PSW ACC UART_INIT: MOV MOV MOV MOV 深圳国芯人工智能有限公司 SCON,#50H TMOD,#20H TL1,#0FDH TH1,#0FDH ;256-11059200/115200/32=0FDH 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 508 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com SETB MOV CLR MOV MOV RET TR1 AUXR,#40H BUSY WPTR,#00H RPTR,#00H JB SETB MOV RET BUSY,$ BUSY SBUF,A 技术支持: 19864585985 选型顾问: 13922805190 UART_SEND: UART_SENDSTR: CLR MOVC JZ LCALL INC JMP SENDEND: RET A A,@A+DPTR SENDEND UART_SEND DPTR UART_SENDSTR MAIN: MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H LCALL SETB SETB UART_INIT ES EA MOV LCALL DPTR,#STRING UART_SENDSTR MOV XRL ANL JZ MOV ANL ADD MOV MOV LCALL INC JMP A,RPTR A,WPTR A,#0FH LOOP A,RPTR A,#0FH A,#BUFFER R0,A A,@R0 UART_SEND RPTR LOOP LOOP: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 509 - STC8H 系列技术手册 STRING: 官方网站: www.STCMCUDATA.com DB 技术支持: 19864585985 选型顾问: 13922805190 'Uart Test !',0DH,0AH,00H END 13.5.16 定时器 2(16 位自动重载),用作定时 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr #define sfr #define T2L T2H AUXR IE2 ET2 AUXINTIF T2IF = = = = sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit P10 = P1^0; void TM2_Isr() interrupt 12 { P10 = !P10; } = 0xd7; 0xd6; 0x8e; 0xaf; 0x04 0xef; 0x01 //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 510 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com T2L = 0x66; T2H = 0xfc; AUXR = 0x10; IE2 = ET2; EA = 1; 技术支持: 19864585985 选型顾问: 13922805190 //65536-11.0592M/12/1000 //启动定时器 //使能定时器中断 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz T2L T2H AUXR IE2 ET2 AUXINTIF T2IF DATA DATA DATA DATA EQU DATA EQU 0D7H 0D6H 8EH 0AFH 04H 0EFH 01H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0063H TM2ISR ORG 0100H CPL RETI P1.0 MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H TM2ISR: ;测试端口 MAIN: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 511 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com MOV MOV MOV MOV SETB T2L,#66H T2H,#0FCH AUXR,#10H IE2,#ET2 EA JMP $ 技术支持: 19864585985 选型顾问: 13922805190 ;65536-11.0592M/12/1000 ;启动定时器 ;使能定时器中断 END 13.5.17 定时器 2(外部计数-扩展 T2 为外部下降沿中断) C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr #define sfr #define T2L T2H AUXR IE2 ET2 AUXINTIF T2IF = = = = sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit P10 = P1^0; void TM2_Isr() interrupt 12 { P10 = !P10; } = 0xd7; 0xd6; 0x8e; 0xaf; 0x04 0xef; 0x01 //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 512 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; T2L = 0xff; T2H = 0xff; AUXR = 0x18; IE2 = ET2; EA = 1; //设置外部计数模式并启动定时器 //使能定时器中断 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz T2L T2H AUXR IE2 ET2 AUXINTIF T2IF DATA DATA DATA DATA EQU DATA EQU 0D7H 0D6H 8EH 0AFH 04H 0EFH 01H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0063H TM2ISR ORG 0100H CPL RETI P1.0 MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H TM2ISR: ;测试端口 MAIN: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 513 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com MOV MOV MOV MOV MOV P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV MOV SETB T2L,#0FFH T2H,#0FFH AUXR,#18H IE2,#ET2 EA JMP $ 技术支持: 19864585985 选型顾问: 13922805190 ;设置外部计数模式并启动定时器 ;使能定时器中断 END 13.5.18 定时器 2,时钟分频输出 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr T2L T2H AUXR INTCLKO = = = = 0xd7; 0xd6; 0x8e; 0x8f; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 514 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P5M1 = 0x00; T2L = 0x66; T2H = 0xfc; AUXR = 0x10; INTCLKO = 0x04; //65536-11.0592M/12/1000 //启动定时器 //使能时钟输出 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz T2L T2H AUXR INTCLKO DATA DATA DATA DATA 0D7H 0D6H 8EH 8FH P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP 0000H MAIN ORG 0100H MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV MOV T2L,#66H T2H,#0FCH AUXR,#10H INTCLKO,#04H JMP $ MAIN: ;65536-11.0592M/12/1000 ;启动定时器 ;使能时钟输出 END 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 515 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 13.5.19 定时器 2 做串口 1 波特率发生器 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" #define #define FOSC BRT 11059200UL (65536 - FOSC / 115200 / 4) sfr sfr sfr AUXR T2H T2L = = = 0x8e; 0xd6; 0xd7; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; bit char char char busy; wptr; rptr; buffer[16]; void UartIsr() interrupt 4 { if (TI) { TI = 0; busy = 0; } if (RI) { RI = 0; buffer[wptr++] = SBUF; wptr &= 0x0f; } } void UartInit() { SCON = 0x50; T2L = BRT; T2H = BRT >> 8; AUXR = 0x15; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 516 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 wptr = 0x00; rptr = 0x00; busy = 0; } void UartSend(char dat) { while (busy); busy = 1; SBUF = dat; } void UartSendStr(char *p) { while (*p) { UartSend(*p++); } } void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; UartInit(); ES = 1; EA = 1; UartSendStr(“Uart Test !\r\n”); while (1) { if (rptr != wptr) { UartSend(buffer[rptr++]); rptr &= 0x0f; } } } 汇编代码 ;测试工作频率为 11.0592MHz AUXR T2H T2L DATA DATA DATA 8EH 0D6H 0D7H BUSY BIT 20H.0 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 517 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com WPTR RPTR BUFFER DATA DATA DATA 21H 22H 23H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0023H UART_ISR ORG 0100H PUSH PUSH MOV ACC PSW PSW,#08H JNB CLR CLR TI,CHKRI TI BUSY 技术支持: 19864585985 选型顾问: 13922805190 ;16 bytes UART_ISR: CHKRI: JNB CLR MOV ANL ADD MOV MOV INC UARTISR_EXIT: POP POP RETI RI,UARTISR_EXIT RI A,WPTR A,#0FH A,#BUFFER R0,A @R0,SBUF WPTR PSW ACC UART_INIT: MOV MOV MOV MOV CLR MOV MOV RET SCON,#50H T2L,#0E8H T2H,#0FFH AUXR,#15H BUSY WPTR,#00H RPTR,#00H JB SETB BUSY,$ BUSY ;65536-11059200/115200/4=0FFE8H UART_SEND: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 518 - STC8H 系列技术手册 MOV RET UART_SENDSTR: CLR MOVC JZ LCALL INC JMP SENDEND: RET 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 SBUF,A A A,@A+DPTR SENDEND UART_SEND DPTR UART_SENDSTR MAIN: MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H LCALL SETB SETB UART_INIT ES EA MOV LCALL DPTR,#STRING UART_SENDSTR MOV XRL ANL JZ MOV ANL ADD MOV MOV LCALL INC JMP A,RPTR A,WPTR A,#0FH LOOP A,RPTR A,#0FH A,#BUFFER R0,A A,@R0 UART_SEND RPTR LOOP DB 'Uart Test !',0DH,0AH,00H LOOP: STRING: END 13.5.20 定时器 2 做串口 2 波特率发生器 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 519 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" #define #define FOSC BRT 11059200UL (65536 - FOSC / 115200 / 4) sfr sfr sfr sfr sfr sfr AUXR T2H T2L S2CON S2BUF IE2 = = = = = = 0x8e; 0xd6; 0xd7; 0x9a; 0x9b; 0xaf; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; bit char char char busy; wptr; rptr; buffer[16]; void Uart2Isr() interrupt 8 { if (S2CON & 0x02) { S2CON &= ~0x02; busy = 0; } if (S2CON & 0x01) { S2CON &= ~0x01; buffer[wptr++] = S2BUF; wptr &= 0x0f; } } void Uart2Init() { S2CON = 0x10; T2L = BRT; T2H = BRT >> 8; AUXR = 0x14; wptr = 0x00; rptr = 0x00; busy = 0; } 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 520 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 void Uart2Send(char dat) { while (busy); busy = 1; S2BUF = dat; } void Uart2SendStr(char *p) { while (*p) { Uart2Send(*p++); } } void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; Uart2Init(); IE2 = 0x01; EA = 1; Uart2SendStr(“Uart Test !\r\n”); while (1) { if (rptr != wptr) { Uart2Send(buffer[rptr++]); rptr &= 0x0f; } } } 汇编代码 ;测试工作频率为 11.0592MHz AUXR T2H T2L S2CON S2BUF IE2 DATA DATA DATA DATA DATA DATA 8EH 0D6H 0D7H 9AH 9BH 0AFH BUSY WPTR BIT DATA 20H.0 21H 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 521 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com RPTR BUFFER DATA DATA 22H 23H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0043H UART2_ISR ORG 0100H PUSH PUSH MOV ACC PSW PSW,#08H MOV JNB ANL CLR A,S2CON ACC.1,CHKRI S2CON,#NOT 02H BUSY 技术支持: 19864585985 选型顾问: 13922805190 ;16 bytes UART2_ISR: CHKRI: JNB ANL MOV ANL ADD MOV MOV INC UART2ISR_EXIT: POP POP RETI ACC.0,UART2ISR_EXIT S2CON,#NOT 01H A,WPTR A,#0FH A,#BUFFER R0,A @R0,S2BUF WPTR PSW ACC UART2_INIT: MOV MOV MOV MOV CLR MOV MOV RET S2CON,#10H T2L,#0E8H T2H,#0FFH AUXR,#14H BUSY WPTR,#00H RPTR,#00H JB SETB BUSY,$ BUSY ;65536-11059200/115200/4=0FFE8H UART2_SEND: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 522 - STC8H 系列技术手册 MOV RET UART2_SENDSTR: CLR MOVC JZ LCALL INC JMP SEND2END: RET 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 S2BUF,A A A,@A+DPTR SEND2END UART2_SEND DPTR UART2_SENDSTR MAIN: MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H LCALL MOV SETB UART2_INIT IE2,#01H EA MOV LCALL DPTR,#STRING UART2_SENDSTR MOV XRL ANL JZ MOV ANL ADD MOV MOV LCALL INC JMP A,RPTR A,WPTR A,#0FH LOOP A,RPTR A,#0FH A,#BUFFER R0,A A,@R0 UART2_SEND RPTR LOOP DB 'Uart Test !',0DH,0AH,00H LOOP: STRING: END 13.5.21 定时器 2 做串口 3 波特率发生器 C 语言代码 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 523 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" #define #define FOSC BRT 11059200UL (65536 - FOSC / 115200 / 4) sfr sfr sfr sfr sfr sfr AUXR T2H T2L S3CON S3BUF IE2 = = = = = = 0x8e; 0xd6; 0xd7; 0xac; 0xad; 0xaf; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x93; 0x94; 0x91; 0x92; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; bit char char char busy; wptr; rptr; buffer[16]; void Uart3Isr() interrupt 17 { if (S3CON & 0x02) { S3CON &= ~0x02; busy = 0; } if (S3CON & 0x01) { S3CON &= ~0x01; buffer[wptr++] = S3BUF; wptr &= 0x0f; } } void Uart3Init() { S3CON = 0x10; T2L = BRT; T2H = BRT >> 8; AUXR = 0x14; wptr = 0x00; rptr = 0x00; busy = 0; } 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 524 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 void Uart3Send(char dat) { while (busy); busy = 1; S3BUF = dat; } void Uart3SendStr(char *p) { while (*p) { Uart3Send(*p++); } } void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; Uart3Init(); IE2 = 0x08; EA = 1; Uart3SendStr(“Uart Test !\r\n”); while (1) { if (rptr != wptr) { Uart3Send(buffer[rptr++]); rptr &= 0x0f; } } } 汇编代码 ;测试工作频率为 11.0592MHz AUXR T2H T2L S3CON S3BUF IE2 DATA DATA DATA DATA DATA DATA 8EH 0D6H 0D7H 0ACH 0ADH 0AFH BUSY WPTR RPTR BIT DATA DATA 20H.0 21H 22H 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 525 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com BUFFER DATA 23H P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 093H 094H 091H 092H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 008BH UART3_ISR ORG 0100H PUSH PUSH MOV ACC PSW PSW,#08H MOV JNB ANL CLR A,S3CON ACC.1,CHKRI S3CON,#NOT 02H BUSY 技术支持: 19864585985 选型顾问: 13922805190 ;16 bytes UART3_ISR: CHKRI: JNB ANL MOV ANL ADD MOV MOV INC UART3ISR_EXIT: POP POP RETI ACC.0,UART3ISR_EXIT S3CON,#NOT 01H A,WPTR A,#0FH A,#BUFFER R0,A @R0,S3BUF WPTR PSW ACC UART3_INIT: MOV MOV MOV MOV CLR MOV MOV RET S3CON,#10H T2L,#0E8H T2H,#0FFH AUXR,#14H BUSY WPTR,#00H RPTR,#00H JB SETB MOV BUSY,$ BUSY S3BUF,A ;65536-11059200/115200/4=0FFE8H UART3_SEND: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 526 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 RET UART3_SENDSTR: CLR MOVC JZ LCALL INC JMP SEND3END: RET A A,@A+DPTR SEND3END UART3_SEND DPTR UART3_SENDSTR MAIN: MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H LCALL MOV SETB UART3_INIT IE2,#08H EA MOV LCALL DPTR,#STRING UART3_SENDSTR MOV XRL ANL JZ MOV ANL ADD MOV MOV LCALL INC JMP A,RPTR A,WPTR A,#0FH LOOP A,RPTR A,#0FH A,#BUFFER R0,A A,@R0 UART3_SEND RPTR LOOP DB 'Uart Test !',0DH,0AH,00H LOOP: STRING: END 13.5.22 定时器 2 做串口 4 波特率发生器 C 语言代码 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 527 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" #define #define FOSC BRT 11059200UL (65536 - FOSC / 115200 / 4) sfr sfr sfr sfr sfr sfr AUXR T2H T2L S4CON S4BUF IE2 = = = = = = 0x8e; 0xd6; 0xd7; 0x84; 0x85; 0xaf; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x93; 0x94; 0x91; 0x92; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; bit char char char busy; wptr; rptr; buffer[16]; void Uart4Isr() interrupt 18 { if (S4CON & 0x02) { S4CON &= ~0x02; busy = 0; } if (S4CON & 0x01) { S4CON &= ~0x01; buffer[wptr++] = S4BUF; wptr &= 0x0f; } } void Uart4Init() { S4CON = 0x10; T2L = BRT; T2H = BRT >> 8; AUXR = 0x14; wptr = 0x00; rptr = 0x00; busy = 0; } 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 528 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 void Uart4Send(char dat) { while (busy); busy = 1; S4BUF = dat; } void Uart4SendStr(char *p) { while (*p) { Uart4Send(*p++); } } void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; Uart4Init(); IE2 = 0x10; EA = 1; Uart4SendStr(“Uart Test !\r\n”); while (1) { if (rptr != wptr) { Uart4Send(buffer[rptr++]); rptr &= 0x0f; } } } 汇编代码 ;测试工作频率为 11.0592MHz AUXR T2H T2L S4CON S4BUF IE2 DATA DATA DATA DATA DATA DATA 8EH 0D6H 0D7H 84H 85H 0AFH BUSY WPTR RPTR BIT DATA DATA 20H.0 21H 22H 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 529 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com BUFFER DATA 23H P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 093H 094H 091H 092H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0093H UART4_ISR ORG 0100H PUSH PUSH MOV ACC PSW PSW,#08H MOV JNB ANL CLR A,S4CON ACC.1,CHKRI S4CON,#NOT 02H BUSY 技术支持: 19864585985 选型顾问: 13922805190 ;16 bytes UART4_ISR: CHKRI: JNB ANL MOV ANL ADD MOV MOV INC UART4ISR_EXIT: POP POP RETI ACC.0,UART4ISR_EXIT S4CON,#NOT 01H A,WPTR A,#0FH A,#BUFFER R0,A @R0,S4BUF WPTR PSW ACC UART4_INIT: MOV MOV MOV MOV CLR MOV MOV RET S4CON,#10H T2L,#0E8H T2H,#0FFH AUXR,#14H BUSY WPTR,#00H RPTR,#00H JB SETB MOV BUSY,$ BUSY S4BUF,A ;65536-11059200/115200/4=0FFE8H UART4_SEND: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 530 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 RET UART4_SENDSTR: CLR MOVC JZ LCALL INC JMP SEND4END: RET A A,@A+DPTR SEND4END UART4_SEND DPTR UART4_SENDSTR MAIN: MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H LCALL MOV SETB UART4_INIT IE2,#10H EA MOV LCALL DPTR,#STRING UART4_SENDSTR MOV XRL ANL JZ MOV ANL ADD MOV MOV LCALL INC JMP A,RPTR A,WPTR A,#0FH LOOP A,RPTR A,#0FH A,#BUFFER R0,A A,@R0 UART4_SEND RPTR LOOP DB 'Uart Test !',0DH,0AH,00H LOOP: STRING: END 13.5.23 定时器 3(16 位自动重载),用作定时 C 语言代码 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 531 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr sfr sfr sfr #define #define #define sfr #define #define #define T4T3M T4L T4H T3L T3H T2L T2H AUXR IE2 ET2 ET3 ET4 AUXINTIF T2IF T3IF T4IF = = = = = = = = = sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit P10 = P1^0; void TM3_Isr() interrupt 19 { P10 = !P10; } = 0xd1; 0xd3; 0xd2; 0xd5; 0xd4; 0xd7; 0xd6; 0x8e; 0xaf; 0x04 0x20 0x40 0xef; 0x01 0x02 0x04 //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; T3L = 0x66; T3H = 0xfc; 深圳国芯人工智能有限公司 //65536-11.0592M/12/1000 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 532 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 //启动定时器 //使能定时器中断 T4T3M = 0x08; IE2 = ET3; EA = 1; while (1); } 汇编代码 ;测试工作频率为 11.0592MHz T4T3M T4L T4H T3L T3H T2L T2H AUXR IE2 ET2 ET3 ET4 AUXINTIF T2IF T3IF T4IF DATA DATA DATA DATA DATA DATA DATA DATA DATA EQU EQU EQU DATA EQU EQU EQU 0D1H 0D3H 0D2H 0D5H 0D4H 0D7H 0D6H 8EH 0AFH 04H 20H 40H 0EFH 01H 02H 04H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 009BH TM3ISR ORG 0100H CPL RETI P1.0 MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H TM3ISR: ;测试端口 MAIN: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 533 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com MOV MOV MOV MOV MOV MOV P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV MOV SETB T3L,#66H T3H,#0FCH T4T3M,#08H IE2,#ET3 EA JMP $ 技术支持: 19864585985 选型顾问: 13922805190 ;65536-11.0592M/12/1000 ;启动定时器 ;使能定时器中断 END 13.5.24 定时器 3(外部计数-扩展 T3 为外部下降沿中断) C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr sfr sfr sfr #define #define #define sfr #define #define #define T4T3M T4L T4H T3L T3H T2L T2H AUXR IE2 ET2 ET3 ET4 AUXINTIF T2IF T3IF T4IF = = = = = = = = = sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 深圳国芯人工智能有限公司 = 0xd1; 0xd3; 0xd2; 0xd5; 0xd4; 0xd7; 0xd6; 0x8e; 0xaf; 0x04 0x20 0x40 0xef; 0x01 0x02 0x04 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 534 - STC8H 系列技术手册 sbit P10 官方网站: www.STCMCUDATA.com = 技术支持: 19864585985 选型顾问: 13922805190 P1^0; void TM3_Isr() interrupt 19 { P10 = !P10; } //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; T3L = 0x66; T3H = 0xfc; T4T3M = 0x0c; IE2 = ET3; EA = 1; //65536-11.0592M/12/1000 //设置外部计数模式并启动定时器 //使能定时器中断 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz T4T3M DATA T4L DATA T4H DATA T3L DATA T3H DATA T2L DATA T2H DATA AUXR DATA IE2 DATA ET2 EQU ET3 EQU ET4 EQU AUXINTIF DATA T2IF EQU T3IF EQU T4IF EQU 0D1H 0D3H 0D2H 0D5H 0D4H 0D7H 0D6H 8EH 0AFH 04H 20H 40H 0EFH 01H 02H 04H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 091H 092H 093H 094H 095H 096H 0B1H 0B2H DATA DATA DATA DATA DATA DATA DATA DATA 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 535 - STC8H 系列技术手册 P4M1 P4M0 P5M1 P5M0 官方网站: www.STCMCUDATA.com DATA DATA DATA DATA 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 009BH TM3ISR ORG 0100H CPL RETI P1.0 MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV MOV SETB T3L,#66H T3H,#0FCH T4T3M,#0CH IE2,#ET3 EA JMP $ 技术支持: 19864585985 选型顾问: 13922805190 TM3ISR: ;测试端口 MAIN: ;65536-11.0592M/12/1000 ;设置外部计数模式并启动定时器 ;使能定时器中断 END 13.5.25 定时器 3,时钟分频输出 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr sfr T4T3M T4L T4H T3L T3H T2L T2H 深圳国芯人工智能有限公司 = = = = = = = 0xd1; 0xd3; 0xd2; 0xd5; 0xd4; 0xd7; 0xd6; 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 536 - STC8H 系列技术手册 sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 官方网站: www.STCMCUDATA.com = = = = = = = = = = = = 技术支持: 19864585985 选型顾问: 13922805190 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; T3L = 0x66; T3H = 0xfc; T4T3M = 0x09; //65536-11.0592M/12/1000 //使能时钟输出并启动定时器 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz T4T3M T4L T4H T3L T3H T2L T2H DATA DATA DATA DATA DATA DATA DATA 0D1H 0D3H 0D2H 0D5H 0D4H 0D7H 0D6H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 537 - STC8H 系列技术手册 P5M0 官方网站: www.STCMCUDATA.com DATA 0CAH ORG LJMP 0000H MAIN ORG 0100H MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV T3L,#66H T3H,#0FCH T4T3M,#09H JMP $ 技术支持: 19864585985 选型顾问: 13922805190 MAIN: ;65536-11.0592M/12/1000 ;使能时钟输出并启动定时器 END 13.5.26 定时器 3 做串口 3 波特率发生器 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" #define #define FOSC BRT 11059200UL (65536 - FOSC / 115200 / 4) sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr T4T3M T4L T4H T3L T3H T2L T2H S3CON S3BUF IE2 = = = = = = = = = = 0xd1; 0xd3; 0xd2; 0xd5; 0xd4; 0xd7; 0xd6; 0xac; 0xad; 0xaf; sfr sfr sfr sfr P0M1 P0M0 P1M1 P1M0 = = = = 0x93; 0x94; 0x91; 0x92; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 538 - STC8H 系列技术手册 sfr sfr sfr sfr sfr sfr sfr sfr P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 bit char char char busy; wptr; rptr; buffer[16]; 官方网站: www.STCMCUDATA.com = = = = = = = = 技术支持: 19864585985 选型顾问: 13922805190 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; void Uart3Isr() interrupt 17 { if (S3CON & 0x02) { S3CON &= ~0x02; busy = 0; } if (S3CON & 0x01) { S3CON &= ~0x01; buffer[wptr++] = S3BUF; wptr &= 0x0f; } } void Uart3Init() { S3CON = 0x50; T3L = BRT; T3H = BRT >> 8; T4T3M = 0x0a; wptr = 0x00; rptr = 0x00; busy = 0; } void Uart3Send(char dat) { while (busy); busy = 1; S3BUF = dat; } void Uart3SendStr(char *p) { while (*p) { Uart3Send(*p++); } } void main() { P0M0 = 0x00; P0M1 = 0x00; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 539 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; Uart3Init(); IE2 = 0x08; EA = 1; Uart3SendStr(“Uart Test !\r\n”); while (1) { if (rptr != wptr) { Uart3Send(buffer[rptr++]); rptr &= 0x0f; } } } 汇编代码 ;测试工作频率为 11.0592MHz T4T3M T4L T4H T3L T3H T2L T2H S3CON S3BUF IE2 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 0D1H 0D3H 0D2H 0D5H 0D4H 0D7H 0D6H 0ACH 0ADH 0AFH BUSY WPTR RPTR BUFFER BIT DATA DATA DATA 20H.0 21H 22H 23H P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 093H 094H 091H 092H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH 深圳国芯人工智能有限公司 ;16 bytes 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 540 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com ORG LJMP ORG LJMP 0000H MAIN 008BH UART3_ISR ORG 0100H PUSH PUSH MOV ACC PSW PSW,#08H MOV JNB ANL CLR A,S3CON ACC.1,CHKRI S3CON,#NOT 02H BUSY 技术支持: 19864585985 选型顾问: 13922805190 UART3_ISR: CHKRI: JNB ANL MOV ANL ADD MOV MOV INC UART3ISR_EXIT: POP POP RETI ACC.0,UART3ISR_EXIT S3CON,#NOT 01H A,WPTR A,#0FH A,#BUFFER R0,A @R0,S3BUF WPTR PSW ACC UART3_INIT: MOV MOV MOV MOV CLR MOV MOV RET S3CON,#50H T3L,#0E8H T3H,#0FFH T4T3M,#0AH BUSY WPTR,#00H RPTR,#00H JB SETB MOV RET BUSY,$ BUSY S3BUF,A ;65536-11059200/115200/4=0FFE8H UART3_SEND: UART3_SENDSTR: CLR MOVC JZ LCALL INC JMP SEND3END: RET A A,@A+DPTR SEND3END UART3_SEND DPTR UART3_SENDSTR MAIN: MOV MOV 深圳国芯人工智能有限公司 SP, #5FH P0M0, #00H 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 541 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H LCALL MOV SETB UART3_INIT IE2,#08H EA MOV LCALL DPTR,#STRING UART3_SENDSTR MOV XRL ANL JZ MOV ANL ADD MOV MOV LCALL INC JMP A,RPTR A,WPTR A,#0FH LOOP A,RPTR A,#0FH A,#BUFFER R0,A A,@R0 UART3_SEND RPTR LOOP DB 'Uart Test !',0DH,0AH,00H 技术支持: 19864585985 选型顾问: 13922805190 LOOP: STRING: END 13.5.27 定时器 4(16 位自动重载),用作定时 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr sfr sfr sfr #define T4T3M T4L T4H T3L T3H T2L T2H AUXR IE2 ET2 深圳国芯人工智能有限公司 = = = = = = = = = 0xd1; 0xd3; 0xd2; 0xd5; 0xd4; 0xd7; 0xd6; 0x8e; 0xaf; 0x04 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 542 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com #define #define sfr #define #define #define ET3 ET4 AUXINTIF T2IF T3IF T4IF sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit P10 = P1^0; = 技术支持: 19864585985 选型顾问: 13922805190 0x20 0x40 0xef; 0x01 0x02 0x04 void TM4_Isr() interrupt 20 { P10 = !P10; } //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; T4L = 0x66; T4H = 0xfc; T4T3M = 0x80; IE2 = ET4; EA = 1; //65536-11.0592M/12/1000 //启动定时器 //使能定时器中断 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz T4T3M T4L T4H T3L DATA DATA DATA DATA 深圳国芯人工智能有限公司 0D1H 0D3H 0D2H 0D5H 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 543 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com T3H T2L T2H AUXR IE2 ET2 ET3 ET4 AUXINTIF T2IF T3IF T4IF DATA DATA DATA DATA DATA EQU EQU EQU DATA EQU EQU EQU 0D4H 0D7H 0D6H 8EH 0AFH 04H 20H 40H 0EFH 01H 02H 04H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 00A3H TM4ISR ORG 0100H CPL RETI P1.0 MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV MOV SETB T4L,#66H T4H,#0FCH T4T3M,#80H IE2,#ET4 EA JMP $ 技术支持: 19864585985 选型顾问: 13922805190 TM4ISR: ;测试端口 MAIN: 深圳国芯人工智能有限公司 ;65536-11.0592M/12/1000 ;启动定时器 ;使能定时器中断 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 544 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 END 13.5.28 定时器 4(外部计数-扩展 T4 为外部下降沿中断) C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr sfr sfr sfr #define #define #define sfr #define #define #define T4T3M T4L T4H T3L T3H T2L T2H AUXR IE2 ET2 ET3 ET4 AUXINTIF T2IF T3IF T4IF = = = = = = = = = sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sbit P10 = P1^0; void TM4_Isr() interrupt 20 { P10 = !P10; } = 0xd1; 0xd3; 0xd2; 0xd5; 0xd4; 0xd7; 0xd6; 0x8e; 0xaf; 0x04 0x20 0x40 0xef; 0x01 0x02 0x04 //测试端口 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 545 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; T4L = 0x66; T4H = 0xfc; T4T3M = 0xc0; IE2 = ET4; EA = 1; //65536-11.0592M/12/1000 //设置外部计数模式并启动定时器 //使能定时器中断 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz T4T3M T4L T4H T3L T3H T2L T2H AUXR IE2 ET2 ET3 ET4 AUXINTIF T2IF T3IF T4IF DATA DATA DATA DATA DATA DATA DATA DATA DATA EQU EQU EQU DATA EQU EQU EQU 0D1H 0D3H 0D2H 0D5H 0D4H 0D7H 0D6H 8EH 0AFH 04H 20H 40H 0EFH 01H 02H 04H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 00A3H TM4ISR ORG 0100H CPL RETI P1.0 TM4ISR: 深圳国芯人工智能有限公司 ;测试端口 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 546 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 MAIN: MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV MOV SETB T4L,#66H T4H,#0FCH T4T3M,#0C0H IE2,#ET4 EA JMP $ ;65536-11.0592M/12/1000 ;设置外部计数模式并启动定时器 ;使能定时器中断 END 13.5.29 定时器 4,时钟分频输出 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" sfr sfr sfr sfr sfr sfr sfr T4T3M T4L T4H T3L T3H T2L T2H = = = = = = = 0xd1; 0xd3; 0xd2; 0xd5; 0xd4; 0xd7; 0xd6; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 547 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P3M1 = 0x00; T4L = 0x66; T4H = 0xfc; T4T3M = 0x90; //65536-11.0592M/12/1000 //使能时钟输出并启动定时器 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz T4T3M T4L T4H T3L T3H T2L T2H DATA DATA DATA DATA DATA DATA DATA 0D1H 0D3H 0D2H 0D5H 0D4H 0D7H 0D6H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP 0000H MAIN ORG 0100H MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H MAIN: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 548 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com MOV MOV MOV MOV MOV MOV P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV MOV MOV T4L,#66H T4H,#0FCH T4T3M,#90H JMP $ 技术支持: 19864585985 选型顾问: 13922805190 ;65536-11.0592M/12/1000 ;使能时钟输出并启动定时器 END 13.5.30 定时器 4 做串口 4 波特率发生器 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" #define #define FOSC BRT 11059200UL (65536 - FOSC / 115200 / 4) sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr T4T3M T4L T4H T3L T3H T2L T2H S4CON S4BUF IE2 = = = = = = = = = = 0xd1; 0xd3; 0xd2; 0xd5; 0xd4; 0xd7; 0xd6; 0x84; 0x85; 0xaf; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x93; 0x94; 0x91; 0x92; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; bit char char char busy; wptr; rptr; buffer[16]; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 549 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 void Uart4Isr() interrupt 18 { if (S4CON & 0x02) { S4CON &= ~0x02; busy = 0; } if (S4CON & 0x01) { S4CON &= ~0x01; buffer[wptr++] = S4BUF; wptr &= 0x0f; } } void Uart4Init() { S4CON = 0x50; T4L = BRT; T4H = BRT >> 8; T4T3M = 0xa0; wptr = 0x00; rptr = 0x00; busy = 0; } void Uart4Send(char dat) { while (busy); busy = 1; S4BUF = dat; } void Uart4SendStr(char *p) { while (*p) { Uart4Send(*p++); } } void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; Uart4Init(); IE2 = 0x10; EA = 1; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 550 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 Uart4SendStr(“Uart Test !\r\n”); while (1) { if (rptr != wptr) { Uart4Send(buffer[rptr++]); rptr &= 0x0f; } } } 汇编代码 ;测试工作频率为 11.0592MHz T4T3M T4L T4H T3L T3H T2L T2H S4CON S4BUF IE2 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 0D1H 0D3H 0D2H 0D5H 0D4H 0D7H 0D6H 84H 85H 0AFH BUSY WPTR RPTR BUFFER BIT DATA DATA DATA 20H.0 21H 22H 23H P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 093H 094H 091H 092H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0093H UART4_ISR ORG 0100H PUSH PUSH MOV ACC PSW PSW,#08H MOV JNB A,S4CON ACC.1,CHKRI ;16 bytes UART4_ISR: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 551 - STC8H 系列技术手册 ANL CLR 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 S4CON,#NOT 02H BUSY CHKRI: JNB ANL MOV ANL ADD MOV MOV INC UART4ISR_EXIT: POP POP RETI ACC.0,UART4ISR_EXIT S4CON,#NOT 01H A,WPTR A,#0FH A,#BUFFER R0,A @R0,S4BUF WPTR PSW ACC UART4_INIT: MOV MOV MOV MOV CLR MOV MOV RET S4CON,#50H T4L,#0E8H T4H,#0FFH T4T3M,#0A0H BUSY WPTR,#00H RPTR,#00H JB SETB MOV RET BUSY,$ BUSY S4BUF,A ;65536-11059200/115200/4=0FFE8H UART4_SEND: UART4_SENDSTR: CLR MOVC JZ LCALL INC JMP SEND4END: RET A A,@A+DPTR SEND4END UART4_SEND DPTR UART4_SENDSTR MAIN: MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H LCALL MOV UART4_INIT IE2,#10H 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 552 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com SETB EA MOV LCALL DPTR,#STRING UART4_SENDSTR MOV XRL ANL JZ MOV ANL ADD MOV MOV LCALL INC JMP A,RPTR A,WPTR A,#0FH LOOP A,RPTR A,#0FH A,#BUFFER R0,A A,@R0 UART4_SEND RPTR LOOP DB 'Uart Test !',0DH,0AH,00H 技术支持: 19864585985 选型顾问: 13922805190 LOOP: STRING: END 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 553 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 14 串口通信 产品线 串口数量 STC8H1K08 系列 2 STC8H1K28 系列 2 STC8H3K64S4 系列 4 STC8H3K64S2 系列 2 STC8H8K64U 系列 4 STC8H4K64TLR 系列 4 STC8H4K64TLCD 系列 4 STC8H4K64LCD 系列 4 STC8H 系列单片机具有 4 个全双工异步串行通信接口。每个串行口由 2 个数据缓冲器、一个移位寄 存器、一个串行控制寄存器和一个波特率发生器等组成。每个串行口的数据缓冲器由 2 个互相独立的接 收、发送缓冲器构成,可以同时发送和接收数据。 STC8 系列单片机的串口 1 有 4 种工作方式,其中两种方式的波特率是可变的,另两种是固定的, 以供不同应用场合选用。串口 2/串口 3/串口 4 都只有两种工作方式,这两种方式的波特率都是可变的。 用户可用软件设置不同的波特率和选择不同的工作方式。主机可通过查询或中断方式对接收/发送进行程 序处理,使用十分灵活。 串口 1、串口 2、串口 3、串口 4 的通讯口均可以通过功能管脚的切换功能切换到多组端口,从而可 以将一个通讯口分时复用为多个通讯口。 14.1 串口相关寄存器 位地址与符号 符号 描述 地址 复位值 B7 B6 B5 B4 B3 B2 B1 B0 SM0/FE SM1 SM2 REN TB8 RB8 TI RI SCON 串口 1 控制寄存器 98H SBUF 串口 1 数据寄存器 99H S2CON 串口 2 控制寄存器 9AH S2BUF 串口 2 数据寄存器 9BH S3CON 串口 3 控制寄存器 ACH S3BUF 串口 3 数据寄存器 ADH S4CON 串口 4 控制寄存器 84H S4BUF 串口 4 数据寄存器 85H PCON 电源控制寄存器 87H SMOD SMOD0 LVDF POF GF1 AUXR 辅助寄存器 1 8EH T0x12 T1x12 UART_M0x6 T2R T2_C/T SADDR 串口 1 从机地址寄存器 A9H 0000,0000 SADEN 串口 1 从机地址屏蔽寄存器 B9H 0000,0000 深圳国芯人工智能有限公司 0000,0000 0000,0000 S2SM0 - S2SM2 S2REN S2TB8 S2RB8 S2TI S2RI 0100,0000 0000,0000 S3SM0 S3ST3 S3SM2 S3REN S3TB8 S3RB8 S3TI S3RI 0000,0000 0000,0000 S4SM0 S4ST4 S4SM2 S4REN S4TB8 S4RB8 S4TI S4RI 0000,0000 0000,0000 国内分销商电话: 0513-5501 2928/2929/2966 GF0 PD T2x12 EXTRAM 传真: 0513-5501 2926/2956/2947 IDL 0011,0000 S1ST2 0000,0001 - 554 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 14.2 串口 1 14.2.1 串口 1 控制寄存器(SCON) 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 SCON 98H SM0/FE SM1 SM2 REN TB8 RB8 TI RI SM0/FE:当PCON寄存器中的SMOD0位为1时,该位为帧错误检测标志位。当UART在接收过程中检测 到一个无效停止位时,通过UART接收器将该位置1,必须由软件清零。当PCON寄存器中的SMOD0 位为0时,该位和SM1一起指定串口1的通信工作模式,如下表所示: SM0 SM1 串口1工作模式 功能说明 0 0 模式0 同步移位串行方式 0 1 模式1 可变波特率8位数据方式 1 0 模式2 固定波特率9位数据方式 1 1 模式3 可变波特率9位数据方式 SM2:允许模式 2 或模式 3 多机通信控制位。当串口 1 使用模式 2 或模式 3 时,如果 SM2 位为 1 且 REN 位为 1,则接收机处于地址帧筛选状态。此时可以利用接收到的第 9 位(即 RB8)来筛选地址帧, 若 RB8=1,说明该帧是地址帧,地址信息可以进入 SBUF,并使 RI 为 1,进而在中断服务程序中 再进行地址号比较;若 RB8=0,说明该帧不是地址帧,应丢掉且保持 RI=0。在模式 2 或模式 3 中,如果 SM2 位为 0 且 REN 位为 1,接收收机处于地址帧筛选被禁止状态,不论收到的 RB8 为 0 或 1,均可使接收到的信息进入 SBUF,并使 RI=1,此时 RB8 通常为校验位。模式 1 和模式 0 为非多机通信方式,在这两种方式时,SM2 应设置为 0。 REN:允许/禁止串口接收控制位 0:禁止串口接收数据 1:允许串口接收数据 TB8:当串口 1 使用模式 2 或模式 3 时,TB8 为要发送的第 9 位数据,按需要由软件置位或清 0。在模 式 0 和模式 1 中,该位不用。 RB8:当串口 1 使用模式 2 或模式 3 时,RB8 为接收到的第 9 位数据,一般用作校验位或者地址帧/数据 帧标志位。在模式 0 和模式 1 中,该位不用。 TI:串口 1 发送中断请求标志位。在模式 0 中,当串口发送数据第 8 位结束时,由硬件自动将 TI 置 1, 向主机请求中断,响应中断后 TI 必须用软件清零。在其他模式中,则在停止位开始发送时由硬件 自动将 TI 置 1,向 CPU 发请求中断,响应中断后 TI 必须用软件清零。 RI:串口 1 接收中断请求标志位。在模式 0 中,当串口接收第 8 位数据结束时,由硬件自动将 RI 置 1, 向主机请求中断,响应中断后 RI 必须用软件清零。在其他模式中,串行接收到停止位的中间时刻 由硬件自动将 RI 置 1,向 CPU 发中断申请,响应中断后 RI 必须由软件清零。 14.2.2 串口 1 数据寄存器(SBUF) 符号 地址 SBUF 99H B7 B6 B5 B4 B3 B2 B1 B0 SBUF:串口 1 数据接收/发送缓冲区。SBUF 实际是 2 个缓冲器,读缓冲器和写缓冲器,两个操作分别 对应两个不同的寄存器,1 个是只写寄存器(写缓冲器),1 个是只读寄存器(读缓冲器)。对 SBUF 进行读操作,实际是读取串口接收缓冲区,对 SBUF 进行写操作则是触发串口开始发送数据。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 555 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 14.2.3 电源管理寄存器(PCON) 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 PCON 87H SMOD SMOD0 LVDF POF GF1 GF0 PD IDL SMOD:串口 1 波特率控制位 0:串口 1 的各个模式的波特率都不加倍 1:串口 1 模式 1(使用模式 2 的定时器 1 作为波特率发生器时有效)、模式 2、模式 3(使用模式 2 的定时器 1 作为波特率发生器时有效)的波特率加倍 SMOD0:帧错误检测控制位 0:无帧错检测功能 1:使能帧错误检测功能。此时 SCON 的 SM0/FE 为 FE 功能,即为帧错误检测标志位。 14.2.4 辅助寄存器 1(AUXR) 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 AUXR 8EH T0x12 T1x12 UART_M0x6 T2R T2_C/T T2x12 EXTRAM S1ST2 UART_M0x6:串口 1 模式 0 的通讯速度控制 0:串口 1 模式 0 的波特率不加倍,固定为 Fosc/12 1:串口 1 模式 0 的波特率 6 倍速,即固定为 Fosc/12*6 = Fosc/2 S1ST2:串口 1 波特率发生器选择位 0:选择定时器 1 作为波特率发生器 1:选择定时器 2 作为波特率发生器 14.2.5 串口 1 模式 0,模式 0 波特率计算公式 当串口 1 选择工作模式为模式 0 时,串行通信接口工作在同步移位寄存器模式,当串行口模式 0 的 通信速度设置位 UART_M0x6 为 0 时,其波特率固定为系统时钟时钟的 12 分频(SYSclk/12);当设置 UART_M0x6 为 1 时,其波特率固定为系统时钟频率的 2 分频(SYSclk/2)。RxD 为串行通讯的数据口, TxD 为同步移位脉冲输出脚,发送、接收的是 8 位数据,低位在先。 模式 0 的发送过程:当主机执行将数据写入发送缓冲器 SBUF 指令时启动发送,串行口即将 8 位数 据以 SYSclk/12 或 SYSclk/2(由 UART_M0x6 确定是 12 分频还是 2 分频)的波特率从 RxD 管脚输出(从 低位到高位),发送完中断标志 TI 置 1,TxD 管脚输出同步移位脉冲信号。当写信号有效后,相隔一个 时钟,发送控制端 SEND 有效(高电平),允许 RxD 发送数据,同时允许 TxD 输出同步移位脉冲。一帧(8 位)数据发送完毕时,各控制端均恢复原状态,只有 TI 保持高电平,呈中断申请状态。在再次发送数据 前,必须用软件将 TI 清 0。 模式 0 的接收过程:首先将接收中断请求标志 RI 清零并置位允许接收控制位 REN 时启动模式 0 接 收过程。启动接收过程后,RxD 为串行数据输入端,TxD 为同步脉冲输出端。串行接收的波特率为 SYSclk/12 或 SYSclk/2(由 UART_M0x6 确定是 12 分频还是 2 分频)。当接收完成一帧数据(8 位)后, 控制信号复位,中断标志 RI 被置 1,呈中断申请状态。当再次接收时,必须通过软件将 RI 清 0 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 556 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 写SBUF TxD RxD D0 D1 D2 D3 D4 D5 D6 D7 D6 D7 TI RI 发送数据(串口1模式0) 写SCON REN=1, RI=0 TxD RxD D0 D1 D2 D3 D4 D5 TI RI 接收数据(串口1模式0) 工作于模式 0 时,必须清 0 多机通信控制位 SM2,使之不影响 TB8 位和 RB8 位。由于波特率固定 为 SYSclk/12 或 SYSclk/2,无需定时器提供,直接由单片机的时钟作为同步移位脉冲。 串口 1 模式 0 的波特率计算公式如下表所示(SYSclk 为系统工作频率): UART_M0x6 波特率计算公式 0 波特率 = 1 波特率 = 14.2.6 SYSclk 12 SYSclk 2 串口 1 模式 1,模式 1 波特率计算公式 当软件设置 SCON 的 SM0、SM1 为“01”时,串行口 1 则以模式 1 进行工作。此模式为 8 位 UART 格式,一帧信息为 10 位:1 位起始位,8 位数据位(低位在先)和 1 位停止位。波特率可变,即可根据 需要进行设置波特率。TxD 为数据发送口,RxD 为数据接收口,串行口全双工接受/发送。 模式 1 的发送过程:串行通信模式发送时,数据由串行发送端 TxD 输出。当主机执行一条写 SBUF 的指令就启动串行通信的发送,写“SBUF”信号还把“1”装入发送移位寄存器的第 9 位,并通知 TX 控制单元开始发送。移位寄存器将数据不断右移送 TxD 端口发送,在数据的左边不断移入“0”作补充。 当数据的最高位移到移位寄存器的输出位置,紧跟其后的是第 9 位“1”,在它的左边各位全为“0”,这 个状态条件,使 TX 控制单元作最后一次移位输出,然后使允许发送信号“SEND”失效,完成一帧信息 的发送,并置位中断请求位 TI,即 TI=1,向主机请求中断处理。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 557 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 模式 1 的接收过程:当软件置位接收允许标志位 REN,即 REN=1 时,接收器便对 RxD 端口的信号 进行检测,当检测到 RxD 端口发送从“1”→“0”的下降沿跳变时就启动接收器准备接收数据,并立即 复位波特率发生器的接收计数器,将 1FFH 装入移位寄存器。接收的数据从接收移位寄存器的右边移入, 已装入的 1FFH 向左边移出,当起始位"0"移到移位寄存器的最左边时,使 RX 控制器作最后一次移位, 完成一帧的接收。若同时满足以下两个条件: ·RI=0; ·SM2=0 或接收到的停止位为 1。 则接收到的数据有效,实现装载入 SBUF,停止位进入 RB8,RI 标志位被置 1,向主机请求中断, 若上述两条件不能同时满足,则接收到的数据作废并丢失,无论条件满足与否,接收器重又检测 RxD 端 口上的"1"→"0"的跳变,继续下一帧的接收。接收有效,在响应中断后,RI 标志位必须由软件清 0。通 常情况下,串行通信工作于模式 1 时,SM2 设置为"0"。 写SBUF TxD Start D0 D1 D2 D3 D4 D5 D6 D7 Stop TI 发送数据(串口1模式1) 写SCON RxD REN=1, RI=0 Start D0 D1 D2 D3 D4 D5 D6 D7 Stop RI 接收数据(串口1模式1) 串口 1 的波特率是可变的,其波特率可由定时器 1 或者定时器 2 产生。当定时器采用 1T 模式时(12 倍速),相应的波特率的速度也会相应提高 12 倍。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 558 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 串口 1 模式 1 的波特率计算公式如下表所示:(SYSclk 为系统工作频率) 选择定时器 定时器速 波特率计算公式 度 1T 定时器2重载值 = 65536 - 12T 定时器2重载值 = 65536 - 1T 定时器1重载值 = 65536 - 12T 定时器1重载值 = 65536 - 1T 定时器1重载值 = 256 - 12T 定时器1重载值 = 256 - SYSclk 4×波特率 定时器2 SYSclk 12×4×波特率 SYSclk 4×波特率 定时器1模式0 SYSclk 12×4×波特率 2SMOD×SYSclk 32×波特率 定时器1模式2 2SMOD×SYSclk 12×32×波特率 下面为常用频率与常用波特率所对应定时器的重载值 频率 (MHz) 11.0592 18.432 22.1184 定时器 2 波特率 定时器 1 模式 0 1T 模式 12T 模式 1T 模式 12T 模式 115200 FFE8H FFFEH FFE8H 57600 FFD0H FFFCH 38400 FFB8H 19200 定时器 1 模式 2 SMOD=1 SMOD=0 1T 模式 12T 模式 1T 模式 12T 模式 FFFEH FAH - FDH - FFD0H FFFCH F4H FFH FAH - FFFAH FFB8H FFFAH EEH - F7H - FF70H FFF4H FF70H FFF4H DCH FDH EEH - 9600 FEE0H FFE8H FEE0H FFE8H B8H FAH DCH FDH 115200 FFD8H - FFD8H - F6H - FBH - 57600 FFB0H - FFB0H - ECH - F6H - 38400 FF88H FFF6H FF88H FFF6H E2H - F1H - 19200 FF10H FFECH FF10H FFECH C4H FBH E2H - 9600 FE20H FFD8H FE20H FFD8H 88H F6H C4H FBH 115200 FFD0H FFFCH FFD0H FFFCH F4H FFH FAH - 57600 FFA0H FFF8H FFA0H FFF8H E8H FEH F4H FFH 38400 FF70H FFF4H FF70H FFF4H DCH FDH EEH - 19200 FEE0H FFE8H FEE0H FFE8H B8H FAH DCH FDH 9600 FDC0H FFD0H FDC0H FFD0H 70H F4H B8H FAH 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 559 - STC8H 系列技术手册 14.2.7 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 串口 1 模式 2,模式 2 波特率计算公式 当 SM0、SM1 两位为 10 时,串行口 1 工作在模式 2。串行口 1 工作模式 2 为 9 位数据异步通信 UART 模式,其一帧的信息由 11 位组成:1 位起始位,8 位数据位(低位在先),1 位可编程位(第 9 位数据) 和 1 位停止位。发送时可编程位(第 9 位数据)由 SCON 中的 TB8 提供,可软件设置为 1 或 0,或者可 将 PSW 中的奇/偶校验位 P 值装入 TB8(TB8 既可作为多机通信中的地址数据标志位,又可作为数据的 奇偶校验位) 。接收时第 9 位数据装入 SCON 的 RB8。TxD 为发送端口,RxD 为接收端口,以全双工模 式进行接收/发送。 模式 2 的波特率固定为系统时钟的 64 分频或 32 分频(取决于 PCON 中 SMOD 的值) 串口 1 模式 2 的波特率计算公式如下表所示(SYSclk 为系统工作频率): SMOD 波特率计算公式 0 波特率 = 1 波特率 = SYSclk 64 SYSclk 32 模式 2 和模式 1 相比,除波特率发生源略有不同,发送时由 TB8 提供给移位寄存器第 9 数据位不同 外,其余功能结构均基本相同,其接收/发送操作过程及时序也基本相同。 当接收器接收完一帧信息后必须同时满足下列条件: ·RI=0 ·SM2=0 或者 SM2=1 且接收到的第 9 数据位 RB8=1。 当上述两条件同时满足时,才将接收到的移位寄存器的数据装入 SBUF 和 RB8 中,RI 标志位被置 1, 并向主机请求中断处理。如果上述条件有一个不满足,则刚接收到移位寄存器中的数据无效而丢失,也 不置位 RI。无论上述条件满足与否,接收器又重新开始检测 RxD 输入端口的跳变信息,接收下一帧的 输入信息。在模式 2 中,接收到的停止位与 SBUF、RB8 和 RI 无关。 通过软件对 SCON 中的 SM2、TB8 的设置以及通信 Ð 议的约定,为多机通信提供了方便。 写SBUF TxD Start D0 D1 D2 D3 D4 D5 D6 D7 TB8 Stop D6 D7 RB8 Stop TI 发送数据(串口1模式2) 写SCON RxD REN=1,RI=0 Start D0 D1 D2 D3 D4 D5 RI 接收数据(串口1模式2) 14.2.8 串口 1 模式 3,模式 3 波特率计算公式 当 SM0、SM1 两位为 11 时,串行口 1 工作在模式 3。串行通信模式 3 为 9 位数据异步通信 UART 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 560 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 模式,其一帧的信息由 11 位组成:1 位起始位,8 位数据位(低位在先),1 位可编程位(第 9 位数据) 和 1 位停止位。发送时可编程位(第 9 位数据)由 SCON 中的 TB8 提供,可软件设置为 1 或 0,或者可 将 PSW 中的奇/偶校验位 P 值装入 TB8(TB8 既可作为多机通信中的地址数据标志位,又可作为数据的 奇偶校验位) 。接收时第 9 位数据装入 SCON 的 RB8。TxD 为发送端口,RxD 为接收端口,以全双工模 式进行接收/发送。 模式 3 和模式 1 相比,除发送时由 TB8 提供给移位寄存器第 9 数据位不同外,其余功能结构均基本 相同,其接收‘发送操作过程及时序也基本相同。 当接收器接收完一帧信息后必须同时满足下列条件: ·RI=0 ·SM2=0 或者 SM2=1 且接收到的第 9 数据位 RB8=1。 当上述两条件同时满足时,才将接收到的移位寄存器的数据装入 SBUF 和 RB8 中,RI 标志位被置 1, 并向主机请求中断处理。如果上述条件有一个不满足,则刚接收到移位寄存器中的数据无效而丢失,也 不置位 RI。无论上述条件满足与否,接收器又重新开始检测 RxD 输入端口的跳变信息,接收下一帧的 输入信息。在模式 3 中,接收到的停止位与 SBUF、RB8 和 RI 无关。 通过软件对 SCON 中的 SM2、TB8 的设置以及通信协议的约定,为多机通信提供了方便。 写SBUF TxD Start D0 D1 D2 D3 D4 D5 D6 D7 TB8 Stop D6 D7 RB8 Stop TI 发送数据(串口1模式3) 写SCON RxD REN=1,RI=0 Start D0 D1 D2 D3 D4 D5 RI 接收数据(串口1模式3) 串口 1 模式 3 的波特率计算公式与模式 1 是完全相同的。请参考模式 1 的波特率计算公式。 14.2.9 自动地址识别 14.2.10串口 1 从机地址控制寄存器(SADDR,SADEN) 符号 地址 SADDR A9H SADEN B9H B7 B6 B5 B4 B3 B2 B1 B0 SADDR:从机地址寄存器 SADEN:从机地址屏蔽位寄存器 自动地址识别功能典型应用在多机通讯领域,其主要原理是从机系统通过硬件比较功能来识别来自 于主机串口数据流中的地址信息,通过寄存器 SADDR 和 SADEN 设置的本机的从机地址,硬件自动对 从机地址进行过滤,当来自于主机的从机地址信息与本机所设置的从机地址相匹配时,硬件产生串口中 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 561 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 断;否则硬件自动丢弃串口数据,而不产生中断。当众多处于空闲模式的从机链接在一起时,只有从机 地址相匹配的从机才会从空闲模式唤醒,从而可以大大降低从机 MCU 的功耗,即使从机处于正常工作 状态也可避免不停地进入串口中断而降低系统执行效率。 要使用串口的自动地址识别功能,首先需要将参与通讯的 MCU 的串口通讯模式设置为模式 2 或者 模式 3(通常都选择波特率可变的模式 3,因为模式 2 的波特率是固定的,不便于调节),并开启从机的 SCON 的 SM2 位。对于串口模式 2 或者模式 3 的 9 位数据位中,第 9 位数据(存放在 RB8 中)为地址/ 数据的标志位,当第 9 位数据为 1 时,表示前面的 8 位数据(存放在 SBUF 中)为地址信息。当 SM2 被设置为 1 时,从机 MCU 会自动过滤掉非地址数据(第 9 位为 0 的数据) ,而对 SBUF 中的地址数据(第 9 位为 1 的数据)自动与 SADDR 和 SADEN 所设置的本机地址进行比较,若地址相匹配,则会将 RI 置 “1”,并产生中断,否则不予处理本次接收的串口数据。 从机地址的设置是通过 SADDR 和 SADEN 两个寄存器进行设置的。SADDR 为从机地址寄存器,里 面存放本机的从机地址。SADEN 为从机地址屏蔽位寄存器,用于设置地址信息中的忽略位,设置方法 如下: 例如 SADDR = 11001010 SADEN = 10000001 则匹配地址为 1xxxxxx0 即,只要主机送出的地址数据中的 bit0 为 0 且 bit7 为 1 就可以和本机地址相匹配 再例如 SADDR = 11001010 SADEN = 00001111 则匹配地址为 xxxx1010 即,只要主机送出的地址数据中的低 4 位为 1010 就可以和本机地址相匹配,而高 4 为被忽略,可以 为任意值。 主机可以使用广播地址(FFH)同时选中所有的从机来进行通讯。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 562 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 14.3 串口 2 14.3.1 串口 2 控制寄存器(S2CON) 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 S2CON 9AH S2SM0 - S2SM2 S2REN S2TB8 S2RB8 S2TI S2RI S2SM0:指定串口2的通信工作模式,如下表所示: S2SM0 串口2工作模式 功能说明 0 模式0 可变波特率8位数据方式 1 模式1 可变波特率9位数据方式 S2SM2:允许串口 2 在模式 1 时允许多机通信控制位。在模式 1 时,如果 S2SM2 位为 1 且 S2REN 位为 1,则接收机处于地址帧筛选状态。此时可以利用接收到的第 9 位(即 S2RB8)来筛选地址帧: 若 S2RB8=1,说明该帧是地址帧,地址信息可以进入 S2BUF,并使 S2RI 为 1,进而在中断服务 程序中再进行地址号比较;若 S2RB8=0,说明该帧不是地址帧,应丢掉且保持 S2RI=0。在模式 1 中,如果 S2SM2 位为 0 且 S2REN 位为 1,接收收机处于地址帧筛选被禁止状态。不论收到的 S2RB8 为 0 或 1,均可使接收到的信息进入 S2BUF,并使 S2RI=1,此时 S2RB8 通常为校验位。模式 0 为非多机通信方式,在这种方式时,要设置 S2SM2 应为 0。 S2REN:允许/禁止串口接收控制位 0:禁止串口接收数据 1:允许串口接收数据 S2TB8:当串口 2 使用模式 1 时,S2TB8 为要发送的第 9 位数据,一般用作校验位或者地址帧/数据帧标 志位,按需要由软件置位或清 0。在模式 0 中,该位不用。 S2RB8:当串口 2 使用模式 1 时,S2RB8 为接收到的第 9 位数据,一般用作校验位或者地址帧/数据帧标 志位。在模式 0 中,该位不用。 S2TI:串口 2 发送中断请求标志位。在停止位开始发送时由硬件自动将 S2TI 置 1,向 CPU 发请求中断, 响应中断后 S2TI 必须用软件清零。 S2RI:串口 2 接收中断请求标志位。串行接收到停止位的中间时刻由硬件自动将 S2RI 置 1,向 CPU 发 中断申请,响应中断后 S2RI 必须由软件清零。 14.3.2 串口 2 数据寄存器(S2BUF) 符号 地址 S2BUF 9BH B7 B6 B5 B4 B3 B2 B1 B0 S2BUF:串口 1 数据接收/发送缓冲区。S2BUF 实际是 2 个缓冲器,读缓冲器和写缓冲器,两个操作分 别对应两个不同的寄存器,1 个是只写寄存器(写缓冲器),1 个是只读寄存器(读缓冲器)。对 S2BUF 进行读操作,实际是读取串口接收缓冲区,对 S2BUF 进行写操作则是触发串口开始发送 数据。 14.3.3 串口 2 模式 0,模式 0 波特率计算公式 串行口 2 的模式 0 为 8 位数据位可变波特率 UART 工作模式。此模式一帧信息为 10 位:1 位起始位, 8 位数据位(低位在先)和 1 位停止位。波特率可变,可根据需要进行设置波特率。TxD2 为数据发送口, RxD2 为数据接收口,串行口全双工接受/发送。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 563 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 写S2BUF TxD2 Start D0 D1 D2 D3 D4 D5 D6 D7 Stop S2TI 发送数据(串口2模式0) 写S2CON S2REN=1, S2RI=0 RxD2 Start D0 D1 D2 D3 D4 D5 D6 D7 Stop S2RI 接收数据(串口2模式0) 串口 2 的波特率是可变的,其波特率由定时器 2 产生。当定时器采用 1T 模式时(12 倍速),相应的 波特率的速度也会相应提高 12 倍。 串口 2 模式 0 的波特率计算公式如下表所示:(SYSclk 为系统工作频率) 选择定时器 定时器速度 波特率计算公式 1T 定时器2重载值 = 65536 - 12T 定时器2重载值 = 65536 - SYSclk 4×波特率 定时器2 14.3.4 SYSclk 12×4×波特率 串口 2 模式 1,模式 1 波特率计算公式 串行口 2 的模式 1 为 9 位数据位可变波特率 UART 工作模式。此模式一帧信息为 11 位:1 位起始位, 9 位数据位(低位在先)和 1 位停止位。波特率可变,可根据需要进行设置波特率。TxD2 为数据发送口, RxD2 为数据接收口,串行口全双工接受/发送。 写S2BUF TxD2 Start D0 D1 D2 D3 D4 D5 D6 D7 TB8 Stop D6 D7 RB8 Stop S2TI 发送数据(串口2模式1) 写S2CON RxD2 S2REN=1,S2RI=0 Start D0 D1 D2 D3 D4 D5 S2RI 接收数据(串口2模式1) 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 564 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 串口 2 模式 1 的波特率计算公式与模式 0 是完全相同的。请参考模式 0 的波特率计算公式。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 565 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 14.4 串口 3 14.4.1 串口 3 控制寄存器(S3CON) 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 S3CON ACH S3SM0 S3ST3 S3SM2 S3REN S3TB8 S3RB8 S3TI S3RI S3SM0:指定串口3的通信工作模式,如下表所示: S3SM0 串口3工作模式 功能说明 0 模式0 可变波特率8位数据方式 1 模式1 可变波特率9位数据方式 S3ST3:选择串口 3 的波特率发生器 0:选择定时器 2 为串口 3 的波特率发生器 1:选择定时器 3 为串口 3 的波特率发生器 S3SM2:允许串口 3 在模式 1 时允许多机通信控制位。在模式 1 时,如果 S3SM2 位为 1 且 S3REN 位为 1,则接收机处于地址帧筛选状态。此时可以利用接收到的第 9 位(即 S3RB8)来筛选地址帧: 若 S3RB8=1,说明该帧是地址帧,地址信息可以进入 S3BUF,并使 S3RI 为 1,进而在中断服务 程序中再进行地址号比较;若 S3RB8=0,说明该帧不是地址帧,应丢掉且保持 S3RI=0。在模式 1 中,如果 S3SM2 位为 0 且 S3REN 位为 1,接收收机处于地址帧筛选被禁止状态。不论收到的 S3RB8 为 0 或 1,均可使接收到的信息进入 S3BUF,并使 S3RI=1,此时 S3RB8 通常为校验位。模式 0 为非多机通信方式,在这种方式时,要设置 S3SM2 应为 0。 S3REN:允许/禁止串口接收控制位 0:禁止串口接收数据 1:允许串口接收数据 S3TB8:当串口 3 使用模式 1 时,S3TB8 为要发送的第 9 位数据,一般用作校验位或者地址帧/数据帧标 志位,按需要由软件置位或清 0。在模式 0 中,该位不用。 S3RB8:当串口 3 使用模式 1 时,S3RB8 为接收到的第 9 位数据,一般用作校验位或者地址帧/数据帧标 志位。在模式 0 中,该位不用。 S3TI:串口 3 发送中断请求标志位。在停止位开始发送时由硬件自动将 S3TI 置 1,向 CPU 发请求中断, 响应中断后 S3TI 必须用软件清零。 S3RI:串口 3 接收中断请求标志位。串行接收到停止位的中间时刻由硬件自动将 S3RI 置 1,向 CPU 发 中断申请,响应中断后 S3RI 必须由软件清零。 14.4.2 串口 3 数据寄存器(S3BUF) 符号 地址 S3BUF ADH B7 B6 B5 B4 B3 B2 B1 B0 S3BUF:串口 1 数据接收/发送缓冲区。S3BUF 实际是 2 个缓冲器,读缓冲器和写缓冲器,两个操作分 别对应两个不同的寄存器,1 个是只写寄存器(写缓冲器),1 个是只读寄存器(读缓冲器)。对 S3BUF 进行读操作,实际是读取串口接收缓冲区,对 S3BUF 进行写操作则是触发串口开始发送 数据。 14.4.3 串口 3 模式 0,模式 0 波特率计算公式 串行口 3 的模式 0 为 8 位数据位可变波特率 UART 工作模式。此模式一帧信息为 10 位:1 位起始位, 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 566 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 8 位数据位(低位在先)和 1 位停止位。波特率可变,可根据需要进行设置波特率。TxD3 为数据发送口, RxD3 为数据接收口,串行口全双工接受/发送。 写S3BUF TxD3 Start D0 D1 D2 D3 D4 D5 D6 D7 Stop S3TI 发送数据(串口3模式0) 写S3CON S3REN=1, S3RI=0 RxD3 Start D0 D1 D2 D3 D4 D5 D6 D7 Stop S3RI 接收数据(串口3模式0) 串口 3 的波特率是可变的,其波特率可由定时器 2 或定时器 3 产生。当定时器采用 1T 模式时(12 倍速),相应的波特率的速度也会相应提高 12 倍。 串口 3 模式 0 的波特率计算公式如下表所示:(SYSclk 为系统工作频率) 选择定时器 定时器速度 波特率计算公式 1T 定时器2重载值 = 65536 - 12T 定时器2重载值 = 65536 - 1T 定时器3重载值 = 65536 - 12T 定时器3重载值 = 65536 - SYSclk 4×波特率 定时器2 SYSclk 12×4×波特率 SYSclk 4×波特率 定时器3 14.4.4 SYSclk 12×4×波特率 串口 3 模式 1,模式 1 波特率计算公式 串行口 3 的模式 1 为 9 位数据位可变波特率 UART 工作模式。此模式一帧信息为 11 位:1 位起始位, 9 位数据位(低位在先)和 1 位停止位。波特率可变,可根据需要进行设置波特率。TxD3 为数据发送口, RxD3 为数据接收口,串行口全双工接受/发送。 写S3BUF TxD3 Start D0 D1 D2 D3 D4 D5 D6 D7 TB8 Stop S3TI 发送数据(串口3模式1) 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 567 - STC8H 系列技术手册 写S3CON RxD3 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 S3REN=1,S3RI=0 Start D0 D1 D2 D3 D4 D5 D6 D7 RB8 Stop S3RI 接收数据(串口3模式1) 串口 3 模式 1 的波特率计算公式与模式 0 是完全相同的。请参考模式 0 的波特率计算公式。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 568 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 14.5 串口 4 14.5.1 串口 4 控制寄存器(S4CON) 符号 地址 B7 B6 B5 B4 B3 B2 B1 B0 S4CON 84H S4SM0 S4ST4 S4SM2 S4REN S4TB8 S4RB8 S4TI S4RI S4SM0:指定串口4的通信工作模式,如下表所示: S4SM0 串口4工作模式 功能说明 0 模式0 可变波特率8位数据方式 1 模式1 可变波特率9位数据方式 S4ST4:选择串口 4 的波特率发生器 0:选择定时器 2 为串口 4 的波特率发生器 1:选择定时器 4 为串口 4 的波特率发生器 S4SM2:允许串口 4 在模式 1 时允许多机通信控制位。在模式 1 时,如果 S4SM2 位为 1 且 S4REN 位为 1,则接收机处于地址帧筛选状态。此时可以利用接收到的第 9 位(即 S4RB8)来筛选地址帧: 若 S4RB8=1,说明该帧是地址帧,地址信息可以进入 S4BUF,并使 S4RI 为 1,进而在中断服务 程序中再进行地址号比较;若 S4RB8=0,说明该帧不是地址帧,应丢掉且保持 S4RI=0。在模式 1 中,如果 S4SM2 位为 0 且 S4REN 位为 1,接收收机处于地址帧筛选被禁止状态。不论收到的 S4RB8 为 0 或 1,均可使接收到的信息进入 S4BUF,并使 S4RI=1,此时 S4RB8 通常为校验位。模式 0 为非多机通信方式,在这种方式时,要设置 S4SM2 应为 0。 S4REN:允许/禁止串口接收控制位 0:禁止串口接收数据 1:允许串口接收数据 S4TB8:当串口 4 使用模式 1 时,S4TB8 为要发送的第 9 位数据,一般用作校验位或者地址帧/数据帧标 志位,按需要由软件置位或清 0。在模式 0 中,该位不用。 S4RB8:当串口 4 使用模式 1 时,S4RB8 为接收到的第 9 位数据,一般用作校验位或者地址帧/数据帧标 志位。在模式 0 中,该位不用。 S4TI:串口 4 发送中断请求标志位。在停止位开始发送时由硬件自动将 S4TI 置 1,向 CPU 发请求中断, 响应中断后 S4TI 必须用软件清零。 S4RI:串口 4 接收中断请求标志位。串行接收到停止位的中间时刻由硬件自动将 S4RI 置 1,向 CPU 发 中断申请,响应中断后 S4RI 必须由软件清零。 14.5.2 串口 4 数据寄存器(S4BUF) 符号 地址 S4BUF 85H B7 B6 B5 B4 B3 B2 B1 B0 S4BUF:串口 1 数据接收/发送缓冲区。S4BUF 实际是 2 个缓冲器,读缓冲器和写缓冲器,两个操作分 别对应两个不同的寄存器,1 个是只写寄存器(写缓冲器),1 个是只读寄存器(读缓冲器)。对 S4BUF 进行读操作,实际是读取串口接收缓冲区,对 S4BUF 进行写操作则是触发串口开始发送 数据。 14.5.3 串口 4 模式 0,模式 0 波特率计算公式 串行口 4 的模式 0 为 8 位数据位可变波特率 UART 工作模式。此模式一帧信息为 10 位:1 位起始位, 8 位数据位(低位在先)和 1 位停止位。波特率可变,可根据需要进行设置波特率。TxD4 为数据发送口, 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 569 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 RxD4 为数据接收口,串行口全双工接受/发送。 写S4BUF TxD4 Start D0 D1 D2 D3 D4 D5 D6 D7 Stop S4TI 发送数据(串口4模式0) 写S4CON S4REN=1, S4RI=0 RxD4 Start D0 D1 D2 D3 D4 D5 D6 D7 Stop S4RI 接收数据(串口4模式0) 串口 4 的波特率是可变的,其波特率可由定时器 2 或定时器 4 产生。当定时器采用 1T 模式时(12 倍速),相应的波特率的速度也会相应提高 12 倍。 串口 4 模式 0 的波特率计算公式如下表所示:(SYSclk 为系统工作频率) 选择定时器 定时器速度 波特率计算公式 1T 定时器2重载值 = 65536 - 12T 定时器2重载值 = 65536 - 1T 定时器4重载值 = 65536 - 12T 定时器4重载值 = 65536 - SYSclk 4×波特率 定时器2 SYSclk 12×4×波特率 SYSclk 4×波特率 定时器4 14.5.4 SYSclk 12×4×波特率 串口 4 模式 1,模式 1 波特率计算公式 串行口 4 的模式 1 为 9 位数据位可变波特率 UART 工作模式。此模式一帧信息为 11 位:1 位起始位, 9 位数据位(低位在先)和 1 位停止位。波特率可变,可根据需要进行设置波特率。TxD4 为数据发送口, RxD4 为数据接收口,串行口全双工接受/发送。 写S4BUF TxD4 Start D0 D1 D2 D3 D4 D5 D6 D7 TB8 Stop S4TI 发送数据(串口4模式1) 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 570 - STC8H 系列技术手册 写S4CON RxD4 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 S4REN=1,S4RI=0 Start D0 D1 D2 D3 D4 D5 D6 D7 RB8 Stop S4RI 接收数据(串口4模式1) 串口 4 模式 1 的波特率计算公式与模式 0 是完全相同的。请参考模式 0 的波特率计算公式。 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 571 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 14.6 串口注意事项 关于串口中断请求有如下问题需要注意:(串口 1、串口 2、串口 3、串口 4 均类似,下面以串口 1 为例进行说明) 8 位数据模式时,发送完成约 1/3 个停止位后产生 TI 中断请求,如下图所示: 写SBUF TxD Start D0 D1 D2 D3 D4 D5 D6 D7 Stop TI 发送数据(8位数据) 8 位数据模式时,接收完成一半个停止位后产生 RI 中断请求,如下图所示: 写SCON RxD REN=1, RI=0 Start D0 D1 D2 D3 D4 D5 D6 D7 Stop RI 接收数据(8位数据) 9 位数据模式时,发送完成约 1/3 个停止位后产生 TI 中断请求: 写SBUF TxD Start D0 D1 D2 D3 D4 D5 D6 D7 TB8 Stop D7 RB8 Stop TI 发送数据(9位数据) 9 位数据模式时,一半个停止位后产生 RI 中断请求,如下图所示: 写SCON RxD REN=1,RI=0 Start D0 D1 D2 D3 D4 D5 D6 RI 接收数据(9位数据) 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 572 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 14.7 范例程序 串口 1 使用定时器 2 做波特率发生器 14.7.1 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" #define #define FOSC BRT 11059200UL (65536 - FOSC / 115200 / 4) sfr sfr sfr AUXR T2H T2L = = = 0x8e; 0xd6; 0xd7; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x93; 0x94; 0x91; 0x92; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; bit char char char busy; wptr; rptr; buffer[16]; void UartIsr() interrupt 4 { if (TI) { TI = 0; busy = 0; } if (RI) { RI = 0; buffer[wptr++] = SBUF; wptr &= 0x0f; } } void UartInit() { SCON = 0x50; T2L = BRT; T2H = BRT >> 8; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 573 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 AUXR = 0x15; wptr = 0x00; rptr = 0x00; busy = 0; } void UartSend(char dat) { while (busy); busy = 1; SBUF = dat; } void UartSendStr(char *p) { while (*p) { UartSend(*p++); } } void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; UartInit(); ES = 1; EA = 1; UartSendStr(“Uart Test !\r\n”); while (1) { if (rptr != wptr) { UartSend(buffer[rptr++]); rptr &= 0x0f; } } } 汇编代码 ;测试工作频率为 11.0592MHz AUXR T2H T2L DATA DATA DATA 深圳国芯人工智能有限公司 8EH 0D6H 0D7H 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 574 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com BUSY WPTR RPTR BUFFER BIT DATA DATA DATA 20H.0 21H 22H 23H P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 093H 094H 091H 092H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0023H UART_ISR ORG 0100H PUSH PUSH MOV ACC PSW PSW,#08H JNB CLR CLR TI,CHKRI TI BUSY 技术支持: 19864585985 选型顾问: 13922805190 ;16 bytes UART_ISR: CHKRI: JNB CLR MOV ANL ADD MOV MOV INC UARTISR_EXIT: POP POP RETI RI,UARTISR_EXIT RI A,WPTR A,#0FH A,#BUFFER R0,A @R0,SBUF WPTR PSW ACC UART_INIT: MOV MOV MOV MOV CLR MOV MOV RET SCON,#50H T2L,#0E8H T2H,#0FFH AUXR,#15H BUSY WPTR,#00H RPTR,#00H JB BUSY,$ ;65536-11059200/115200/4=0FFE8H UART_SEND: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 575 - STC8H 系列技术手册 SETB MOV RET UART_SENDSTR: CLR MOVC JZ LCALL INC JMP SENDEND: RET 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 BUSY SBUF,A A A,@A+DPTR SENDEND UART_SEND DPTR UART_SENDSTR MAIN: MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H LCALL SETB SETB UART_INIT ES EA MOV LCALL DPTR,#STRING UART_SENDSTR MOV XRL ANL JZ MOV ANL ADD MOV MOV LCALL INC JMP A,RPTR A,WPTR A,#0FH LOOP A,RPTR A,#0FH A,#BUFFER R0,A A,@R0 UART_SEND RPTR LOOP DB 'Uart Test !',0DH,0AH,00H LOOP: STRING: END 14.7.2 串口 1 使用定时器 1(模式 0)做波特率发生器 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 576 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" #define #define FOSC BRT 11059200UL (65536 - FOSC / 115200 / 4) sfr AUXR = 0x8e; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x93; 0x94; 0x91; 0x92; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; bit char char char busy; wptr; rptr; buffer[16]; void UartIsr() interrupt 4 { if (TI) { TI = 0; busy = 0; } if (RI) { RI = 0; buffer[wptr++] = SBUF; wptr &= 0x0f; } } void UartInit() { SCON = 0x50; TMOD = 0x00; TL1 = BRT; TH1 = BRT >> 8; TR1 = 1; AUXR = 0x40; wptr = 0x00; rptr = 0x00; busy = 0; } void UartSend(char dat) { 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 577 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 while (busy); busy = 1; SBUF = dat; } void UartSendStr(char *p) { while (*p) { UartSend(*p++); } } void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; UartInit(); ES = 1; EA = 1; UartSendStr(“Uart Test !\r\n”); while (1) { if (rptr != wptr) { UartSend(buffer[rptr++]); rptr &= 0x0f; } } } 汇编代码 ;测试工作频率为 11.0592MHz AUXR DATA 8EH BUSY WPTR RPTR BUFFER BIT DATA DATA DATA 20H.0 21H 22H 23H P0M1 P0M0 P1M1 P1M0 P2M1 DATA DATA DATA DATA DATA 093H 094H 091H 092H 095H 深圳国芯人工智能有限公司 ;16 bytes 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 578 - STC8H 系列技术手册 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 官方网站: www.STCMCUDATA.com DATA DATA DATA DATA DATA DATA DATA 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0023H UART_ISR ORG 0100H PUSH PUSH MOV ACC PSW PSW,#08H JNB CLR CLR TI,CHKRI TI BUSY 技术支持: 19864585985 选型顾问: 13922805190 UART_ISR: CHKRI: JNB CLR MOV ANL ADD MOV MOV INC UARTISR_EXIT: POP POP RETI RI,UARTISR_EXIT RI A,WPTR A,#0FH A,#BUFFER R0,A @R0,SBUF WPTR PSW ACC UART_INIT: MOV MOV MOV MOV SETB MOV CLR MOV MOV RET SCON,#50H TMOD,#00H TL1,#0E8H TH1,#0FFH TR1 AUXR,#40H BUSY WPTR,#00H RPTR,#00H JB SETB MOV RET BUSY,$ BUSY SBUF,A ;65536-11059200/115200/4=0FFE8H UART_SEND: UART_SENDSTR: CLR MOVC JZ 深圳国芯人工智能有限公司 A A,@A+DPTR SENDEND 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 579 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com LCALL INC JMP 技术支持: 19864585985 选型顾问: 13922805190 UART_SEND DPTR UART_SENDSTR SENDEND: RET MAIN: MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H LCALL SETB SETB UART_INIT ES EA MOV LCALL DPTR,#STRING UART_SENDSTR MOV XRL ANL JZ MOV ANL ADD MOV MOV LCALL INC JMP A,RPTR A,WPTR A,#0FH LOOP A,RPTR A,#0FH A,#BUFFER R0,A A,@R0 UART_SEND RPTR LOOP DB 'Uart Test !',0DH,0AH,00H LOOP: STRING: END 串口 1 使用定时器 1(模式 2)做波特率发生器 14.7.3 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" #define FOSC 深圳国芯人工智能有限公司 11059200UL 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 580 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com #define BRT (256 - FOSC / 115200 / 32) sfr AUXR = 0x8e; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x93; 0x94; 0x91; 0x92; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; bit char char char busy; wptr; rptr; buffer[16]; 技术支持: 19864585985 选型顾问: 13922805190 void UartIsr() interrupt 4 { if (TI) { TI = 0; busy = 0; } if (RI) { RI = 0; buffer[wptr++] = SBUF; wptr &= 0x0f; } } void UartInit() { SCON = 0x50; TMOD = 0x20; TL1 = BRT; TH1 = BRT; TR1 = 1; AUXR = 0x40; wptr = 0x00; rptr = 0x00; busy = 0; } void UartSend(char dat) { while (busy); busy = 1; SBUF = dat; } void UartSendStr(char *p) { 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 581 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 while (*p) { UartSend(*p++); } } void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; UartInit(); ES = 1; EA = 1; UartSendStr(“Uart Test !\r\n”); while (1) { if (rptr != wptr) { UartSend(buffer[rptr++]); rptr &= 0x0f; } } } 汇编代码 ;测试工作频率为 11.0592MHz AUXR DATA 8EH BUSY WPTR RPTR BUFFER BIT DATA DATA DATA 20H.0 21H 22H 23H P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 093H 094H 091H 092H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH 深圳国芯人工智能有限公司 ;16 bytes 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 582 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com ORG LJMP ORG LJMP 0000H MAIN 0023H UART_ISR ORG 0100H PUSH PUSH MOV ACC PSW PSW,#08H JNB CLR CLR TI,CHKRI TI BUSY 技术支持: 19864585985 选型顾问: 13922805190 UART_ISR: CHKRI: JNB CLR MOV ANL ADD MOV MOV INC UARTISR_EXIT: POP POP RETI RI,UARTISR_EXIT RI A,WPTR A,#0FH A,#BUFFER R0,A @R0,SBUF WPTR PSW ACC UART_INIT: MOV MOV MOV MOV SETB MOV CLR MOV MOV RET SCON,#50H TMOD,#20H TL1,#0FDH TH1,#0FDH TR1 AUXR,#40H BUSY WPTR,#00H RPTR,#00H JB SETB MOV RET BUSY,$ BUSY SBUF,A ;256-11059200/115200/32=0FDH UART_SEND: UART_SENDSTR: CLR MOVC JZ LCALL INC JMP SENDEND: RET A A,@A+DPTR SENDEND UART_SEND DPTR UART_SENDSTR MAIN: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 583 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H LCALL SETB SETB UART_INIT ES EA MOV LCALL DPTR,#STRING UART_SENDSTR MOV XRL ANL JZ MOV ANL ADD MOV MOV LCALL INC JMP A,RPTR A,WPTR A,#0FH LOOP A,RPTR A,#0FH A,#BUFFER R0,A A,@R0 UART_SEND RPTR LOOP DB 'Uart Test !',0DH,0AH,00H 技术支持: 19864585985 选型顾问: 13922805190 LOOP: STRING: END 串口 2 使用定时器 2 做波特率发生器 14.7.4 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" #define #define FOSC BRT 11059200UL (65536 - FOSC / 115200 / 4) sfr sfr sfr sfr sfr AUXR T2H T2L S2CON S2BUF = = = = = 深圳国芯人工智能有限公司 0x8e; 0xd6; 0xd7; 0x9a; 0x9b; 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 584 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com sfr IE2 = 0xaf; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x93; 0x94; 0x91; 0x92; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; bit char char char busy; wptr; rptr; buffer[16]; 技术支持: 19864585985 选型顾问: 13922805190 void Uart2Isr() interrupt 8 { if (S2CON & 0x02) { S2CON &= ~0x02; busy = 0; } if (S2CON & 0x01) { S2CON &= ~0x01; buffer[wptr++] = S2BUF; wptr &= 0x0f; } } void Uart2Init() { S2CON = 0x10; T2L = BRT; T2H = BRT >> 8; AUXR = 0x14; wptr = 0x00; rptr = 0x00; busy = 0; } void Uart2Send(char dat) { while (busy); busy = 1; S2BUF = dat; } void Uart2SendStr(char *p) { while (*p) { Uart2Send(*p++); } 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 585 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 } void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; Uart2Init(); IE2 = 0x01; EA = 1; Uart2SendStr(“Uart Test !\r\n”); while (1) { if (rptr != wptr) { Uart2Send(buffer[rptr++]); rptr &= 0x0f; } } } 汇编代码 ;测试工作频率为 11.0592MHz AUXR T2H T2L S2CON S2BUF IE2 DATA DATA DATA DATA DATA DATA 8EH 0D6H 0D7H 9AH 9BH 0AFH BUSY WPTR RPTR BUFFER BIT DATA DATA DATA 20H.0 21H 22H 23H P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 093H 094H 091H 092H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 深圳国芯人工智能有限公司 ;16 bytes 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 586 - STC8H 系列技术手册 P5M0 官方网站: www.STCMCUDATA.com DATA 0CAH ORG LJMP ORG LJMP 0000H MAIN 0043H UART2_ISR ORG 0100H PUSH PUSH MOV ACC PSW PSW,#08H MOV JNB ANL CLR A,S2CON ACC.1,CHKRI S2CON,#NOT 02H BUSY 技术支持: 19864585985 选型顾问: 13922805190 UART2_ISR: CHKRI: JNB ANL MOV ANL ADD MOV MOV INC UART2ISR_EXIT: POP POP RETI ACC.0,UART2ISR_EXIT S2CON,#NOT 01H A,WPTR A,#0FH A,#BUFFER R0,A @R0,S2BUF WPTR PSW ACC UART2_INIT: MOV MOV MOV MOV CLR MOV MOV RET S2CON,#10H T2L,#0E8H T2H,#0FFH AUXR,#14H BUSY WPTR,#00H RPTR,#00H JB SETB MOV RET BUSY,$ BUSY S2BUF,A ;65536-11059200/115200/4=0FFE8H UART2_SEND: UART2_SENDSTR: CLR MOVC JZ LCALL INC JMP SEND2END: RET A A,@A+DPTR SEND2END UART2_SEND DPTR UART2_SENDSTR MAIN: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 587 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H LCALL MOV SETB UART2_INIT IE2,#01H EA MOV LCALL DPTR,#STRING UART2_SENDSTR MOV XRL ANL JZ MOV ANL ADD MOV MOV LCALL INC JMP A,RPTR A,WPTR A,#0FH LOOP A,RPTR A,#0FH A,#BUFFER R0,A A,@R0 UART2_SEND RPTR LOOP DB 'Uart Test !',0DH,0AH,00H 技术支持: 19864585985 选型顾问: 13922805190 LOOP: STRING: END 串口 3 使用定时器 2 做波特率发生器 14.7.5 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" #define #define FOSC BRT 11059200UL (65536 - FOSC / 115200 / 4) sfr sfr sfr sfr sfr AUXR T2H T2L S3CON S3BUF = = = = = 深圳国芯人工智能有限公司 0x8e; 0xd6; 0xd7; 0xac; 0xad; 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 588 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com sfr IE2 = 0xaf; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x93; 0x94; 0x91; 0x92; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; bit char char char busy; wptr; rptr; buffer[16]; 技术支持: 19864585985 选型顾问: 13922805190 void Uart3Isr() interrupt 17 { if (S3CON & 0x02) { S3CON &= ~0x02; busy = 0; } if (S3CON & 0x01) { S3CON &= ~0x01; buffer[wptr++] = S3BUF; wptr &= 0x0f; } } void Uart3Init() { S3CON = 0x10; T2L = BRT; T2H = BRT >> 8; AUXR = 0x14; wptr = 0x00; rptr = 0x00; busy = 0; } void Uart3Send(char dat) { while (busy); busy = 1; S3BUF = dat; } void Uart3SendStr(char *p) { while (*p) { Uart3Send(*p++); } 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 589 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 } void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; Uart3Init(); IE2 = 0x08; EA = 1; Uart3SendStr(“Uart Test !\r\n”); while (1) { if (rptr != wptr) { Uart3Send(buffer[rptr++]); rptr &= 0x0f; } } } 汇编代码 ;测试工作频率为 11.0592MHz AUXR T2H T2L S3CON S3BUF IE2 DATA DATA DATA DATA DATA DATA 8EH 0D6H 0D7H 0ACH 0ADH 0AFH BUSY WPTR RPTR BUFFER BIT DATA DATA DATA 20H.0 21H 22H 23H P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 093H 094H 091H 092H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 深圳国芯人工智能有限公司 ;16 bytes 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 590 - STC8H 系列技术手册 P5M0 官方网站: www.STCMCUDATA.com DATA 0CAH ORG LJMP ORG LJMP 0000H MAIN 008BH UART3_ISR ORG 0100H PUSH PUSH MOV ACC PSW PSW,#08H MOV JNB ANL CLR A,S3CON ACC.1,CHKRI S3CON,#NOT 02H BUSY 技术支持: 19864585985 选型顾问: 13922805190 UART3_ISR: CHKRI: JNB ANL MOV ANL ADD MOV MOV INC UART3ISR_EXIT: POP POP RETI ACC.0,UART3ISR_EXIT S3CON,#NOT 01H A,WPTR A,#0FH A,#BUFFER R0,A @R0,S3BUF WPTR PSW ACC UART3_INIT: MOV MOV MOV MOV CLR MOV MOV RET S3CON,#10H T2L,#0E8H T2H,#0FFH AUXR,#14H BUSY WPTR,#00H RPTR,#00H JB SETB MOV RET BUSY,$ BUSY S3BUF,A ;65536-11059200/115200/4=0FFE8H UART3_SEND: UART3_SENDSTR: CLR MOVC JZ LCALL INC JMP SEND3END: RET A A,@A+DPTR SEND3END UART3_SEND DPTR UART3_SENDSTR MAIN: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 591 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H LCALL MOV SETB UART3_INIT IE2,#08H EA MOV LCALL DPTR,#STRING UART3_SENDSTR MOV XRL ANL JZ MOV ANL ADD MOV MOV LCALL INC JMP A,RPTR A,WPTR A,#0FH LOOP A,RPTR A,#0FH A,#BUFFER R0,A A,@R0 UART3_SEND RPTR LOOP DB 'Uart Test !',0DH,0AH,00H 技术支持: 19864585985 选型顾问: 13922805190 LOOP: STRING: END 串口 3 使用定时器 3 做波特率发生器 14.7.6 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" #define #define FOSC BRT 11059200UL (65536 - FOSC / 115200 / 4) sfr sfr sfr sfr sfr T4T3M T4L T4H T3L T3H = = = = = 深圳国芯人工智能有限公司 0xd1; 0xd3; 0xd2; 0xd5; 0xd4; 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 592 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com sfr sfr sfr sfr sfr T2L T2H S3CON S3BUF IE2 = = = = = 0xd7; 0xd6; 0xac; 0xad; 0xaf; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x93; 0x94; 0x91; 0x92; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; bit char char char busy; wptr; rptr; buffer[16]; 技术支持: 19864585985 选型顾问: 13922805190 void Uart3Isr() interrupt 17 { if (S3CON & 0x02) { S3CON &= ~0x02; busy = 0; } if (S3CON & 0x01) { S3CON &= ~0x01; buffer[wptr++] = S3BUF; wptr &= 0x0f; } } void Uart3Init() { S3CON = 0x50; T3L = BRT; T3H = BRT >> 8; T4T3M = 0x0a; wptr = 0x00; rptr = 0x00; busy = 0; } void Uart3Send(char dat) { while (busy); busy = 1; S3BUF = dat; } void Uart3SendStr(char *p) { 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 593 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 while (*p) { Uart3Send(*p++); } } void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; Uart3Init(); IE2 = 0x08; EA = 1; Uart3SendStr(“Uart Test !\r\n”); while (1) { if (rptr != wptr) { Uart3Send(buffer[rptr++]); rptr &= 0x0f; } } } 汇编代码 ;测试工作频率为 11.0592MHz T4T3M T4L T4H T3L T3H T2L T2H S3CON S3BUF IE2 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 0D1H 0D3H 0D2H 0D5H 0D4H 0D7H 0D6H 0ACH 0ADH 0AFH BUSY WPTR RPTR BUFFER BIT DATA DATA DATA 20H.0 21H 22H 23H P0M1 P0M0 P1M1 DATA DATA DATA 093H 094H 091H 深圳国芯人工智能有限公司 ;16 bytes 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 594 - STC8H 系列技术手册 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 官方网站: www.STCMCUDATA.com DATA DATA DATA DATA DATA DATA DATA DATA DATA 092H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 008BH UART3_ISR ORG 0100H PUSH PUSH MOV ACC PSW PSW,#08H MOV JNB ANL CLR A,S3CON ACC.1,CHKRI S3CON,#NOT 02H BUSY 技术支持: 19864585985 选型顾问: 13922805190 UART3_ISR: CHKRI: JNB ANL MOV ANL ADD MOV MOV INC UART3ISR_EXIT: POP POP RETI ACC.0,UART3ISR_EXIT S3CON,#NOT 01H A,WPTR A,#0FH A,#BUFFER R0,A @R0,S3BUF WPTR PSW ACC UART3_INIT: MOV MOV MOV MOV CLR MOV MOV RET S3CON,#50H T3L,#0E8H T3H,#0FFH T4T3M,#0AH BUSY WPTR,#00H RPTR,#00H JB SETB MOV RET BUSY,$ BUSY S3BUF,A ;65536-11059200/115200/4=0FFE8H UART3_SEND: UART3_SENDSTR: CLR MOVC 深圳国芯人工智能有限公司 A A,@A+DPTR 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 595 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com JZ LCALL INC JMP 技术支持: 19864585985 选型顾问: 13922805190 SEND3END UART3_SEND DPTR UART3_SENDSTR SEND3END: RET MAIN: MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H LCALL MOV SETB UART3_INIT IE2,#08H EA MOV LCALL DPTR,#STRING UART3_SENDSTR MOV XRL ANL JZ MOV ANL ADD MOV MOV LCALL INC JMP A,RPTR A,WPTR A,#0FH LOOP A,RPTR A,#0FH A,#BUFFER R0,A A,@R0 UART3_SEND RPTR LOOP DB 'Uart Test !',0DH,0AH,00H LOOP: STRING: END 14.7.7 串口 4 使用定时器 2 做波特率发生器 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 596 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com #define #define FOSC BRT 11059200UL (65536 - FOSC / 115200 / 4) sfr sfr sfr sfr sfr sfr AUXR T2H T2L S4CON S4BUF IE2 = = = = = = 0x8e; 0xd6; 0xd7; 0x84; 0x85; 0xaf; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x93; 0x94; 0x91; 0x92; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; bit char char char busy; wptr; rptr; buffer[16]; 技术支持: 19864585985 选型顾问: 13922805190 void Uart4Isr() interrupt 18 { if (S4CON & 0x02) { S4CON &= ~0x02; busy = 0; } if (S4CON & 0x01) { S4CON &= ~0x01; buffer[wptr++] = S4BUF; wptr &= 0x0f; } } void Uart4Init() { S4CON = 0x10; T2L = BRT; T2H = BRT >> 8; AUXR = 0x14; wptr = 0x00; rptr = 0x00; busy = 0; } void Uart4Send(char dat) { while (busy); busy = 1; S4BUF = dat; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 597 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 } void Uart4SendStr(char *p) { while (*p) { Uart4Send(*p++); } } void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; Uart4Init(); IE2 = 0x10; EA = 1; Uart4SendStr(“Uart Test !\r\n”); while (1) { if (rptr != wptr) { Uart4Send(buffer[rptr++]); rptr &= 0x0f; } } } 汇编代码 ;测试工作频率为 11.0592MHz AUXR T2H T2L S4CON S4BUF IE2 DATA DATA DATA DATA DATA DATA 8EH 0D6H 0D7H 84H 85H 0AFH BUSY WPTR RPTR BUFFER BIT DATA DATA DATA 20H.0 21H 22H 23H P0M1 P0M0 P1M1 DATA DATA DATA 093H 094H 091H 深圳国芯人工智能有限公司 ;16 bytes 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 598 - STC8H 系列技术手册 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 官方网站: www.STCMCUDATA.com DATA DATA DATA DATA DATA DATA DATA DATA DATA 092H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0093H UART4_ISR ORG 0100H PUSH PUSH MOV ACC PSW PSW,#08H MOV JNB ANL CLR A,S4CON ACC.1,CHKRI S4CON,#NOT 02H BUSY 技术支持: 19864585985 选型顾问: 13922805190 UART4_ISR: CHKRI: JNB ANL MOV ANL ADD MOV MOV INC UART4ISR_EXIT: POP POP RETI ACC.0,UART4ISR_EXIT S4CON,#NOT 01H A,WPTR A,#0FH A,#BUFFER R0,A @R0,S4BUF WPTR PSW ACC UART4_INIT: MOV MOV MOV MOV CLR MOV MOV RET S4CON,#10H T2L,#0E8H T2H,#0FFH AUXR,#14H BUSY WPTR,#00H RPTR,#00H JB SETB MOV RET BUSY,$ BUSY S4BUF,A ;65536-11059200/115200/4=0FFE8H UART4_SEND: UART4_SENDSTR: CLR MOVC 深圳国芯人工智能有限公司 A A,@A+DPTR 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 599 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com JZ LCALL INC JMP 技术支持: 19864585985 选型顾问: 13922805190 SEND4END UART4_SEND DPTR UART4_SENDSTR SEND4END: RET MAIN: MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H LCALL MOV SETB UART4_INIT IE2,#10H EA MOV LCALL DPTR,#STRING UART4_SENDSTR MOV XRL ANL JZ MOV ANL ADD MOV MOV LCALL INC JMP A,RPTR A,WPTR A,#0FH LOOP A,RPTR A,#0FH A,#BUFFER R0,A A,@R0 UART4_SEND RPTR LOOP DB 'Uart Test !',0DH,0AH,00H LOOP: STRING: END 14.7.8 串口 4 使用定时器 4 做波特率发生器 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 600 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com #define #define FOSC BRT 11059200UL (65536 - FOSC / 115200 / 4) sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr T4T3M T4L T4H T3L T3H T2L T2H S4CON S4BUF IE2 = = = = = = = = = = 0xd1; 0xd3; 0xd2; 0xd5; 0xd4; 0xd7; 0xd6; 0x84; 0x85; 0xaf; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x93; 0x94; 0x91; 0x92; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; bit char char char busy; wptr; rptr; buffer[16]; 技术支持: 19864585985 选型顾问: 13922805190 void Uart4Isr() interrupt 18 { if (S4CON & 0x02) { S4CON &= ~0x02; busy = 0; } if (S4CON & 0x01) { S4CON &= ~0x01; buffer[wptr++] = S4BUF; wptr &= 0x0f; } } void Uart4Init() { S4CON = 0x50; T4L = BRT; T4H = BRT >> 8; T4T3M = 0xa0; wptr = 0x00; rptr = 0x00; busy = 0; } void Uart4Send(char dat) 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 601 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 { while (busy); busy = 1; S4BUF = dat; } void Uart4SendStr(char *p) { while (*p) { Uart4Send(*p++); } } void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; Uart4Init(); IE2 = 0x10; EA = 1; Uart4SendStr(“Uart Test !\r\n”); while (1) { if (rptr != wptr) { Uart4Send(buffer[rptr++]); rptr &= 0x0f; } } } 汇编代码 ;测试工作频率为 11.0592MHz T4T3M T4L T4H T3L T3H T2L T2H S4CON S4BUF IE2 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 深圳国芯人工智能有限公司 0D1H 0D3H 0D2H 0D5H 0D4H 0D7H 0D6H 84H 85H 0AFH 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 602 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com BUSY WPTR RPTR BUFFER BIT DATA DATA DATA 20H.0 21H 22H 23H P0M1 P0M0 P1M1 P1M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 093H 094H 091H 092H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP ORG LJMP 0000H MAIN 0093H UART4_ISR ORG 0100H PUSH PUSH MOV ACC PSW PSW,#08H MOV JNB ANL CLR A,S4CON ACC.1,CHKRI S4CON,#NOT 02H BUSY 技术支持: 19864585985 选型顾问: 13922805190 ;16 bytes UART4_ISR: CHKRI: JNB ANL MOV ANL ADD MOV MOV INC UART4ISR_EXIT: POP POP RETI ACC.0,UART4ISR_EXIT S4CON,#NOT 01H A,WPTR A,#0FH A,#BUFFER R0,A @R0,S4BUF WPTR PSW ACC UART4_INIT: MOV MOV MOV MOV CLR MOV MOV RET S4CON,#50H T4L,#0E8H T4H,#0FFH T4T3M,#0A0H BUSY WPTR,#00H RPTR,#00H ;65536-11059200/115200/4=0FFE8H UART4_SEND: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 603 - STC8H 系列技术手册 JB SETB MOV RET UART4_SENDSTR: CLR MOVC JZ LCALL INC JMP SEND4END: RET 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 BUSY,$ BUSY S4BUF,A A A,@A+DPTR SEND4END UART4_SEND DPTR UART4_SENDSTR MAIN: MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H LCALL MOV SETB UART4_INIT IE2,#10H EA MOV LCALL DPTR,#STRING UART4_SENDSTR MOV XRL ANL JZ MOV ANL ADD MOV MOV LCALL INC JMP A,RPTR A,WPTR A,#0FH LOOP A,RPTR A,#0FH A,#BUFFER R0,A A,@R0 UART4_SEND RPTR LOOP DB 'Uart Test !',0DH,0AH,00H LOOP: STRING: END 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 604 - STC8H 系列技术手册 14.7.9 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 串口多机通讯 现参考 STC15 系列数据手册,后续补充 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 605 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 14.7.10 串口转 LIN 总线 C 语言代码 //测试工作频率为 22.1184MHz /************* 功能说明 ************** 本例程基于 STC8H8K64U 为主控芯片的实验箱 8 进行编写测试,STC8G、STC8H 系列芯片可通用参考. 通过 UART 接口连接 LIN 收发器实现 LIN 总线信号收发测试例程. UART1 通过串口工具连接电脑. UART2 外接 LIN 收发器(TJA1020/1), 连接 LIN 总线. 将电脑串口发送的数据转发到 LIN 总线; 从 LIN 总线接收到的数据转发到电脑串口. 默认传输速率:9600 波特率,发送 LIN 数据前切换波特率,发送 13 个显性间隔信号. 下载时, 选择时钟 22.1184MHz (用户可自行修改频率). ******************************************/ #include "reg51.h" #include "intrins.h" #define MAIN_Fosc 22118400L typedef typedef typedef unsigned char unsigned int unsigned long u8; u16; u32; sfr sfr sfr sfr sfr sfr sfr sfr sfr AUXR S2CON S2BUF TH2 TL2 IE2 INT_CLKO P_SW1 P_SW2 = = = = = = = = = 0x8E; 0x9A; 0x9B; 0xD6; 0xD7; 0xAF; 0x8F; 0xA2; 0xBA; sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P4 P5 P6 P7 P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 P6M1 P6M0 P7M1 P7M0 = = = = = = = = = = = = = = = = = = = = 0xC0; 0xC8; 0xE8; 0xF8; 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xB1; 0xB2; 0xB3; 0xB4; 0xC9; 0xCA; 0xCB; 0xCC; 0xE1; 0xE2; sbit sbit P00 P01 = = P0^0; P0^1; 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 606 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit sbit P02 P03 P04 P05 P06 P07 P10 P11 P12 P13 P14 P15 P16 P17 P20 P21 P22 P23 P24 P25 P26 P27 P30 P31 P32 P33 P34 P35 P36 P37 P40 P41 P42 P43 P44 P45 P46 P47 P50 P51 P52 P53 P54 P55 P56 P57 = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = P0^2; P0^3; P0^4; P0^5; P0^6; P0^7; P1^0; P1^1; P1^2; P1^3; P1^4; P1^5; P1^6; P1^7; P2^0; P2^1; P2^2; P2^3; P2^4; P2^5; P2^6; P2^7; P3^0; P3^1; P3^2; P3^3; P3^4; P3^5; P3^6; P3^7; P4^0; P4^1; P4^2; P4^3; P4^4; P4^5; P4^6; P4^7; P5^0; P5^1; P5^2; P5^3; P5^4; P5^5; P5^6; P5^7; sbit SLP_N = P2^4; 技术支持: 19864585985 选型顾问: 13922805190 //0: Sleep /****************************** 用户定义宏 ***********************************/ #define #define Baudrate1 Baudrate2 (65536UL - (MAIN_Fosc / 4) / 9600UL) (65536UL - (MAIN_Fosc / 4) / 9600UL) #define Baudrate_Break (65536UL - (MAIN_Fosc / 4) / 6647UL) #define #define UART1_BUF_LENGTH UART2_BUF_LENGTH 深圳国芯人工智能有限公司 //发送显性间隔信号波特率 32 32 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 607 - STC8H 系列技术手册 #define LIN_ID 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 0x31 u8 TX1_Cnt; u8 RX1_Cnt; u8 TX2_Cnt; u8 RX2_Cnt; bit B_TX1_Busy; bit B_TX2_Busy; u8 RX1_TimeOut; u8 RX2_TimeOut; //发送计数 //接收计数 //发送计数 //接收计数 //发送忙标志 //发送忙标志 u8 xdata RX1_Buffer[UART1_BUF_LENGTH]; u8 xdata RX2_Buffer[UART2_BUF_LENGTH]; //接收缓冲 //接收缓冲 void UART1_config(u8 brt); void UART2_config(u8 brt); void PrintString1(u8 *puts); void delay_ms(u8 ms); void UART1_TxByte(u8 dat); void UART2_TxByte(u8 dat); void Lin_Send(u8 *puts); void SetTimer2Baudraye(u16 dat); //======================================================================== // 函数: void main(void) // 描述: 主函数。 // 参数: none. // 返回: none. // 版本: VER1.0 // 日期: 2014-11-28 // 备注: //======================================================================== void main(void) { u8 i; //设置为准双向口 //设置为准双向口 //设置为准双向口 //设置为准双向口 //设置为准双向口 //设置为准双向口 //设置为准双向口 //设置为准双向口 P0M1 = 0; P0M0 = 0; P1M1 = 0; P1M0 = 0; P2M1 = 0; P2M0 = 0; P3M1 = 0; P3M0 = 0; P4M1 = 0; P4M0 = 0; P5M1 = 0; P5M0 = 0; P6M1 = 0; P6M0 = 0; P7M1 = 0; P7M0 = 0; UART1_config(1); UART2_config(2); EA = 1; SLP_N = 1; //允许全局中断 PrintString1("STC8H8K64U UART1 Test Programme!\r\n"); //UART1 发送一个字符串 while (1) { delay_ms(1); if(RX1_TimeOut > 0) { if(--RX1_TimeOut == 0) { 深圳国芯人工智能有限公司 //超时,则串口接收结束 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 608 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com if(RX1_Cnt > 0) { Lin_Send(RX1_Buffer); } RX1_Cnt = 0; 技术支持: 19864585985 选型顾问: 13922805190 //将 UART1 收到的数据发送到 LIN 总线上 } } if(RX2_TimeOut > 0) { if(--RX2_TimeOut == 0) //超时,则串口接收结束 { if(RX2_Cnt > 0) { for (i=0; I < RX2_Cnt; i++) //遇到停止符 0 结束 { UART1_TxByte(RX2_Buffer[i]); //从 LIN 总线收到的数据发送到 UART1 } } RX2_Cnt = 0; } } } } //======================================================================== // 函数: void delay_ms(unsigned char ms) // 描述: 延时函数。 // 参数: ms,要延时的 ms 数, 这里只支持 1~255ms. 自动适应主时钟. // 返回: none. // 版本: VER1.0 // 日期: 2013-4-1 // 备注: //======================================================================== void delay_ms(u8 ms) { u16 i; do{ i = MAIN_Fosc / 10000; while(--i); //10T per loop }while(--ms); } //======================================================================== // 函数: u8 Lin_CheckPID(u8 id) // 描述: ID 码加上校验符,转成 PID 码。 // 参数: ID 码. // 返回: PID 码. // 版本: VER1.0 // 日期: 2020-12-2 // 备注: //======================================================================== u8 Lin_CheckPID(u8 id) { u8 returnpid ; u8 P0 ; u8 P1 ; P0 = (((id)^(id>>1)^(id>>2)^(id>>4))&0x01)1)^(id>>3)^(id>>4)^(id>>5)))&0x01) 8; IAP_TRIG = 0x5a; IAP_TRIG = 0xa5; _nop_(); IapIdle(); } 技术支持: 19864585985 //使能 IAP //设置等待参数 12MHz //设置 IAP 写命令 //设置 IAP 低地址 //设置 IAP 高地址 //写 IAP 数据 //写触发命令(0x5a) //写触发命令(0xa5) //关闭 IAP 功能 //使能 IAP //设置等待参数 12MHz //设置 IAP 擦除命令 //设置 IAP 低地址 //设置 IAP 高地址 //写触发命令(0x5a) //写触发命令(0xa5) // //关闭 IAP 功能 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 652 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; IapErase(0x0400); P0 = IapRead(0x0400); IapProgram(0x0400, 0x12); P1 = IapRead(0x0400); //P0=0xff //P1=0x12 while (1); } 汇编代码 ;测试工作频率为 11.0592MHz IAP_DATA IAP_ADDRH IAP_ADDRL IAP_CMD IAP_TRIG IAP_CONTR IAP_TPS DATA DATA DATA DATA DATA DATA DATA 0C2H 0C3H 0C4H 0C5H 0C6H 0C7H 0F5H IAP_OFFSET EQU 4000H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP 0000H MAIN ORG 0100H MOV MOV MOV IAP_CONTR,#0 IAP_CMD,#0 IAP_TRIG,#0 ;STC8H1K16 IAP_IDLE: 深圳国芯人工智能有限公司 ;关闭 IAP 功能 ;清除命令寄存器 ;清除触发寄存器 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 653 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 MOV MOV RET IAP_ADDRH,#80H IAP_ADDRL,#0 ;将地址设置到非 IAP 区域 MOV ADD MOV MOV ADDC MOV CLR MOVC RET A,#LOW IAP_OFFSET A,DPL DPL,A A,@HIGH IAP_OFFSET A,DPH DPH,A A A,@A+DPTR ;使用 MOVC 读取 EEPROM 需要加上相应的偏移 IAP_CONTR,#80H IAP_TPS,#12 IAP_CMD,#2 IAP_ADDRL,DPL IAP_ADDRH,DPH IAP_DATA,A IAP_TRIG,#5AH IAP_TRIG,#0A5H ;使能 IAP ;设置等待参数 12MHz ;设置 IAP 写命令 ;设置 IAP 低地址 ;设置 IAP 高地址 ;写 IAP 数据 ;写触发命令(0x5a) ;写触发命令(0xa5) IAP_IDLE ;关闭 IAP 功能 MOV MOV MOV MOV MOV MOV MOV NOP LCALL RET IAP_CONTR,#80H IAP_TPS,#12 IAP_CMD,#3 IAP_ADDRL,DPL IAP_ADDRH,DPH IAP_TRIG,#5AH IAP_TRIG,#0A5H ;使能 IAP ;设置等待参数 12MHz ;设置 IAP 擦除命令 ;设置 IAP 低地址 ;设置 IAP 高地址 ;写触发命令(0x5a) ;写触发命令(0xa5) IAP_IDLE ;关闭 IAP 功能 MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H MOV LCALL MOV LCALL DPTR,#0400H IAP_ERASE DPTR,#0400H IAP_READ IAP_READ: IAP_PROGRAM: MOV MOV MOV MOV MOV MOV MOV MOV NOP LCALL RET ;使用 MOVC 读取数据 IAP_ERASE: MAIN: 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 654 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com MOV MOV MOV LCALL MOV LCALL MOV P0,A DPTR,#0400H A,#12H IAP_PROGRAM DPTR,#0400H IAP_READ P1,A SJMP $ 技术支持: 19864585985 选型顾问: 13922805190 ;P0=0FFH ;P1=12H END 使用串口送出 EEPROM 数据 16.4.3 C 语言代码 //测试工作频率为 11.0592MHz #include "reg51.h" #include "intrins.h" #define #define FOSC BRT 11059200UL (65536 - FOSC / 115200 / 4) sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr sfr P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 = = = = = = = = = = = = 0x91; 0x92; 0x93; 0x94; 0x95; 0x96; 0xb1; 0xb2; 0xb3; 0xb4; 0xc9; 0xca; sfr sfr sfr AUXR T2H T2L = = = 0x8e; 0xd6; 0xd7; sfr sfr sfr sfr sfr sfr sfr IAP_DATA IAP_ADDRH IAP_ADDRL IAP_CMD IAP_TRIG IAP_CONTR IAP_TPS = = = = = = = 0xC2; 0xC3; 0xC4; 0xC5; 0xC6; 0xC7; 0xF5; void UartInit() { SCON = 0x5a; T2L = BRT; T2H = BRT >> 8; AUXR = 0x15; } 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 655 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 void UartSend(char dat) { while (!TI); TI = 0; SBUF = dat; } void IapIdle() { IAP_CONTR = 0; IAP_CMD = 0; IAP_TRIG = 0; IAP_ADDRH = 0x80; IAP_ADDRL = 0; } //关闭 IAP 功能 //清除命令寄存器 //清除触发寄存器 //将地址设置到非 IAP 区域 char IapRead(int addr) { char dat; //使能 IAP //设置等待参数 12MHz //设置 IAP 读命令 //设置 IAP 低地址 //设置 IAP 高地址 //写触发命令(0x5a) //写触发命令(0xa5) IAP_CONTR = 0x80; IAP_TPS = 12; IAP_CMD = 1; IAP_ADDRL = addr; IAP_ADDRH = addr >> 8; IAP_TRIG = 0x5a; IAP_TRIG = 0xa5; _nop_(); dat = IAP_DATA; IapIdle(); //读 IAP 数据 //关闭 IAP 功能 return dat; } void IapProgram(int addr, char dat) { IAP_CONTR = 0x80; IAP_TPS = 12; IAP_CMD = 2; IAP_ADDRL = addr; IAP_ADDRH = addr >> 8; IAP_DATA = dat; IAP_TRIG = 0x5a; IAP_TRIG = 0xa5; _nop_(); IapIdle(); } void IapErase(int addr) { IAP_CONTR = 0x80; IAP_TPS = 12; IAP_CMD = 3; IAP_ADDRL = addr; IAP_ADDRH = addr >> 8; IAP_TRIG = 0x5a; IAP_TRIG = 0xa5; _nop_(); IapIdle(); 深圳国芯人工智能有限公司 //使能 IAP //设置等待参数 12MHz //设置 IAP 写命令 //设置 IAP 低地址 //设置 IAP 高地址 //写 IAP 数据 //写触发命令(0x5a) //写触发命令(0xa5) //关闭 IAP 功能 //使能 IAP //设置等待参数 12MHz //设置 IAP 擦除命令 //设置 IAP 低地址 //设置 IAP 高地址 //写触发命令(0x5a) //写触发命令(0xa5) // //关闭 IAP 功能 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 656 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 } void main() { P0M0 = 0x00; P0M1 = 0x00; P1M0 = 0x00; P1M1 = 0x00; P2M0 = 0x00; P2M1 = 0x00; P3M0 = 0x00; P3M1 = 0x00; P4M0 = 0x00; P4M1 = 0x00; P5M0 = 0x00; P5M1 = 0x00; UartInit(); IapErase(0x0400); UartSend(IapRead(0x0400)); IapProgram(0x0400, 0x12); UartSend(IapRead(0x0400)); while (1); } 汇编代码 ;测试工作频率为 11.0592MHz AUXR T2H T2L DATA DATA DATA 8EH 0D6H 0D7H IAP_DATA IAP_ADDRH IAP_ADDRL IAP_CMD IAP_TRIG IAP_CONTR IAP_TPS DATA DATA DATA DATA DATA DATA DATA 0C2H 0C3H 0C4H 0C5H 0C6H 0C7H 0F5H P1M1 P1M0 P0M1 P0M0 P2M1 P2M0 P3M1 P3M0 P4M1 P4M0 P5M1 P5M0 DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA DATA 091H 092H 093H 094H 095H 096H 0B1H 0B2H 0B3H 0B4H 0C9H 0CAH ORG LJMP 0000H MAIN ORG 0100H 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 657 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 UART_INIT: MOV MOV MOV MOV RET SCON,#5AH T2L,#0E8H T2H,#0FFH AUXR,#15H JNB CLR MOV RET TI,$ TI SBUF,A MOV MOV MOV MOV MOV RET IAP_CONTR,#0 IAP_CMD,#0 IAP_TRIG,#0 IAP_ADDRH,#80H IAP_ADDRL,#0 ;关闭 IAP 功能 ;清除命令寄存器 ;清除触发寄存器 ;将地址设置到非 IAP 区域 MOV MOV MOV MOV MOV MOV MOV NOP MOV LCALL RET IAP_CONTR,#80H IAP_TPS,#12 IAP_CMD,#1 IAP_ADDRL,DPL IAP_ADDRH,DPH IAP_TRIG,#5AH IAP_TRIG,#0A5H ;使能 IAP ;设置等待参数 12MHz ;设置 IAP 读命令 ;设置 IAP 低地址 ;设置 IAP 高地址 ;写触发命令(0x5a) ;写触发命令(0xa5) A,IAP_DATA IAP_IDLE ;读取 IAP 数据 ;关闭 IAP 功能 IAP_CONTR,#80H IAP_TPS,#12 IAP_CMD,#2 IAP_ADDRL,DPL IAP_ADDRH,DPH IAP_DATA,A IAP_TRIG,#5AH IAP_TRIG,#0A5H ;使能 IAP ;设置等待参数 12MHz ;设置 IAP 写命令 ;设置 IAP 低地址 ;设置 IAP 高地址 ;写 IAP 数据 ;写触发命令(0x5a) ;写触发命令(0xa5) IAP_IDLE ;关闭 IAP 功能 IAP_CONTR,#80H IAP_TPS,#12 IAP_CMD,#3 IAP_ADDRL,DPL IAP_ADDRH,DPH IAP_TRIG,#5AH IAP_TRIG,#0A5H ;使能 IAP ;设置等待参数 12MHz ;设置 IAP 擦除命令 ;设置 IAP 低地址 ;设置 IAP 高地址 ;写触发命令(0x5a) ;写触发命令(0xa5) IAP_IDLE ;关闭 IAP 功能 ;65536-11059200/115200/4=0FFE8H UART_SEND: IAP_IDLE: IAP_READ: IAP_PROGRAM: MOV MOV MOV MOV MOV MOV MOV MOV NOP LCALL RET IAP_ERASE: MOV MOV MOV MOV MOV MOV MOV NOP LCALL RET 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 658 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 MAIN: MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV MOV SP, #5FH P0M0, #00H P0M1, #00H P1M0, #00H P1M1, #00H P2M0, #00H P2M1, #00H P3M0, #00H P3M1, #00H P4M0, #00H P4M1, #00H P5M0, #00H P5M1, #00H LCALL MOV LCALL MOV LCALL LCALL MOV MOV LCALL MOV LCALL LCALL UART_INIT DPTR,#0400H IAP_ERASE DPTR,#0400H IAP_READ UART_SEND DPTR,#0400H A,#12H IAP_PROGRAM DPTR,#0400H IAP_READ UART_SEND SJMP $ END 16.4.4 串口 1 读写 EEPROM-带 MOVC 读 C 语言代码(main.c) //测试工作频率为 11.0592MHz /* 本程序经过测试完全正常, 不提供电话技术支持, 如不能理解, 请自行补充相关基础. */ /************* 本程序功能说明 ************** STC8G 系列 EEPROM 通用测试程序. 请先别修改程序, 直接下载"02-串口 1 读写 EEPROM-带 MOVC 读"里面的"UART-EEPROM.hex"测试. 下载时选择主频 11.0592MHZ. PC 串口设置: 波特率 115200,8,n,1. 对 EEPROM 做扇区擦除、写入 64 字节、读出 64 字节的操作。 命令例子: E0 对 EEPROM 进行扇区擦除操作,E 表示擦除, 数字 0 为 0 扇区(十进制, 0~126, 看具体 IC). W0 对 EEPROM 进行写入操作,W 表示写入, 数字 0 为 0 扇区(十进制, 0~126, 看具体 IC). 从扇区的开始地址连续 写 64 字节. R 0 对 EEPROM 进行 IAP 读出操作,R 表示读出, 数字 0 为 0 扇区(十进制, 0~126, 看具体 IC). 从扇区的开始地址 连续读 64 字节. M0 对 EEPROM 进行 MOVC 读出操作(操作地址为扇区*512+偏移地址),数字 0 为 0 扇区(十进制, 0~126, 看具体 IC). 从扇区的开始地址连续读 64 字节. 深圳国芯人工智能有限公司 国内分销商电话: 0513-5501 2928/2929/2966 传真: 0513-5501 2926/2956/2947 - 659 - STC8H 系列技术手册 官方网站: www.STCMCUDATA.com 技术支持: 19864585985 选型顾问: 13922805190 注意:为了通用,程序不识别扇区是否有效,用户自己根据具体的型号来决定。 日期: 2019-6-10 ******************************************/ #include #include "config.H" "EEPROM.h" #define #define #define Baudrate1 UART1_BUF_LENGTH EEADDR_OFFSET 115200L 10 (8 * 1024) #define TimeOutSet1 5 //定义 EEPROM 用 MOVC 访问时加的偏移量, //等于 FLASH ROM 的大小.对于 IAP 或 IRC 开头的, //则偏移量必须为 0 /************* 本地常量声明 **************/ u8 code T_Strings[]={"去年今日此门中,人面桃花相映红。人面不知何处去,桃花依旧笑春风。"}; /************* 本地变量声明 **************/ u8 xdata tmp[70]; u8 xdata RX1_Buffer[UART1_BUF_LENGTH]; u8 RX1_Cnt; u8 RX1_TimeOut; bit B_TX1_Busy; /************* 本地函数声明 **************/ void UART1_config(void); void TX1_write2buff(u8 dat); void PrintString1(u8 *puts); /************* //写入发送缓冲 //发送一个字符串 外部函数和变量声明 *****************/ /**********************************************/ u8 { CheckData(u8 dat) if((dat >= '0') && (dat = 'A') && (dat = 'a') && (dat
STC8H3K64S2-45I-LQFP32 价格&库存

很抱歉,暂时无法提供与“STC8H3K64S2-45I-LQFP32”相匹配的价格&库存,您可以联系我们找货

免费人工找货
STC8H3K64S2-45I-LQFP32
  •  国内价格
  • 1+2.88550
  • 30+2.78600
  • 100+2.58700
  • 500+2.38800
  • 1000+2.28850

库存:0