0
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心
发布
  • 发文章

  • 发资料

  • 发帖

  • 提问

  • 发视频

创作活动
EVAL-AD7607EDZ

EVAL-AD7607EDZ

  • 厂商:

    AD(亚德诺)

  • 封装:

    -

  • 描述:

    EVAL BOARD FOR AD7607

  • 数据手册
  • 价格&库存
EVAL-AD7607EDZ 数据手册
8通道DAS,内置14位、 双极性输入、同步采样ADC AD7607 产品特性 应用 8路同步采样输入 双极性模拟输入范围:±10 V、±5 V 5 V单模拟电源,VDRIVE:2.3 V至5.25 V 完全集成的数据采集解决方案 模拟输入箝位保护 具有1 MΩ模拟输入阻抗的输入缓冲器 二阶抗混叠模拟滤波器 片内精密基准电压及缓冲 14位、200 kSPS ADC(所有通道) 灵活的并行/串行接口 SPI/QSPI™/MICROWIRE™/DSP兼容 14位至18位的引脚兼容解决方案 性能 模拟输入通道提供7 kV ESD额定值 高吞吐速率:200 kSPS(所有通道) 信噪比(SNR):85.5 dB(50 kSPS时) INL:±0.25 LSB;DNL:±0.25 LSB 低功耗:100 mW(200 kSPS时) 待机模式:25 mW(典型值) 64引脚LQFP封装 电力线监控和保护系统 多相电机控制 仪表和控制系统 多轴定位系统 数据采集系统(DAS) 表1. 高分辨率、双极性输入、同步采样DAS解决方案 分辨率 18位 16位 单端输入 AD7608 AD7606 AD7606-6 AD7606-4 AD7607 14位 同步采样通道数 8 8 6 4 8 功能框图 AVCC CLAMP CLAMP V2 CLAMP V2GND CLAMP V3 CLAMP V3GND CLAMP V4 CLAMP V4GND CLAMP V5 CLAMP V5GND CLAMP V6 CLAMP V6GND CLAMP V7 CLAMP V7GND CLAMP V8 CLAMP V8GND CLAMP RFB 1MΩ RFB 1MΩ RFB 1MΩ RFB 1MΩ RFB 1MΩ RFB 1MΩ RFB 1MΩ RFB 1MΩ RFB 1MΩ RFB 1MΩ RFB 1MΩ RFB 1MΩ RFB 1MΩ RFB 1MΩ RFB 1MΩ RFB SECONDORDER LPF REGCAP REGCAP 2.5V LDO 2.5V LDO REFCAPB REFCAPA T/H REFIN/REFOUT SECONDORDER LPF T/H 2.5V REF SECONDORDER LPF T/H REF SELECT AGND OS 2 OS 1 OS 0 SECONDORDER LPF T/H SERIAL 8:1 MUX SECONDORDER LPF 14-BIT SAR T/H DIGITAL FILTER PARALLEL/ SERIAL INTERFACE DOUTA DOUTB RD/SCLK CS PAR/SER/BYTE SEL VDRIVE SECONDORDER LPF T/H PARALLEL DB[15:0] AD7607 SECONDORDER LPF SECONDORDER LPF T/H CLK OSC CONTROL INPUTS T/H AGND CONVST A CONVST B RESET RANGE BUSY FRSTDATA 08096-001 V1 V1GND 1MΩ AVCC 图1. Rev. B Information furnished by Analog Devices is believed to be accurate and reliable. However, no responsibility is assumed by Analog Devices for its use, nor for any infringements of patents or other rights of third parties that may result from its use. Specifications subject to change without notice. No license is granted by implication or otherwise under any patent or patent rights of Analog Devices. Trademarks and registered trademarks are the property of their respective owners. One Technology Way, P.O. Box 9106, Norwood, MA 02062-9106, U.S.A. Tel: 781.329.4700 www.analog.com Fax: 781.461.3113 ©2010-2012 Analog Devices, Inc. All rights reserved. ADI中文版数据手册是英文版数据手册的译文,敬请谅解翻译中可能存在的语言组织或翻译错误,ADI不对翻译中存在的差异或由此产生的错误负责。如需确认任何词语的准确性,请参考ADI提供 的最新英文版数据手册。 AD7607 目录 产品特性 ......................................................................................... 1 应用.................................................................................................. 1 功能框图 ......................................................................................... 1 修订历史 ......................................................................................... 2 概述.................................................................................................. 3 技术规格 ......................................................................................... 4 时序规格.................................................................................... 6 绝对最大额定值.......................................................................... 10 热阻 .......................................................................................... 10 ESD警告................................................................................... 10 引脚配置和功能描述 ................................................................. 11 典型工作特性 .............................................................................. 14 术语................................................................................................ 18 工作原理 ....................................................................................... 19 转换器详解 ............................................................................. 19 模拟输入.................................................................................. 19 ADC传递函数 ........................................................................ 20 内部/外部基准电压源 .......................................................... 21 典型连接图 ............................................................................. 22 省电模式.................................................................................. 22 转换控制.................................................................................. 23 数字接口 ....................................................................................... 24 并行接口(PAR/SER/BYTE SEL = 0).................................... 24 并行字节接口(PAR/SER/BYTE SEL = 1,DB15 = 1)...... 24 串行接口(PAR/SER/BYTE SEL = 1).................................... 24 转换期间读取 ......................................................................... 25 数字滤波器 ............................................................................. 26 布局布线指南 .............................................................................. 29 外形尺寸 ....................................................................................... 31 订购指南.................................................................................. 31 修订历史 2012年1月—修订版A至修订版B 更改模拟输入范围部分............................................................. 19 2010年7月—修订版0至修订版A 更改表1 ........................................................................................... 1 2010年7月—修订版0:初始版 Rev. B | Page 2 of 32 AD7607 概述 AD76071是一款14位同步采样模数数据采集系统(DAS),该 器件内置模拟输入箝位保护、二阶抗混叠滤波器、跟踪保 持放大器、14位电荷再分配逐次逼近型模数转换器(ADC)、 灵活的数字滤波器、2.5 V基准电压源、基准电压缓冲以及 高速串行和并行接口。 AD7607采用5 V单电源供电,可以处理±10 V和±5 V全双极 性输入信号,同时所有通道均能以高达200 kSPS的吞吐速率 1 采样。输入箝位保护电路可以耐受最高达±16.5 V的电压。 无论以何种采样频率工作,AD7607的模拟输入阻抗均为 1 MΩ。它采用单电源工作方式,具有片内滤波和高输入阻 抗,因此无需驱动运算放大器和外部双极性电源。AD7607 抗混叠滤波器的3 dB截止频率为22 kHz;当采样速率为 200 kSPS时,它具有40 dB抗混叠抑制特性。灵活的数字滤波 器采用引脚驱动,可以简化外部滤波。 专利正在申请中。 Rev. B | Page 3 of 32 AD7607 技术规格 除非另有说明,VREF = 2.5 V外部/内部基准电压,AVCC = 4.75 V至5.25 V,VDRIVE = 2.3 V至5.25 V,fSAMPLE = 200 kSPS,TA = TMIN 至TMAX。1 表2. 参数 动态性能 信纳比(SINAD)2, 3 信噪比(SNR)2 总谐波失真(THD)2 峰值谐波或杂散噪声(SFDR)2 交调失真(IMD)2 二阶项 三阶项 通道间隔离2 模拟输入滤波器 全功率带宽 群延迟时间 直流精度 分辨率 微分非线性2 积分非线性2 正/负满量程误差2, 5 正满量程误差漂移2 负满量程误差漂移 正/负满量程误差匹配2 双极性零代码误差2, 6 双极性零代码误差漂移2 双极性零代码误差匹配 总不可调整误差(TUE) 模拟输入 输入电压范围 输入电流 输入电容7 输入阻抗 测试条件/注释 fIN = 1 kHz正弦波,除非另有说明 无过采样;±10 V范围 无过采样;±5 V范围 4倍过采样,fIN = 130 Hz 无过采样 最小值 典型值 84 83.5 84.5 84.5 85.5 84.5 −107 −108 最大值 −95 单位 dB dB dB dB dB dB fa = 1 kHz, fb = 1.1 kHz 未选中通道的fIN高达160 kHz −110 −106 −95 dB dB dB −3 dB, ±10 V范围 −3 dB, ±5 V范围 −0.1 dB, ±10 V范围 −0.1 dB, ±5 V范围 ±10 V范围 ±5 V范围 23 15 10 5 11 15 kHz kHz kHz kHz µs µs 14 无失码 外部基准电压源 内部基准电压源 外部基准电压源 内部基准电压源 外部基准电压源 内部基准电压源 ±10 V范围 ±0.25 ±0.25 ±2 ±2 ±2 ±7 ±4 ±8 2 ±0.95 ±0.5 ±9 ±5 V范围 ±10 V范围 ±5 V范围 ±10 V范围 ±5 V范围 ±10 V范围 ±5 V范围 ±10 V范围 ±5 V范围 4 ±0.5 ±1 10 5 1 3 ±0.5 ±1 10 ±2 ±3.5 RANGE = 1 RANGE = 0 +10 V +5 V 8 2.5 6 ±10 ±5 见“模拟输入”部分 Rev. B | Page 4 of 32 5.4 2.5 5 1 位 LSB 4 LSB LSB LSB ppm/°C ppm/°C ppm/°C ppm/°C LSB LSB LSB LSB µV/°C µV/°C LSB LSB LSB LSB V V µA µA pF MΩ AD7607 参数 基准电压输入/输出 基准输入电压范围 直流漏电流 输入电容7 基准输出电压 测试条件/注释 1 2 3 4 5 6 7 8 典型值 最大值 单位 2.475 2.5 2.525 ±1 V µA pF V REF SELECT = 1 REFIN/REFOUT 7.5 2.49/ 2.505 ±10 基准电压源温度系数 逻辑输入 输入高电压(VINH) 输入低电压(VINL) 输入电流(IIN) 输入电容(CIN)7 逻辑输出 输出高电压(VOH) 输出低电压(VOL) 浮空态泄漏电流 浮空态输出电容7 输出编码 转换速率 转换时间 采样保持器采集时间 吞吐速率 电源要求 AVCC VDRIVE ITOTAL 正常模式(静态) 正常模式(工作状态)8 待机模式 关断模式 功耗8 正常模式(静态) 正常模式(工作状态) 待机模式 关断模式 最小值 ppm/°C 0.9 × VDRIVE 0.1 × VDRIVE ±2 V V µA pF 0.2 ±20 V V µA pF 5 ISOURCE = 100 µA ISINK = 100 µA VDRIVE − 0.2 ±1 5 二进制补码 4 1 包括所有八个通道;见表3 200 µs µs kSPS 5.25 5.25 V V 16 20 5 2 22 27 8 6 mA mA mA µA 80 100 25 10 115.5 142 42 31.5 mW mW mW µW 包括所有8个通道 4.75 2.3 数字输入 = 0 V或VDRIVE B级温度范围为−40°C至+85°C。 参见术语部分。 此特性适用于转换期间或转换之后读取时。如果在并行模式下的转换期间读取且VDRIVE = 5 V,则SNR典型值降低1.5 dB,THD典型值降低3 dB。 LSB表示最低有效位。±5 V输入范围时,1 LSB = 610.35 μV。±10 V输入范围时,1 LSB = 1.22 mV。 此特性包括全温度范围变化和内部基准电压缓冲的贡献,但不包括外部基准电压源的误差贡献。 双极性零代码误差相对于模拟输入电压而计算。 样片在初次发布期间均经过测试,以确保符合标准要求。 工作功耗/电流数值包括以过采样模式运行时的贡献。 Rev. B | Page 5 of 32 AD7607 时序规格 除非另有说明,AVCC = 4.75 V至5.25 V,VDRIVE = 2.3 V至5.25 V,VREF = 2.5V外部/内部基准电压,TA = TMIN至TMAX。1 表3. 在TMIN、TMAX的限值 参数 并行/串行/字节模式 tCYCLE 最小值 典型值 最大值 单位 5 µs 9.1 µs µs 4.15 9.1 18.8 39 78 158 315 100 µs µs µs µs µs µs µs µs 1/吞吐速率 并行模式,转换期间或之后读取;或者串行模式(VDRIVE =3.3 V至5.25 V), 利用DOUTA和DOUTB线路在转换期间读取 串行模式,转换期间读取;VDRIVE= 2.7 V 串行模式,转换之后读取;VDRIVE= 2.3 V,DOUTA和DOUTB线路 转换时间 过采样关闭 2倍过采样 4倍过采样 8倍过采样 1倍过采样 32倍过采样 64倍过采样 STBY 上升沿到CONVST x上升沿;从待机模式上电的时间 30 13 25 ms ms ns ns ns ns ns ns ns ms ns ns STBY 上升沿到CONVST x上升沿;从关断模式上电的时间 STBY 上升沿到CONVST x上升沿;从关断模式上电的时间 RESET高电平脉冲宽度 BUSY到OS x引脚建立时间 BUSY到OS x引脚保持时间 CONVST x高电平到BUSY高电平 最短CONVST x低电平脉冲 最短CONVST x高电平脉冲 BUSY下降沿到CS下降沿建立时间 CONVST A/CONVST B上升沿之间最大容许延迟时间 最后CS上升沿与BUSY下降沿之间的最长时间 RESET低电平到CONVST x高电平之间的最短延迟时间 0 0 ns ns 16 21 25 32 15 22 ns ns ns ns ns ns CS 至RD建立时间 CS 至RD保持时间 RD 低电平脉冲宽度 VDRIVE高于4.75 V VDRIVE高于3.3 V VDRIVE高于2.7 V VDRIVE高于2.3 V RD 高电平脉冲宽度 CS 高电平脉冲宽度(见图5);CS与RD相连 5 tCONV 3.45 7.87 16.05 33 66 133 257 tWAKE-UP STANDBY tWAKE-UP SHUTDOWN 内部基准电压源 外部基准电压源 tRESET tOS_SETUP tOS_HOLD t1 t2 t3 t4 t5 2 t6 t7 并行/字节读取操作 t8 t9 t10 t11 t12 说明 4 50 20 20 40 25 25 0 0.5 25 Rev. B | Page 6 of 32 AD7607 在TMIN、TMAX的限值 参数 t13 最小值 典型值 最大值 单位 16 20 25 30 ns ns ns ns 16 21 25 32 22 ns ns ns ns ns ns ns 23.5 17 14.5 11.5 MHz MHz MHz MHz 15 20 30 ns ns ns 17 23 27 34 ns ns ns ns ns ns 22 ns 15 20 25 30 15 20 25 30 ns ns ns ns ns ns ns ns ns 16 20 25 30 ns ns ns ns t143 t15 t16 t17 6 6 串行读取操作 fSCLK t18 t19 3 t20 t21 t22 t23 0.4 tSCLK 0.4 tSCLK 7 FRSTDATA操作 t24 t25 t26 说明 从CS直到DB[15:0]三态禁用的延迟时间 VDRIVE 高于4.75 V VDRIVE 高于3.3 V VDRIVE 高于2.7 V VDRIVE 高于2.3 V RD下降沿后的数据访问时间 VDRIVE 高于4.75 V VDRIVE 高于3.3 V VDRIVE 高于2.7 V VDRIVE 高于2.3 V RD下降沿后的数据保持时间 CS 到DB[15:0]保持时间 从CS上升沿到DB[15:0]三态使能的延迟时间 串行读取时钟频率 VDRIVE 高于4.75 V VDRIVE 高于3.3 V VDRIVE 高于2.7 V VDRIVE 高于2.3 V 从CS直到DOUTA/DOUTB三态禁用的延迟时间/ 从CS直到MSB有效的延迟时间 VDRIVE 高于4.75 V VDRIVE 高于3.3 V VDRIVE = 2.3 V至2.7 V SCLK上升沿之后的数据访问时间 VDRIVE 高于4.75 V VDRIVE 高于3.3 V VDRIVE 高于2.7 V VDRIVE 高于2.3 V SCLK低电平脉宽 SCLK高电平脉宽 SCLK上升沿到DOUTA/DOUTB有效的保持时间 CS 上升沿到DOUTA/DOUTB三态使能 从CS下降沿直到FRSTDATA三态禁用的延迟时间 VDRIVE 高于4.75 V VDRIVE 高于3.3 V VDRIVE 高于2.7 V VDRIVE 高于2.3 V 从CS下降沿直到FRSTDATA高电平的延迟时间,串行模式 VDRIVE 高于4.75 V VDRIVE 高于3.3 V VDRIVE 高于2.7 V VDRIVE 高于2.3 V 从RD下降沿到FRSTDATA高电平的延迟时间 VDRIVE 高于4.75 V VDRIVE 高于3.3 V VDRIVE 高于2.7 V VDRIVE 高于2.3 V Rev. B | Page 7 of 32 AD7607 在TMIN、TMAX的限值 参数 t27 最小值 典型值 最大值 单位 19 24 ns ns 17 22 24 ns ns ns t28 t29 1 2 3 说明 从RD下降沿到FRSTDATA低电平的延迟时间 VDRIVE = 3.3 V至5.25 V VDRIVE = 2.3 V至2.7 V 从第16个SCLK下降沿到FRSTDATA低电平的延迟时间 VDRIVE = 3.3 V至5.25 V VDRIVE = 2.3 V至2.7 V 从CS上升沿直到FRSTDATA三态使能的延迟时间 样片在初次发布期间均经过测试,以确保符合标准要求。所有输入信号均指定tR = tF = 5 ns(10%到90%的VDRIVE)并从1.6V电平起开始计时。 CONVST x信号之间的延迟用确保通道集之间的性能匹配小于3 LSB时的最大容许时间来衡量。 对于这些测量,数据输出引脚上使用了缓冲,它相当于输出引脚上有20 pF的负载。 时序图 t5 CONVST A, CONVST B tCYCLE CONVST A, CONVST B t2 t3 tCONV t1 BUSY t4 t7 tRESET 08096-002 CS RESET 图2. CONVST时序—转换之后读取 t5 CONVST A, CONVST B tCYCLE CONVST A, CONVST B t2 t3 tCONV t1 BUSY t6 CS t7 08096-003 tRESET RESET 图3. CONVST时序—转换期间读取 CS t8 DATA: DB[15:0] FRSTDATA t16 t13 t14 INVALID t24 V1 t26 V2 V3 t17 t15 V4 t27 V7 V8 t29 图4. 并行模式,独立的CS和RD脉冲 Rev. B | Page 8 of 32 08096-004 RD t9 t11 t10 AD7607 t12 CS AND RD t16 t13 DATA: DB[15:0] V2 V3 V4 V5 V6 V7 V8 08096-005 V1 t17 FRSTDATA 图5. CS和RD相连的并行模式 CS t21 SCLK t20 t19 t18 DOUTA, DOUTB DB13 t22 DB12 DB11 t23 DB1 DB0 t29 t28 08096-006 t25 FRSTDATA 图6. 串行读取操作(通道1) CS RD t11 t16 t13 DATA: DB[7:0] FRSTDATA t9 t10 INVALID t24 HIGH BYTE V1 t14 t15 LOW BYTE V1 HIGH BYTE V8 t26 t27 图7. 字节模式读取操作 Rev. B | Page 9 of 32 t17 LOW BYTE V8 t29 08096-007 t8 AD7607 绝对最大额定值 除非另有说明,TA = 25°C。 热阻 表4. 参数 AVCC至AGND VDRIVE至AGND 模拟输入电压至AGND1 数字输入电压至AGND 数字输出电压至AGND REFIN至AGND 输入电流至除电源外的任何引脚1 工作温度范围 B级 存储温度范围 结温 铅锡焊接温度 回流焊(10秒至30秒) 无铅回流焊温度 ESD(除模拟输入外的所有引脚) ESD(仅模拟输入引脚) 1 额定值 −0.3 V至+7 V −0.3 V至 AVCC + 0.3 V ±16.5 V −0.3 V至VDRIVE + 0.3 V −0.3 V至VDRIVE + 0.3 V −0.3 V至AVCC + 0.3 V ±10 mA θJA针对最差条件,即焊接在电路板上的器件为表贴封装。 这些技术规格适用于4层电路板。 表5. 热阻 封装类型 64引脚 LQFP θJA 45 θJC 11 单位 °C/W ESD警告 −40°C至+85°C −65°C至+150°C 150°C ESD(静电放电)敏感器件。 带电器件和电路板可能会在没有察觉的情况下放电。尽 管本产品具有专利或专有保护电路,但在遇到高能量 ESD时,器件可能会损坏。因此,应当采取适当的ESD 防范措施,以避免器件性能下降或功能丧失。 240 (+ 0)°C 260 (+ 0)°C 2 kV 7 kV 100 mA以下的瞬态电流不会造成SCR闩锁。 注意,超出上述绝对最大额定值可能会导致器件永久性 损坏。这只是额定最值,并不能以这些条件或者在任何其 它超出本技术规范操作章节中所示规格的条件下,推断器 件能否正常工作。长期在绝对最大额定值条件下工作会影 响器件的可靠性。 Rev. B | Page 10 of 32 AD8331/AD8332/AD8334 64 63 62 61 60 59 58 AVCC 1 ANALOG INPUT V1GND V1 V2 V2GND V3 V3GND V4 V4GND 57 56 55 54 53 52 51 50 49 48 PIN 1 AGND 2 OS 0 3 DECOUPLING CAP PIN V5 V5GND V6 V6GND V7 V7GND V8 V8GND 引脚配置和功能描述 AVCC 47 AGND 46 REFGND POWER SUPPLY OS 1 4 45 REFCAPB GROUND PIN OS 2 5 44 REFCAPA 43 REFGND DATA OUTPUT PAR/SER/BYTE SEL 6 AD7607 42 REFIN/REFOUT 41 AGND CONVST A 9 40 AGND CONVST B 10 39 REGCAP RESET 11 38 AVCC RD/SCLK 12 37 AVCC CS 13 36 REGCAP BUSY 14 35 AGND FRSTDATA 15 DB0 16 34 REF SELECT 33 DB15/BYTE SEL STBY 7 DIGITAL OUTPUT TOP VIEW (Not to Scale) RANGE 8 DIGITAL INPUT REFERENCE INPUT/OUTPUT 08096-008 DB14/HBEN DB13 DB12 DB11 DB10 DB9 AGND DB8/DOUTB DB7/DOUTA VDRIVE DB6 DB5 DB4 DB3 DB2 DB1 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 图8. 引脚配置 表6. 引脚功能描述 引脚编号 类型1 1, 37, 38, 48 P 引脚名称 AVCC 2, 26, 35, 40, 41, 47 5, 4, 3 P AGND DI OS[2:0] 6 DI PAR/SER/ BYTE SEL 7 DI STBY 8 DI RANGE 说明 模拟电源电压,4.75 V至5.25 V。这是内部前端放大器和ADC内核的电源电压。应将这些电源 引脚去耦至AGND。 模拟地。这些引脚是AD7607上所有模拟电路的接地基准点。所有模拟输入信号和外部基准 信号都应参考这些引脚。所有6个AGND引脚都应连到系统的AGND平面。 过采样模式引脚。逻辑输入。这些输入用来选择过采样率。OS 2为MSB控制位,OS 0则为LSB 控制位。关于过采样工作模式的更多信息,见“数字滤波器”部分;关于过采样位解码,见 表9。 并行/串行/字节接口选择输入。逻辑输入。如果此引脚与逻辑低电平相连,则选择并行接 口。如果此引脚与逻辑高电平相连,则选择串行接口。如果此引脚为逻辑高电平且 DB15/BYTE SEL为逻辑高电平(见表8),则选择并行字节接口模式。 串行模式下,RD/SCLK引脚用作串行时钟输入。DB7/DOUTA引脚和DB8/DOUTB引脚用作串行数 据输出。当选择串行接口时,应将引脚DB[15:9]和DB[6:0]接地。 字节模式下,DB15与PAR/SER/BYTE SEL一同用来选择并行字节工作模式(见表8)。DB14用作 HBEN引脚。DB[7:0]通过2个RD操作传输16位转换结果,DB0为数据传输的LSB。 待机模式输入。此引脚用来让AD7607进入两种省电模式之一:待机模式或关断模式。进入 何种省电模式,取决于RANGE引脚的状态,如表7所示。待机模式下,除片内基准电压、 稳压器和稳压器缓冲外的所有其它电路均关断。关断模式下,所有电路均关断。 模拟输入范围选择。逻辑输入。此引脚的极性决定模拟输入通道的输入范围。如果此引脚 与逻辑高电平相连,则所有通道的模拟输入范围为±10 V。如果此引脚与逻辑低电平相连, 则所有通道的模拟输入范围为±5 V。此引脚的逻辑状态改变会立即影响模拟输入范围。转换 期间建议不要更改此引脚的逻辑状态。详细信息请参见“模拟输入”部分。 Rev. B | Page 11 of 32 AD7607 引脚编号 9, 10 类型1 DI 引脚名称 CONVST A, CONVST B 11 DI RESET 12 DI RD/SCLK 13 DI CS 14 DO BUSY 15 DO FRSTDATA 22至16 DO DB[6:0] 23 P VDRIVE 24 DO DB7/DOUTA 25 DO DB8/DOUTB 31至27 DO DB[13:9] 说明 转换开始输入A和转换开始输入B。逻辑输入。这些逻辑输入用来启动模拟输入通道转换。 要对所有8个输入通道同时采样,可以将CONVST A和CONVST B短路连在一起,并施加一 个转换开始信号。 或者,可以利用CONVST A启动对V1、V2、V3和V4的同时采样,并利用CONVST B启动对 其它模拟输入(V5、V6、V7和V8)的同时采样。这只有在过采样未开启时才可行。 当CONVST A或CONVST B引脚从低电平变为高电平时,相应模拟输入的前端采样保持电 路被设置为保持。 复位输入。当设置为逻辑高电平时,RESET上升沿复位AD7607。器件应该在上电后收到 一个RESET脉冲。RESET高脉冲宽度典型值为50 ns。如果在转换期间施加RESET脉冲,转换 将中断。如果在读取期间施加RESET脉冲,输出寄存器的内容将复位至全0。 选择并行接口时为并行数据读取控制输入(RD)/选择串行接口时为串行时钟输入(SCLK)。 在并行模式下,如果CS和RD均处于逻辑低电平,则会启用输出总线。在串行模式下,此 引脚用作数据传输的串行时钟输入。CS下降沿使数据输出线路DOUTA和DOUTB脱离三态, 并逐个输出转换结果的MSB。SCLK上升沿将随后的所有数据位逐个送至串行数据输出 DOUTA和DOUTB。更多信息请参见“转换控制”部分。 片选。此低电平有效逻辑输入使能数据帧传输。在并行模式下,如果CS和RD均处于逻辑 低电平,则会使能输出总线DB[15:0],使转换结果输出在并行数据总线上。在串行模式 下,利用CS使能串行数据帧传输,并逐个输出串行输出数据的最高有效位(MSB)。 输出繁忙。CONVST A和CONVST B均达到上升沿之后,此引脚变为逻辑高电平,表示转换 过程已开始。BUSY输出保持高电平,直到所有通道的转换过程完成为止。BUSY下降沿 表示转换数据正被锁存至输出数据寄存器,经过时间t4之后便可供读取。在BUSY为高电 平时执行的数据读取操作应当在BUSY下降沿之前完成。当BUSY信号为高电平时, CONVST A或CONVST B的上升沿不起作用。 数字输出。FRSTDATA输出信号指示何时在并行、并行字节或串行接口上回读第一通道V1。 当CS输入为高电平时,FRSTDATA输出引脚处于三态。CS下降沿使FRSTDATA脱离三态。 在并行模式下,与V1结果相对应的RD下降沿随后将FRSTDATA引脚设为高电平,表示输 出数据总线可以提供V1的结果。在RD的下一个下降沿之后,FRSTDATA输出恢复逻辑低 电平。在串行模式下,FRSTDATA在CS下降沿变为高电平,因为此时将在DOUTA上输出V1 的MSB。在CS下降沿之后的第14个SCLK下降沿,它恢复低电平。详情见转换控制部分。 并行输出数据位DB6至DB0。当PAR/SER/BYTE SEL = 0时,这些引脚充当三态并行数字输入 /输出引脚。当CS和RD均处于低电平时,这些引脚用来输出转换结果的DB6至DB0。当 PAR/SER/BYTE SEL = 1时,这些引脚应与DGND相连。当工作在并行字节接口模式时,DB[7:0] 通过2个RD操作输出14位转换结果。DB7为MSB,DB0为LSB。 逻辑电源输入。此引脚的电源电压(2.3 V至5.25 V)决定逻辑接口的工作电压。此引脚的标 称电源与主机接口(即DSP和FPGA)电源相同。 并行输出数据位7 (DB7)/串行接口数据输出引脚(DOUTA)。当PAR/SER/BYTE SEL = 0时,此引 脚充当三态并行数字输入/输出引脚。当CS和RD均处于低电平时,此引脚用来输出转换 结果的DB7。当PAR/SER/BYTE SEL = 1时,此引脚用作DOUTA,并输出串行转换数据(详情见 “转换控制”部分)。当工作在并行字节模式时,DB7为该字节的MSB。 并行输出数据位8 (DB8)/串行接口数据输出引脚(DOUTB)。当PAR/SER/BYTE SEL = 0时,此引 脚充当三态并行数字输入/输出引脚。当CS和RD均处于低电平时,此引脚用来输出转换 结果的DB8。当PAR/SER/BYTE SEL = 1时,此引脚用作DOUTB,并输出串行转换数据(详情见 “转换控制”部分)。 并行输出数据位DB13至DB9。当PAR/SER/BYTE SEL = 0时,这些引脚充当三态并行数字输入/ 输出引脚。当CS和RD均处于低电平时,这些引脚用来输出转换结果的DB13至DB9。当 PAR/SER/BYTE SEL = 1时,这些引脚应与DGND相连。 Rev. B | Page 12 of 32 AD7607 引脚编号 32 类型1 DO/DI 引脚名称 DB14/HBEN 33 DO/DI DB15/ BYTE SEL 34 DI REF SELECT 36, 39 P REGCAP 42 REF REFIN/ REFOUT 43, 46 44, 45 REF REF 基准电压接地引脚。这些引脚应连接到AGND。 49, 51, 53, 55, 57, 59, 61, 63 50, 52, 54, 56, 58, 60, 62, 64 AI REFGND REFCAPA, REFCAPB V1至V8 V1GND至 V8GND 模拟输入接地引脚。这些引脚与模拟输入引脚V1至V8相对应。所有模拟输入AGND 引脚都应连到系统的AGND平面。 1 AI GND 说明 并行输出数据位14 (DB14)/高字节使能(HBEN)。当PAR/SER/BYTE SEL = 0时,此引脚充 当三态并行数字输出引脚。当CS和RD均处于低电平时,此引脚用来输出转换结果的 DB14,它是MSB (DB13)的符号扩展位。当PAR/SER/BYTE SEL = 1且DB15/BYTE SEL = 1时, AD7607工作在并行字节接口模式,HBEN引脚用来选择是首先输出转换结果的高字 节(MSB)还是低字节(LSB)。HBEN = 1时,首先输出MSB字节,然后输出LSB字节。 HBEN = 0时,首先输出LSB字节,然后输出MSB字节。 并行输出数据位15 (DB15)/并行字节模式选择(BYTE SEL)。当PAR/SER/BYTE SEL = 0时, 此引脚充当三态并行数字输出引脚。当CS和RD均处于低电平时,此引脚用来输出 DB15,它是转换结果的MSB (DB13)的符号扩展位。当PAR/SER/BYTE SEL = 1时,BYTE SEL引脚用来在串行接口模式与并行字节接口模式之间做出选择(见表8)。当PAR/SER/ BYTE SEL = 1且DB15/BYTE SEL = 0时,AD7607工作在串行接口模式。当PAR/SER/BYTE SEL = 1且DB15/BYTE SEL = 1时,AD7607工作在并行字节接口模式。 内部/外部基准电压选择输入。逻辑输入。如果此引脚设为逻辑高电平,则选择并使 能内部基准电压模式。如果此引脚设为逻辑低电平,则内部基准电压禁用,必须将 外部基准电压施加到REFIN/REFOUT引脚。 内部稳压器电压输出的去耦电容引脚。应分别将这些输出引脚通过一个1 μF电容去耦 至AGND。这些引脚上的电压在2.5 V至2.7 V范围内。 基准电压输入(REFIN)/基准电压输出(REFOUT)。如果REF SELECT引脚设置为逻辑高电 平,此引脚将提供2.5 V片内基准电压供外部使用。或者,可将REF SELECT引脚设置为 逻辑低电平以禁用内部基准电压,并将2.5 V外部基准电压施加到此输入端(见“内部/ 外部基准电压”部分)。无论使用内部还是外部基准电压,都需要对此引脚去耦。应 在此引脚与REFGND引脚附近的地之间连接一个10 μF电容。 基准电压缓冲输出强制/检测引脚。必须将这些引脚连在一起,并通过低ESR 10 μF陶 瓷电容去耦至AGND。 模拟输入。这些引脚是单端模拟输入。这些通道的模拟输入范围由RANGE引脚决定。 P表示电源,DI表示数字输入,DO表示数字输出,REF表示基准电压输入/输出,AI表示模拟输入,GND表示地。 Rev. B | Page 13 of 32 AD7607 典型工作特性 0 –40 –60 AVCC = VDRIVE = 5V INTERNAL REFERENCE fSAMPLE = 200kSPS TA = 25°C ±10V RANGE 0.4 0.3 0.2 DNL (LSB) –20 SNR (dB) 0.5 AVCC = VDRIVE = 5V INTERNAL REFERENCE fSAMPLE = 200kSPS TA = 25°C ±10V RANGE SNR: 85.07dB THD: –107.33dB 16,384 POINT FFT fIN = 1kHz –80 –100 0.1 0 –0.1 –0.2 –120 –0.3 –140 0 10 20 30 40 50 60 70 80 90 100 INPUT FREQUENCY (kHz) –0.5 0 2000 10,000 12,000 14,000 16,000 图12. 典型DNL,±10 V范围 AVCC = VDRIVE = 5V INTERNAL REFERENCE fSAMPLE = 200kSPS TA = 25°C ±5V RANGE SNR: 84.82dB THD: –107.51dB 16,384 POINT FFT fIN = 1kHz –40 –60 AVCC = VDRIVE = 5V INTERNAL REFERENCE fSAMPLE = 200kSPS TA = 25°C ±5V RANGE 0.4 0.3 0.2 INL (LSB) –20 –80 –100 0.1 0 –0.1 –0.2 –120 –0.3 –140 10 20 30 40 50 60 70 80 90 100 INPUT FEQUENCY (kHz) 08096-017 –0.5 0 0 2000 6000 8000 图13. 典型INL,±5 V范围 0.5 0.5 AVCC = VDRIVE = 5V INTERNAL REFERENCE fSAMPLE = 200kSPS TA = 25°C ±10V RANGE 0.4 0.3 10,000 12,000 14,000 16,000 CODE 图10. FFT曲线图,±5 V范围 AVCC = VDRIVE = 5V INTERNAL REFERENCE fSAMPLE = 200kSPS TA = 25°C ±5V RANGE 0.4 0.3 0.2 DNL (LSB) 0.2 0.1 0 –0.1 0.1 0 –0.1 –0.2 –0.2 –0.3 –0.3 –0.4 –0.4 –0.5 0 2000 4000 6000 8000 10,000 12,000 14,000 16,000 CODE 08096-019 –0.5 4000 08096-010 –0.4 图11. 典型INL,±10 V范围 Rev. B | Page 14 of 32 0 2000 4000 6000 8000 10,000 12,000 14,000 16,000 CODE 图14. 典型DNL,±5 V范围 08096-009 SNR (dB) 8000 0.5 0 INL (LSB) 6000 CODE 图9. FFT曲线图,±10 V范围 –160 4000 08096-020 –0.4 08096-018 –160 AD7607 5.00 10 3.75 8 ±10V RANGE 1.25 ±5V RANGE 0 –1.25 –2.50 –25 –10 5 20 35 50 65 80 TEMPERATURE (°C) 2 –2 08096-115 –5.00 –40 4 AVCC, VDRIVE = 5V FSAMPLE = 200 kSPS TA = 25°C EXTERNAL REFERENCE SOURCE RESISTANCE IS MATCHED ON THE VxGND INPUT ±10V AND ±5V RANGE 0 200kSPS AVCC, VDRIVE = 5V EXTERNAL REFERENCE –3.75 6 0 20k 40k 60k 80k 100k 120k SOURCE RESISTANCE (Ω) 图15. NFS误差与温度的关系 08096-118 PFS/NFS ERROR (%FS) NFS ERROR (LSB) 2.50 图18. PFS和NFS误差与信号源电阻的关系 86 5.00 3.75 85 84 0 ±5V RANGE –1.25 83 82 ±10V RANGE AVCC = VDRIVE = 5V INTERNAL REFERENCE fSAMPLE = 200kSPS TA = 25°C ±5V RANGE ALL 8 CHANNELS –2.50 –25 –10 5 20 35 50 65 80 TEMPERATURE (°C) 80 10 08096-116 –5.00 –40 81 200kSPS AVCC, VDRIVE = 5V EXTERNAL REFERENCE –3.75 1k 10k 100k INPUT FREQUENCY (Hz) 图16. PFS误差与温度的关系 图19. 信噪比与输入频率的关系,±5 V范围 86 2.5 2.0 PFS ERROR 85 1.0 84 NFS ERROR SNR (dB) 0.5 0 –0.5 82 –1.0 –1.5 –25 –10 5 20 35 50 65 TEMPERATURE (°C) 80 AVCC = VDRIVE = 5V INTERNAL REFERENCE fSAMPLE = 200kSPS TA = 25°C ±10V RANGE ALL 8 CHANNELS 81 10V RANGE AVCC, VDRIVE = 5V EXTERNAL REFERENCE –2.0 –2.5 –40 83 80 10 100 1k 10k INPUT FREQUENCY (Hz) 图20. 信噪比与输入频率的关系,±10 V范围 图17. PFS和NFS误差匹配与温度的关系 Rev. B | Page 15 of 32 100k 08096-023 1.5 08096-117 NFS/PFS CHANNEL MATCHING (LSB) 100 08096-022 1.25 SNR (dB) PFS ERROR (LSB) 2.50 AD7607 0.25 –40 ±5V RANGE AVCC, VDRIVE = +5V –50 fSAMPLE = 200kSPS RSOURCE MATCHED ON Vx AND VxGND INPUTS 0.15 –60 0.10 –70 0 5V RANGE –0.05 10V RANGE –100 –0.15 200kSPS AVCC, VDRIVE = 5V EXTERNAL REFERENCE –25 –10 5 20 35 50 65 80 TEMPERATURE (°C) –110 –120 1k 10k 图24. 各种源阻抗下THD与输入频率的关系,±5 V范围 图21. 双极性零代码误差与温度的关系 1.00 2.5010 0.75 2.5005 5V RANGE REFOUT VOLTAGE (V) 0.25 10V RANGE 0 –0.25 –0.50 5 20 35 50 65 80 TEMPERATURE (°C) 8 6 INPUT CURRENT (µA) –70 –80 105kΩ 48.7kΩ 23.7kΩ 10kΩ 5kΩ 1.2kΩ 100Ω 51Ω 0Ω 5 20 35 50 65 80 AVCC, VDRIVE = 5V fSAMPLE = 200kSPS 100k INPUT FREQUENCY (Hz) 2 0 –2 –4 –6 +85°C +25°C –40°C –8 08096-121 10k –10 4 –60 –120 1k –25 图25. 不同电源电压下基准输出电压与温度的关系 ±10V RANGE AVCC, VDRIVE = +5V –50 fSAMPLE = 200kSPS RSOURCE MATCHED ON Vx AND VxGND INPUTS –110 2.4990 TEMPERATURE (°C) –40 –100 AVCC = 4.75V 2.4980 –40 图22. 双极性零代码误差匹配与温度的关系 –90 2.4995 08096-125 –10 08096-120 –25 2.5000 2.4985 200kSPS AVCC, VDRIVE = 5V EXTERNAL REFERENCE –0.75 AVCC = 5.25V AVCC = 5V 0.50 –1.00 –40 100k INPUT FREQUENCY (Hz) 图23. 各种源阻抗下THD与输入频率的关系,±10 V范围 –10 –10 –8 –6 –4 –2 0 2 4 6 8 INPUT VOLTAGE (V) 图26. 不同温度下模拟输入电流与输入电压的关系 Rev. B | Page 16 of 32 10 08096-126 –0.25 –40 BIPOLAR ZERO CODE ERROR MATCHING (LSB) 105kΩ 48.7kΩ 23.7kΩ 10kΩ 5kΩ 1.2kΩ 100Ω 51Ω 0Ω –90 –0.10 –0.20 THD (dB) –80 08096-122 THD (dB) 0.05 08096-119 BIPOLAR ZERO CODE ERROR (LSB) 0.20 AD7607 –50 18 16 14 12 AVCC, VDRIVE = 5V 10 TA = 25°C INTERNAL REFERENCE fSAMPLE VARIES WITH OS RATE 8 NO OS OS2 OS4 OS8 OS16 OS32 OS64 OVERSAMPLING RATIO 08096-127 AVCC SUPPLY CURRENT (mA) 20 图27. 电源电流与过采样倍率的关系 ±10V RANGE 110 ±5V RANGE 100 90 AVCC, VDRIVE = 5V INTERNAL REFERENCE AD7607 RECOMMENDED DECOUPLING USED fSAMPLE = 200kSPS TA = 25°C 70 60 0 100 200 300 400 500 600 700 800 AVCC NOISE FREQUENCY (kHz) 900 1000 1100 08096-128 POWER SUPPLY REJECTION RATIO (dB) 130 80 –90 ±10V RANGE –100 ±5V RANGE –110 –120 –130 –140 0 20 40 60 80 100 NOISE FREQUENCY (kHz) 图29. 通道间隔离 140 120 AVCC, VDRIVE = 5V INTERNAL REFERENCE AD7607 RECOMMENDED DECOUPLING USED fSAMPLE = 150kSPS –70 TA = 25°C INTERFERER ON ALL UNSELECTED CHANNELS –80 –60 图28. 电源抑制比(PSRR) Rev. B | Page 17 of 32 120 140 160 08096-129 CHANNEL-TO-CHANNEL ISOLATION (dB) 22 AD7607 术语 积分非线性 ADC传递函数与一条通过ADC传递函数端点的直线的最大 偏差。传递函数的两个端点,起点在低于第一个码转换的 1/2 LSB处的零电平,终点在高于最后一个码转换的1/2 LSB 处的满量程。 差分非线性 ADC中任意两个相邻码之间所测得变化值与理想的1 LSB变 化值之间的差异。 双极性零代码误差 半量程转换(全1到全0)与理想值,即0 V − ½ LSB的偏差。 双极性零代码误差匹配 任何两个输入通道之间双极性零代码误差的绝对差。 正满量程误差 校正双极性零代码误差之后,实际的最后一个码转换与理 想的最后一个码转换(10 V − 1½ LSB (9.998)和5 V − 1½ LSB (4.99908))的偏差。正满量程误差包括内部基准电压缓冲的 贡献。 正满量程误差匹配 任何两个输入通道之间正满量程误差的绝对差。 负满量程误差 校正双极性零代码误差之后,第一个码转换与理想的第一 个码转换(−10 V + ½ LSB (−9.9993)和−5 V + ½ LSB (−4.99969)) 的偏差。负满量程误差包括内部基准电压缓冲的贡献。 负满量程误差匹配 任何两个输入通道之间负满量程误差的绝对差。 信纳比(SINAD) 在ADC输出端测得的信号对噪声及失真比。这里的信号是 基波幅值的均方根值。噪声为所有达到采样频率一半(fS/2, 直流信号除外)的非基波信号之和。 在数字化过程中,这个比值的大小取决于量化级数,量化 级数越多,量化噪声就越小。 对于一个正弦波输入的理想N-bit转换器,信纳比值理论值 计算公式为: 信纳比 = (6.02 N + 1.76) dB 因此,14位转换器的信纳比理论值为86.04dB。 总谐波失真(THD) 所有谐波均方根和与基波均方根之比。对于AD7607,其定 义为 THD (dB) = 20log V2 2 + V32 + V4 2 + V5 2 + V6 2 + V72 + V82 + V92 V1 其中: V1是基波幅度的均方根值。 V2至V9是二次到九次谐波幅值的均方根值。 峰值谐波或杂散噪声 在ADC输出频谱(最高达fS/2,直流信号除外)中,下一个最 大分量的均方根值与基波均方根值的比。通常情况下,此 参数值由频谱内的最大谐波决定,但对于谐波淹没于噪底 内的ADC,则由噪声峰值决定。 交调失真(IMD) 当输入由两个频率分别为fa和fb的正弦波组成时,任何非 线性有源器件都会以和与差频mfa ± nfb(其中m, n = 0, 1, 2, 3)的形式产生失真产物。交调失真项的m和n都不等于0。 例如,二阶项包括(fa + fb)和(fa − fb),而三阶项包括(2fa + fb)、(2fa − fb)、(fa + 2fb)和(fa − 2fb)。 交调失真根据THD参数来计算,它是个别失真积的均方根 和与基波和的幅值均方根的比值,用分贝(dB)表示。 电源抑制比(PSRR) 电源变化会影响转换器的满量程转换,但不会影响其线性。 电源抑制是由于电源电压偏离标称值所引起的最大满量程 转换点变化。电源抑制比(PSRR)定义为满量程频率f下ADC 输出功率与频率fS下施加于ADC VDD和VSS电源的200 mV峰峰 值正弦波功率的比值: PSRR (dB) = 10log (Pf/PfS) 其中: Pf是在频率f下ADC的输出功率。 PfS是在频率fS下耦合到AVCC电源的功率。 通道间隔离 通道间隔离衡量任意两个通道之间的串扰水平。通过向所 有未选定的输入通道施加一个满量程、最高160 kHz正弦波 信号,然后决定该信号在选定通道内随所施加的1 kHz正弦 波信号的衰减程度来测量(见图29)。 Rev. B | Page 18 of 32 AD7607 工作原理 转换器详解 模拟输入箝位保护 AD7607是一款采用高速、低功耗、电荷再分配逐次逼近型 模数转换器(ADC)的数据采集系统,可以对8个模拟输入通 道进行同步采样。其模拟输入可以接受双极性输入信号。 使用RANGE引脚可以选择±10 V或±5 V的输入范围。AD7607 采用5 V单电源供电。 图30显示了AD7607的模拟输入结构。每个AD7607模拟输 入均包含箝位保护电路。虽然采用5 V单电源供电,但此模 拟输入箝位保护允许输入过压达到±16.5 V。 RFB 在正常操作期间,所施加的模拟输入电压应保持在通过 RANGE引脚选择的模拟输入范围内。上电后必须施加 RESET脉冲,以确保将模拟输入通道配置为所选范围。 在省电模式下,建议将模拟输入连到GND。依据输入箝位 保护部分,过压箝位保护推荐用于瞬变过压条件,不应长 期保持活动状态。在上述条件以外对模拟输入施加应力可 能降低AD7607的双极性零代码误差和THD性能。 模拟输入阻抗 AD7607的模拟输入阻抗为1 MΩ。这是固定输入阻抗,不随 AD7607采样频率而变化。高模拟输入阻抗可免除AD7607 前端的驱动放大器,允许其与信号源或传感器直接相连。 由于无需驱动放大器,因此可去掉信号链中的双极性电源 (它通常是系统中的噪声源)。 1MΩ SECONDORDER LPF 图30. 模拟输入电路 图31显示了箝位电路电压与电流的关系。当输入电压不超 过±16.5 V时,箝位电路中无电流。对于高于±16.5 V的输入 电压,AD7607箝位电路开启并将模拟输入箝位至±16.5 V。 AV , VDRIVE = 5V 30 T CC A = 25°C 20 10 0 –10 –20 –30 –40 –50 –20 –15 –10 –5 0 5 10 15 20 SOURCE VOLTAGE (V) 08096-051 AD7607可处理双极性输入电压。RANGE引脚的逻辑电平 决定所有模拟输入通道的模拟输入范围。如果此引脚与逻 辑高电平相连,则所有通道的模拟输入范围为±10 V。如果 此引脚与逻辑低电平相连,则所有通道的模拟输入范围为 ±5 V。此引脚的逻辑状态改变会立即影响模拟输入范围,但 是,除正常采集时间要求外,还有典型值约为80 µs的建立时 间要求。建议根据系统信号所需的输入范围,通过硬连线 设置RANGE引脚。 CLAMP 图31. 输入箝位保护特性 模拟输入通道上应放置一个串联电阻,以将输入电压超过 ±16.5 V时的电流限制在±10 mA以下。如果模拟输入通道Vx 上有一个串联电阻,则模拟输入GND通道VxGND上也需 要一个与之对应相等的电阻(见图32)。如果VxGND通道上 没有对应的电阻,该通道将出现失调误差。 RFB AD7607 ANALOG INPUT SIGNAL R R C VINx VxGND CLAMP CLAMP 1MΩ 1MΩ RFB 图32. 模拟输入端的输入电阻匹配 Rev. B | Page 19 of 32 08096-032 模拟输入范围 CLAMP RFB INPUT CLAMP CURRENT (mA) 模拟输入 Vx VxGND 08096-032 该器件内置输入箝位保护、输入信号调整放大器、二阶抗 混叠滤波器、采样保持放大器、片内基准电压源、基准电 压缓冲、高速ADC、数字滤波器以及高速并行和串行接 口。AD7607的采样通过CONVST信号进行控制。 1MΩ AD7607 模拟输入抗混叠滤波器 AD7607还提供了模拟抗混叠滤波器(二阶巴特沃兹滤波器)。 图33和图34分别显示了模拟抗混叠滤波器的频率和相位响 应。在±5 V范围内,−3dB带宽典型值为15 kHz。在±10 V范 围内,−3dB带宽典型值为23 kHz。 5 0 ±5V RANGE –15 –20 –25 –30 –35 –40 100 ±10V RANGE –40 +25 +85 0.1dB 10,303 9619 9326 3dB 24,365Hz 23,389Hz 22,607Hz ±5V RANGE –40 +25 +85 0.1dB 5225 5225 4932 3dB 16,162Hz 15,478Hz 14,990Hz 1k 转换采用内部时钟,所有通道的转换时间为4 µs。在AD7607 上,所有8个通道均完成转换后,BUSY信号恢复低电平, 表示转换过程结束。在BUSY下降沿时,采样保持放大器 返回采样模式。BUSY变为低电平后,可以通过并行、并 行字节或串行接口从输出寄存器中读取新数据。或者,当 BUSY为高电平时,可以读取前一次转换的数据。在转换 期间从AD7607读取数据对性能几乎没有影响,可以实现更 快的吞吐速率。在并行模式且VDRIVE > 3.3 V时,如果在转换 期间读取,信噪比(SNR)将降低约1.5 dB。 ADC传递函数 10k 100k INPUT FREQUENCY (Hz) AD7607的输出编码方式为二进制补码。所设计的码转换在 连续LSB整数值的中间(即1/2 LSB、3/2 LSB)进行。LSB的大 小为FSR/16,384。理想的传递特性如图35所示。 图33. 模拟抗混叠滤波器频率响应 011...111 011...110 14 ADC CODE 18 16 ±5V RANGE PHASE DELAY (µs) 12 10 VIN × 8182 × 10V VIN ±5V CODE = × 8192 × 5V ±10V CODE = ±10V RANGE 8 000...001 000...000 111...111 REF 2.5V REF 2.5V LSB = +FS – (–FS) 214 100...010 100...001 100...000 6 4 –FS + 1/2LSB 0V – 1LSB +FS – 3/2LSB ANALOG INPUT 2 +FS ±10V RANGE +10V ±5V RANGE +5V 0 –2 –FS –10V –5V 图35. 传递特性 10k INPUT FREQUENCY (Hz) 100k 08096-052 –4 AVCC, VDRIVE = 5V f = 200kSPS –6 SAMPLE TA = 25°C –8 10 1k MIDSCALE 0V 0V LSB大小取决于所选的模拟输入范围。 图34. 模拟抗混叠滤波器相位响应 采样保持放大器 利用采样保持放大器,AD7607 ADC可以用14位分辨率精确采 集满量程幅度的输入正弦波。采样保持放大器在CONVST x 上升沿时对其各自输入进行同步采样。一个器件的所有8个 采样保持放大器以及不同器件的采样保持放大器的孔径时 间(即从外部CONVST x信号上升沿到采样保持器实际进入保 持模式的延迟时间)通过设计保证严格匹配。此匹配允许对 一个系统中的一个以上AD7607进行同步采样。 Rev. B | Page 20 of 32 LSB 1.22mV 610µV 08096-035 –10 ±10V RANGE AVCC, VDRIVE = 5V fSAMPLE = 200kSPS TA = 25°C 08096-053 ATTENUATION (dB) –5 BUSY下降沿表示所有8个通道的转换过程均已结束,此时 采样保持器返回采样模式,下一批转换的采集时间开始 计时。 AD7607 配置为内部基准电压工作模式的一个AD7607器件,可以用 来驱动配置为外部基准电压工作模式的其余AD7607器件(见 图38)。配置为内部基准电压模式的AD7607应利用10 μF陶瓷 去耦电容对其REFIN/REFOUT引脚去耦。配置为外部基准 电压模式的其它AD7607器件应各利用一个100 nF的去耦电 容对其REFIN/REFOUT引脚去耦。 REFIN/REFOUT SAR REFCAPB 2.5V REF 10µF 图36. 基准电压电路 AD7607内置一个基准电压缓冲,缓冲配置为将REF电压放 大至约4.5 V,如图36所示。REFCAPA和REFCAPB引脚必 须在外部短路连在一起,并通过一个10 μF陶瓷电容连接至 REFGND,以确保基准电压缓冲工作在闭环中。REFIN/ REFOUT引脚提供的基准电压为2.5 V。 当AD7607配置为外部基准电压模式时,REFIN/REFOUT引 脚为高输入阻抗引脚。对于使用多个AD7607器件的应用, 建议根据应用要求采取下列配置。 REFCAPB BUF AD7607 AD7607 AD7607 REF SELECT REF SELECT REF SELECT REFIN/REFOUT REFIN/REFOUT REFIN/REFOUT 100nF 100nF 100nF ADR421 08096-038 REF SELECT引脚是一个逻辑输入引脚,允许用户选择内部 基准电压或外部基准电压。如果此引脚设为逻辑高电平, 则选择并使能内部基准电压模式。如果此引脚设为逻辑低 电平,则内部基准电压禁用,必须将外部基准电压施加到 REFIN/REFOUT引脚。内部基准电压缓冲始终使能。复位 之后,AD7607工作在REF SELECT引脚所选择的基准电压 模式。无论使用内部还是外部基准电压,都需要对REFIN/ REFOUT引脚去耦。REFIN/REFOUT引脚需要10 μF陶瓷去耦 电容。 内部基准电压模式 08096-036 内部/外部基准电压源 AD7607内置一个2.5 V片内带隙基准电压源。REFIN/REFOUT 引脚既可使用该2.5 V基准电压,以在内部产生4.5 V片内基 准电压,也允许施加一个2.5 V外部基准电压。所施加的2.5 V 外部基准电压也会被内部缓冲的放大至4.5 V。此4.5 V缓冲 的基准电压是SAR ADC所用的基准电压。 0.1µF 图37. 驱动多个AD7607 REFIN引脚的单个外部基准电压源 外部基准电压模式 可以用一个外部基准电压源ADR421驱动所有AD7607器件 的REFIN/REFOUT引脚(见图37)。此配置中,AD7607的每 一个REFIN/REFOUT引脚都应该使用一个100 nF的去耦电容。 VDRIVE AD7607 AD7607 REF SELECT REF SELECT REF SELECT REFIN/REFOUT REFIN/REFOUT REFIN/REFOUT + 10µF 100nF 100nF 图38. 驱动多个AD7607 REFIN引脚的内部基准电压源。 Rev. B | Page 21 of 32 08096-037 AD7607 AD7607 典型连接图 当STBY引脚为低电平时,RANGE引脚的状态决定选择何 种省电模式。表7显示了选择不同省电模式所需的配置。 当AD7607处于待机模式时,最大功耗为8 mA,上电时间约 为100 μs,因为REFCAPA和REFCAPB引脚上的电容必须充 电。待机模式下,片内基准电压源和稳压器仍然上电,放 大器和ADC内核则关断。 图39显示了AD7607的典型连接图。器件有四个AVCC电源 引脚。这四个电源引脚应各使用一个100 nF去耦电容。在电 源侧使用一个10 μF电容去耦。AD7607既可在内部基准电压 下工作,也可在外部施加的基准电压下工作。在此配置中, AD7607被配置为在内部基准电压下工作。当电路板上只 有一个AD7607器件时,应利用一个10 µF电容对其REFIN/ REFOUT引脚去耦。当应用中使用多个AD7607器件时,请 参阅内部/外部基准电压部分。REFCAPA和REFCAPB引脚 短路连在一起,并通过一个10 µF陶瓷电容来去耦。 当AD7607处于关断模式时,最大功耗为6 μA,上电时间约 为13 ms(外部基准电压模式)。关断模式下,所有电路均关 断。当AD7607从关断模式上电时,经过所需的上电时间 后,必须对AD7607施加RESET信号。 VDRIVE电源连接到为处理器供电的同一电源。VDRIVE电压控 制输出逻辑信号的电压值。关于布局、去耦和接地提示, 请参考布局指南部分。 表7. 省电模式选择 AD7607提供两种省电模式:待机模式和关断模式。STBY 引脚控制AD7607是处在正常模式还是两种省电模式之一。 ANALOG SUPPLY VOLTAGE 5V1 1µF REFIN/REFOUT 100nF 100nF REGCAP2 AVCC VDRIVE REFCAPA 10µF + REFGND EIGHT ANALOG INPUTS V1 TO V8 DB0 TO DB15 REFCAPB V1 V1GND V2 V2GND V3 V3GND V4 V4GND V5 V5GND V6 V6GND V7 V7GND V8 V8GND CONVST A, CONVST B CS RD BUSY AD7607 RESET OS 2 OS 1 OS 0 REF SELECT PARALLEL INTERFACE OVERSAMPLING VDRIVE PAR/SER SEL RANGE STBY VDRIVE AGND 1DECOUPLING SHOWN ON THE AVCC PIN APPLIES TO EACH AVCC PIN (PIN 1, PIN 37, PIN 38, PIN 48). DECOUPLING CAPACITOR CAN BE SHARED BETWEEN AV CC PIN 37 AND PIN 38. SHOWN ON THE REGCAP PIN APPLIES TO EACH REGCAP PIN (PIN 36, PIN 39). 2DECOUPLING 图39. 典型连接图 Rev. B | Page 22 of 32 08096-039 + DIGITAL SUPPLY VOLTAGE +2.3V TO +5V MICROPROCESSOR/ MICROCONVERTER/ DSP 省电模式 10µF STBY 0 0 省电模式 待机 关断 RANGE 1 0 AD7607 转换控制 通过脉冲独立激活两个CONVST引脚,并且只有在不使用 过采样时,才可实现这种采样方式。CONVST A用来启动对 第一组通道的同步采样(V1至V4);CONVST B用来启动对第 二组模拟输入通道的同步采样(V5至V8),如图40所示。 所有模拟输入通道同步采样 AD7607可以对所有模拟输入通道进行同步采样。当两个 CONVST引脚(CONVST A和CONVST B)连在一起时,所有 通 道 同 步 采 样 。 使 用 一 个 CONVST信 号 便 可 控 制 两 个 CONVST x输入。此公用CONVST信号的上升沿启动对所有 模拟输入通道的同步采样。 在CONVST A上升沿时,第一组通道的采样保持放大器进入 保持模式。在CONVST B上升沿时,第二组通道的采样保持 放大器进入保持模式。当两个CONVST x均已达到上升沿后, 转换过程开始,因此在后一CONVST x信号的上升沿时,BUSY 变为高电平。在表3中,时间t5表示CONVST x采样点之间 的最大容许时间。 AD7607内置一个片内振荡器用于转换。所有ADC通道的 转换时间为tCONV。BUSY信号告知用户正在进行转换, 因此当施加CONVST上升沿时,BUSY变为逻辑高电平,在 整个转换过程结束时变为低电平。BUSY信号下降沿用来 使所有八个采样保持放大器返回跟踪模式。BUSY下降沿 还表示,现在可以从并行总线DB[15:0]、DOUTA/DOUTB串行 数据线路或并行字节总线(DB[7:0])读取新数据。 使用两个独立的CONVST x信号时,数据读取过程不变。 将所有不使用的模拟输入通道接AGND。不使用通道的结 果仍会包括在所读取的数据中,因为始终会转换所有通道。 两组通道同步采样 AD7607还允许模拟输入通道分两组进行同步采样。这可以 用在电力线保护和测量系统中,以补偿电流和电压传感器 之间的相位差。在50 Hz系统,它可以提供最多9°的相位补 偿;在60 Hz系统中,它可以提供最多10°的相位补偿。 V1 TO V4 TRACK-AND-HOLD ENTER HOLD V5 TO V8 TRACK-AND-HOLD ENTER HOLD CONVST A t5 CONVST B AD7607 CONVERTS ON ALL 8 CHANNELS BUSY tCONV CS/RD V1 V2 V3 V7 V8 08096-040 DATA: DB[15:0] FRSTDATA 图40. 分两组通道进行同步采样,使用独立的CONVST A和CONVST B信号—并行接口模式 Rev. B | Page 23 of 32 AD7607 数字接口 AD7607提供三种接口选项:并行接口、高速串行接口和并 行字节接口。所需接口模式可通过PAR/SER/BYTE SEL和 DB15/BYTE SEL引脚来选择。 表8. 接口模式选择 PAR/SER/BYTE SEL 0 1 1 DB15 0 0 1 接口模式 并行接口模式 串行接口模式 并行字节接口模式 并行字节接口(PAR/SER/BYTE SEL = 1,DB15 = 1) 以下几节讨论接口模式的工作原理。 并行接口(PAR/SER/BYTE SEL = 0) 可以用标准CS和RD信号通过并行数据总线从AD7607读取 数据。通过并行总线读取数据时,需将PAR/SER/BYTE SEL 引脚和低电平相连。通过内部选通CS和RD输入信号,可 以将转换结果输出到数据总线。当CS和RD同时处于逻辑 低电平时,数据线DB15至DB0不再呈高阻态。当CS和RD 均处于低电平时,DB15和DB14用来输出转换结果的MSB (DB13)的符号扩展位。 AD7607 BUSY 14 INTERRUPT CS 13 DB[15:0] 33:16 DIGITAL HOST 并行字节接口模式的工作原理与并行接口模式非常相似, 不过各通道转换结果是分两次8位传输读出。因此,读取 AD7607的所有八个转换结果需要16个RD脉冲,将AD7607 配置为并行字节接口模式时,PAR/SER/BYTE SEL和BYTE SEL/DB15引脚应与逻辑高电平相连(见表8)。DB[7:0]用来 将数据传输至数字主机。DB0为数据传输的LSB,DB7为数 据传输的MSB。DB14充当HBEN引脚。当DB14/HBEN接逻 辑高电平时,首先输出转换结果的高字节(MSB),然后输 出低字节(LSB)。当DB14/HBEN接逻辑低电平时,首先输 出转换结果的LSB字节,然后输出MSB字节。FRSTDATA引 脚保持高电平,直到从V1读出全部14位转换结果。若要始 终先读取MSB字节,HBEN引脚应设置并保持高电平。若 要始终先读取LSB字节,HBEN引脚应设置并保持低电平。 这种情况下,MSB字节的两个MSB位置为符号扩展位。 串行接口(PAR/SER/BYTE SEL = 1) 08096-041 RD 12 当系统/板上只有一个AD7607且它不共享并行总线时,可 以仅用数字主机的一个控制信号来读取数据。CS和RD信 号可以连在一起,如图5所示。这种情况下,数据总线在 CS/RD的下降沿时脱离三态。利用CS和RD合并信号,可以 从AD7607输出数据,并由数字主机读取。这种情况下, CS用来使能各数据通道的数据帧传输。 图41. 接口图—一个AD7607使用并行总线, CS和RD短路连在一起 CS输入信号的上升沿使总线进入三态,CS下降沿使总线脱 离高阻抗状态。CS是使能数据线的控制信号,利用该功能 可以让多个AD7607共享同一并行数据总线。 CS信号可永久性地接低电平,而RD信号可用来获取转换 结果,如图4所示。BUSY信号变为低电平后,可以读取新 数据(见图2);或者,在BUSY为高电平时,可以读取前一 次转换的数据(见图3)。 RD引脚用来从输出转换结果寄存器读取数据。对RD引脚 施加RD脉冲序列,可使各通道的转换结果按升序逐个输出 到并行输出总线DB[15:0]。BUSY变为低电平后的第一个 RD下降沿输出通道V1的转换结果,下一个RD下降沿则用 V2转换结果更新总线,依此类推。RD的第8个下降沿输出 通道V8的转换结果。当RD信号为逻辑低电平时,可将各 通道的数据转换结果传输到数字主机(DSP、FPGA)。 若要通过串行接口从AD7607回读数据,PAR/SER/BYTE SEL引 脚 必 须 连 接 高 电 平 。 CS和 SCLK信 号 用 来 传 输 AD7607的数据。AD7607有两个串行数据输出引脚:DOUTA 和DOUTB。可通过单或双DOUT线路从AD7607回读数据。对 于AD7607,通道V1至V4的转换结果首先出现在DOUTA上, 通道V5至V8的转换结果则首先出现在DOUTB上。 CS下降沿使数据输出线路DOUTA和DOUTB脱离三态,并逐个 输出转换结果的MSB。SCLK上升沿将随后的所有数据位逐 个送至串行数据输出DOUTA和DOUTB。可以使CS输入在整个 串行读取过程中保持低电平,也可以通过脉冲激活它,以 使能各通道的14个SCLK周期帧读取。 Rev. B | Page 24 of 32 AD7607 图42显示采用双DOUT线路在AD7607上读取8个同步转换结 果。这种情况下,使用56个SCLK传输来访问AD7607的数 据,并且CS保持低电平,以使能全部56个SCLK周期帧。 也可以仅用一路DOUT线逐个输出数据;这种情况下,建议 用DOUTA访问所有转换数据,因为通道数据以升序输出。 对于AD7607,通过一路DOUT线访问所有8个转换结果时, 总共需要112个SCLK周期。可以通过一个CS信号使能这 112个SCLK周期帧,也可以通过CS信号独立使能各组的14 个SCLK周期帧。只用一路DOUT线的缺点是:如果在转换之 后进行读取,则吞吐速率会下降。串行模式下,不用的 DOUT线应保持不连接。如果DOUTB用作一路DOUT线,通道 结果将以V5、V6、V7、V8、V1、V2、V3、V4的顺序输 出;不过,在DOUTB上读取V5后,FRSTDATA指示就会恢 复低电平。 图6显示串行模式下从AD7607读取一个通道的数据(由CS信 号使能帧传输)的时序图。SCLK输入信号为串行读取操作 提供时钟源。CS变为低电平,以从AD7607访问数据。CS 下 降 沿 使 总 线 脱 离 三 态 , 并 逐 个 输 出 14位 转 换 结 果 的 MSB。此MSB在CS下降沿后的第一个SCLK下降沿有效。 后续13个数据位在SCLK的上升沿逐个输出。数据在SCLK 下降沿有效。要获取各转换结果,必须提供14个时钟周期。 FRSTDATA输出信号指示何时回读第一通道V1。当CS输入 为高电平时,FRSTDATA输出引脚处于三态。在串行模式 下,CS下降沿使FRSTDATA脱离三态,并将FRSTDATA引 脚设为高电平,表示D OUT A输出数据线可以提供V1的结 果。在第14个SCLK下降沿之后,FRSTDATA输出恢复逻辑 低电平。如果所有通道都在DOUTB上读取,则当V1输出到 串行数据输出引脚时,FRSTDATA输出不会变为高电平。 只有当DOUTA提供V1结果时(此时DOUTB提供V5结果),它才 会变为高电平。 转换期间读取 当BUSY为高电平,转换正在进行时,也可以从AD7607读 取数据。这几乎不会影响转换器的性能,而且可以实现更 快的吞吐速率。转换期间可以执行并行、并行字节或串行 读取,可以使能或不使能过采样。图3显示并行或串行模 式下BUSY为高电平时读取操作的时序图。使用串行接口 且VDRIVE高于3.3 V时,转换期间执行读取可以实现最高吞吐 速率。 在BUSY下降沿时,输出数据寄存器会被新转换数据更 新,除此之外的任何时候都可以从AD7607读取数据,这种 情况下应满足表3所示的时间t6要求。 CS 56 DOUTA V1 V2 V3 V4 DOUTB V5 V6 V7 V8 图42. 采用两路DOUT 线的串行接口 Rev. B | Page 25 of 32 08096-042 SCLK AD7607 数字滤波器 择不同过采样倍率的过采样位解码。OS引脚在BUSY的下 降沿锁存,从而设置下一个转换的过采样倍率(见图43)。 AD7607内置一个可选的一阶数字sinc滤波器,在使用较低 吞吐速率且需要数字滤波器的应用中,应使用该滤波器。 数字滤波器的过采样率由过采样引脚OS [2:0]控制(见表9)。 OS 2为MSB控制位,OS 0则为LSB控制位。表9列出了用来选 选择过采样模式时,其效果是在ADC之后增加数字滤波器 功能。不同的过采样倍率和CONVST x采样频率将产生不同 的数字滤波器频率曲线。 表9. 过采样位解码 5 V范围、3 dB带宽(kHz) 15 15 13.7 10.3 6 3 1.5 过采样率 无过采样 2 4 8 16 32 64 无效 10 V范围、3 dB带宽(kHz) 22 22 18.5 11.9 6 3 1.5 最大吞吐速率、 CONVST频率(kHz) 200 100 50 25 12.5 6.25 3.125 CONVST A AND CONVST B CONVERSION N OVERSAMPLE RATE LATCHED FOR CONVERSION N + 1 CONVERSION N + 1 BUSY tOS_HOLD tOS_SETUP 08096-043 OS[2:0] 000 001 010 011 100 101 110 111 OS x 图43. OS x引脚时序 Rev. B | Page 26 of 32 AD7607 –30 –40 –50 –60 –30 –70 –40 –80 –50 –90 100 AVCC = VDRIVE = 5V TA = 25°C ±10V RANGE OS BY 16 –60 100k 1M 10M 10M 10M 图47. 16倍过采样的数字滤波器响应 0 10k 100k 1M 10M FREQUENCY (Hz) 08096-011 AVCC = VDRIVE = 5V T = 25°C –80 A ±10V RANGE OS BY 2 –90 100 1k –10 –20 ATTENUATION (dB) 图44. 2倍过采样的数字滤波器响应 0 –10 –20 –30 –40 –50 –60 –30 –70 –40 –80 –50 –90 100 AVCC = VDRIVE = 5V TA = 25°C ±10V RANGE OS BY 32 1k 10k 100k 1M –60 FREQUENCY (Hz) –70 图48. 32倍过采样的数字滤波器响应 AVCC = VDRIVE = 5V T = 25°C –80 A ±10V RANGE OS BY 4 –90 100 1k 0 10k 100k 1M 10M FREQUENCY (Hz) 08096-012 ATTENUATION (dB) 10k FREQUENCY (Hz) –70 –10 –20 ATTENUATION (dB) 图45. 4倍过采样的数字滤波器响应 0 –10 –20 –30 –40 –50 –60 –30 –70 –40 –80 –50 –90 100 AVCC = VDRIVE = 5V TA = 25°C ±10V RANGE OS BY 64 1k 10k 100k 1M –60 FREQUENCY (Hz) –70 图49. 64倍过采样的数字滤波器响应 AVCC = VDRIVE = 5V T = 25°C –80 A ±10V RANGE OS BY 8 –90 100 1k 10k 100k 1M FREQUENCY (Hz) 10M 08096-013 ATTENUATION (dB) 1k 08096-014 ATTENUATION (dB) –20 –20 08096-015 –10 –10 08096-016 0 0 ATTENUATION (dB) 图44至图49显示了不同过采样率下的数字滤波器频率曲线。 模拟抗混叠滤波器和过采样数字滤波器的组合有助于简化 AD7607之前的滤波器设计。该数字滤波同时提供陡峭滚降 的幅频响应与线性的相频响应。 图46. 8倍过采样的数字滤波器响应 Rev. B | Page 27 of 32 AD7607 2000 NUMBER OF OCCURANCES 图51显示转换时间随着过采样率的提高而延长。当开启过 采样时,为实现最快吞吐速率,可以在BUSY高电平期间 执行读取操作。BUSY下降沿用于以新转换数据更新输出 数据寄存器,因此转换数据的读取不应发生在此边沿上。 AVCC = 5V VDRIVE = 5V TA = 25°C 10V RANGE OS64 1800 1600 1400 1200 1000 tCYCLE 800 CONVST A AND CONVST B 600 400 tCONV 39µs 19µs 200 4µs –1 0 1 CODE 2 BUSY 图50. 64倍过采样的码字直方图 OS = 0 OS = 4 OS = 8 t4 例如,如果设置OS[2:0]引脚以选择8倍的过采样率,则下一 CONVST x上升沿将采集各通道的第一个样本。所有通道的 其余七个样本利用内部产生的采样信号采集。随着过采样 率提高,3 dB带宽降低,容许的采样频率也降低(见表9)。 OS[2:0]引脚应根据应用的滤波要求进行配置。 t4 t4 CS RD DATA: DB[15:0] 开启过采样时,CONVST A和CONVST B引脚必须连在一起 驱动,转换过程中BUSY保持高电平的时间会延长。BUSY 保持高电平的实际时间取决于所选的过采样率;过采样率 越高,则BUSY保持高电平的时间或总转换时间越长(见表3)。 Rev. B | Page 28 of 32 图51. 无过采样、4倍过采样和8倍过采样,转换之后读取 08096-044 –2 08096-130 0 AD7607 布局布线指南 安装AD7607所用的印刷电路板应采用模拟部分与数字部分 分离设计,并限制在电路板的不同区域内。 图52显示了AD7607电路板顶层的建议去耦布局。图53显示 了底层去耦配置,它用于4个AVCC引脚和VDRIVE引脚的去耦。 至少应使用一个接地层。数字和模拟部分可以共用或分割 使用接地层。在使用分割的地层时,数字地和模拟地应单 点连接。单点接地点最好尽可能靠近AD7607。 应避免在器件下方布设数字线路,否则会将噪声耦合至 芯片。应允许模拟接地层布设在AD7607下方,以避免噪 声耦合。如CONVST A、CONVST B或时钟等快速切换信号 要使用数字地加以屏蔽,以免将噪声辐射到电路板的其他 部分,而且快速切换信号绝不能靠近模拟信号路径。避免 数字信号与模拟信号交叠。电路板上邻近层的走线应彼此 垂直,以减小电路板的馈通效应。 08096-048 如果AD7607所在系统中有多个器件要求模拟地至数字地连 接,则只能在一个点上进行连接。星形接地点应尽可能靠 近AD7607。确保每个接地引脚与地层的良好连接。避免多 个接地引脚共用一个到地层的连接的情况。每个接地引脚 应使用单个过孔或多个过孔连接到电源层。 图52. REFIN/REFOUT、REFCAPA、 REFCAPB和REGCAP引脚的顶层去耦 AVCC和VDRIVE引脚的电源线路应采用尽可能宽的走线,以 提供低阻抗路径,并减小电源线路上的毛刺噪声效应。可 能的话,应使用电源层,并在AD7607电源引脚与电路板的 电源走线之间建立良好连接。各电源引脚应使用单个过孔 或多个过孔。 08096-049 良好的去耦也很重要,以便降低AD7607的电源阻抗,并减 少电源尖峰幅度。去耦电容应靠近(理想情况是紧靠)这些 引 脚 及 其 对 应 接 地 引 脚 放 置 。 REFIN/REFOUT引 脚 和 REFCAPA、REFCAPB引脚的去耦电容应尽可能靠近相应 的AD7607引脚。可能的话,应将这些电容放在电路板上与 AD7607器件相同的一侧。 图53. 底层去耦 Rev. B | Page 29 of 32 AD7607 在内置多个AD7607器件的系统中,为确保器件之间的性能 匹配良好,这些器件必须采用对称布局。 图54显示采用两个AD7607器件的布局。AVCC电压平面沿 两个器件的右侧布设,VDRIVE电源走线沿两个AD7607器件 的左侧布设。基准电压芯片位于两个器件之间,基准电压 走线向北布设到U1的引脚42,向南布设到U2的引脚42。使 用不可分割的、连续的接地层。这些对称布局原则同样适 用于含有两个以上AD7607器件的系统。AD7607器件可以 沿南北方向放置,基准电压位于器件的中间,基准电压走 线则沿南北方向布设,类似于图54。 AVCC U2 08096-050 U1 图54. 多个AD7607器件的布局—顶层和电源层 Rev. B | Page 30 of 32 AD7607 外形尺寸 0.75 0.60 0.45 12.20 12.00 SQ 11.80 1.60 MAX 64 49 1 48 PIN 1 10.20 10.00 SQ 9.80 TOP VIEW (PINS DOWN) 0.15 0.05 SEATING PLANE VIEW A ROTATED 90° CCW 0.20 0.09 7° 3.5° 0° 0.08 COPLANARITY 16 33 32 17 VIEW A 0.50 BSC LEAD PITCH COMPLIANT TO JEDEC STANDARDS MS-026-BCD 0.27 0.22 0.17 051706-A 1.45 1.40 1.35 图55. 64引脚薄型四方扁平封装[LQFP] (ST-64-2) 尺寸单位:mm 订购指南 型号1 AD7607BSTZ AD7607BSTZ-RL EVAL-AD7607EDZ CED1Z 1 温度范围 −40°C至+85°C −40°C至+85°C −40°C至+85°C 封装描述 64引脚薄型四方扁平封装[LQFP] 64引脚薄型四方扁平封装[LQFP] 评估板 转换器评估开发板 Z = 符合RoHS标准的器件。 Rev. B | Page 31 of 32 封装选项 ST-64-2 ST-64-2 AD7607 注释 ©2010-2012 Analog Devices, Inc. All rights reserved. Trademarks and registered trademarks are the property of their respective owners. D08096sc-0-1/12(B) Rev. B | Page 32 of 32
EVAL-AD7607EDZ 价格&库存

很抱歉,暂时无法提供与“EVAL-AD7607EDZ”相匹配的价格&库存,您可以联系我们找货

免费人工找货